FreeBSD kernel CXGBE device code
t4_regs.h
Go to the documentation of this file.
1/*-
2 * SPDX-License-Identifier: BSD-2-Clause-FreeBSD
3 *
4 * Copyright (c) 2013, 2016 Chelsio Communications, Inc.
5 * All rights reserved.
6 *
7 * Redistribution and use in source and binary forms, with or without
8 * modification, are permitted provided that the following conditions
9 * are met:
10 * 1. Redistributions of source code must retain the above copyright
11 * notice, this list of conditions and the following disclaimer.
12 * 2. Redistributions in binary form must reproduce the above copyright
13 * notice, this list of conditions and the following disclaimer in the
14 * documentation and/or other materials provided with the distribution.
15 *
16 * THIS SOFTWARE IS PROVIDED BY THE AUTHOR AND CONTRIBUTORS ``AS IS'' AND
17 * ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
18 * IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
19 * ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE LIABLE
20 * FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
21 * DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
22 * OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
23 * HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
24 * LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY
25 * OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF
26 * SUCH DAMAGE.
27 *
28 * $FreeBSD$
29 *
30 */
31
32/* This file is automatically generated --- changes will be lost */
33/* Generation Date : Wed Jan 27 10:57:51 IST 2016 */
34/* Directory name: t4_reg.txt, Changeset: */
35/* Directory name: t5_reg.txt, Changeset: 6936:7f6342b03d61 */
36/* Directory name: t6_reg.txt, Changeset: 4191:ce3ccd95c109 */
37
38#define MYPF_BASE 0x1b000
39#define MYPF_REG(reg_addr) (MYPF_BASE + (reg_addr))
40
41#define PF0_BASE 0x1e000
42#define PF0_REG(reg_addr) (PF0_BASE + (reg_addr))
43
44#define PF1_BASE 0x1e400
45#define PF1_REG(reg_addr) (PF1_BASE + (reg_addr))
46
47#define PF2_BASE 0x1e800
48#define PF2_REG(reg_addr) (PF2_BASE + (reg_addr))
49
50#define PF3_BASE 0x1ec00
51#define PF3_REG(reg_addr) (PF3_BASE + (reg_addr))
52
53#define PF4_BASE 0x1f000
54#define PF4_REG(reg_addr) (PF4_BASE + (reg_addr))
55
56#define PF5_BASE 0x1f400
57#define PF5_REG(reg_addr) (PF5_BASE + (reg_addr))
58
59#define PF6_BASE 0x1f800
60#define PF6_REG(reg_addr) (PF6_BASE + (reg_addr))
61
62#define PF7_BASE 0x1fc00
63#define PF7_REG(reg_addr) (PF7_BASE + (reg_addr))
64
65#define PF_STRIDE 0x400
66#define PF_BASE(idx) (PF0_BASE + (idx) * PF_STRIDE)
67#define PF_REG(idx, reg) (PF_BASE(idx) + (reg))
68
69#define VF_SGE_BASE 0x0
70#define VF_SGE_REG(reg_addr) (VF_SGE_BASE + (reg_addr))
71
72#define VF_MPS_BASE 0x100
73#define VF_MPS_REG(reg_addr) (VF_MPS_BASE + (reg_addr))
74
75#define VF_PL_BASE 0x200
76#define VF_PL_REG(reg_addr) (VF_PL_BASE + (reg_addr))
77
78#define VF_MBDATA_BASE 0x240
79#define VF_MBDATA_REG(reg_addr) (VF_MBDATA_BASE + (reg_addr))
80
81#define VF_CIM_BASE 0x300
82#define VF_CIM_REG(reg_addr) (VF_CIM_BASE + (reg_addr))
83
84#define MYPORT_BASE 0x1c000
85#define MYPORT_REG(reg_addr) (MYPORT_BASE + (reg_addr))
86
87#define PORT0_BASE 0x20000
88#define PORT0_REG(reg_addr) (PORT0_BASE + (reg_addr))
89
90#define PORT1_BASE 0x22000
91#define PORT1_REG(reg_addr) (PORT1_BASE + (reg_addr))
92
93#define PORT2_BASE 0x24000
94#define PORT2_REG(reg_addr) (PORT2_BASE + (reg_addr))
95
96#define PORT3_BASE 0x26000
97#define PORT3_REG(reg_addr) (PORT3_BASE + (reg_addr))
98
99#define PORT_STRIDE 0x2000
100#define PORT_BASE(idx) (PORT0_BASE + (idx) * PORT_STRIDE)
101#define PORT_REG(idx, reg) (PORT_BASE(idx) + (reg))
102
103#define SGE_QUEUE_BASE_MAP_HIGH(idx) (A_SGE_QUEUE_BASE_MAP_HIGH + (idx) * 8)
104#define NUM_SGE_QUEUE_BASE_MAP_HIGH_INSTANCES 136
105
106#define SGE_QUEUE_BASE_MAP_LOW(idx) (A_SGE_QUEUE_BASE_MAP_LOW + (idx) * 8)
107#define NUM_SGE_QUEUE_BASE_MAP_LOW_INSTANCES 136
108
109#define PCIE_DMA_REG(reg_addr, idx) ((reg_addr) + (idx) * 8)
110#define NUM_PCIE_DMA_INSTANCES 4
111
112#define PCIE_CMD_REG(reg_addr, idx) ((reg_addr) + (idx) * 8)
113#define NUM_PCIE_CMD_INSTANCES 2
114
115#define PCIE_HMA_REG(reg_addr, idx) ((reg_addr) + (idx) * 8)
116#define NUM_PCIE_HMA_INSTANCES 1
117
118#define PCIE_MEM_ACCESS_REG(reg_addr, idx) ((reg_addr) + (idx) * 8)
119#define NUM_PCIE_MEM_ACCESS_INSTANCES 8
120
121#define PCIE_MAILBOX_REG(reg_addr, idx) ((reg_addr) + (idx) * 8)
122#define NUM_PCIE_MAILBOX_INSTANCES 1
123
124#define PCIE_FW_REG(reg_addr, idx) ((reg_addr) + (idx) * 4)
125#define NUM_PCIE_FW_INSTANCES 8
126
127#define PCIE_FUNC_REG(reg_addr, idx) ((reg_addr) + (idx) * 8)
128#define NUM_PCIE_FUNC_INSTANCES 256
129
130#define PCIE_FID(idx) (A_PCIE_FID + (idx) * 4)
131#define NUM_PCIE_FID_INSTANCES 2048
132
133#define PCIE_DMA_BUF_REG(reg_addr, idx) ((reg_addr) + (idx) * 8)
134#define NUM_PCIE_DMA_BUF_INSTANCES 4
135
136#define MC_DDR3PHYDATX8_REG(reg_addr, idx) ((reg_addr) + (idx) * 256)
137#define NUM_MC_DDR3PHYDATX8_INSTANCES 9
138
139#define MC_BIST_STATUS_REG(reg_addr, idx) ((reg_addr) + (idx) * 4)
140#define NUM_MC_BIST_STATUS_INSTANCES 18
141
142#define EDC_BIST_STATUS_REG(reg_addr, idx) ((reg_addr) + (idx) * 4)
143#define NUM_EDC_BIST_STATUS_INSTANCES 18
144
145#define CIM_PF_MAILBOX_DATA(idx) (A_CIM_PF_MAILBOX_DATA + (idx) * 4)
146#define NUM_CIM_PF_MAILBOX_DATA_INSTANCES 16
147
148#define MPS_TRC_FILTER_MATCH_CTL_A(idx) (A_MPS_TRC_FILTER_MATCH_CTL_A + (idx) * 4)
149#define NUM_MPS_TRC_FILTER_MATCH_CTL_A_INSTANCES 4
150
151#define MPS_TRC_FILTER_MATCH_CTL_B(idx) (A_MPS_TRC_FILTER_MATCH_CTL_B + (idx) * 4)
152#define NUM_MPS_TRC_FILTER_MATCH_CTL_B_INSTANCES 4
153
154#define MPS_TRC_FILTER_RUNT_CTL(idx) (A_MPS_TRC_FILTER_RUNT_CTL + (idx) * 4)
155#define NUM_MPS_TRC_FILTER_RUNT_CTL_INSTANCES 4
156
157#define MPS_TRC_FILTER_DROP(idx) (A_MPS_TRC_FILTER_DROP + (idx) * 4)
158#define NUM_MPS_TRC_FILTER_DROP_INSTANCES 4
159
160#define MPS_TRC_FILTER0_MATCH(idx) (A_MPS_TRC_FILTER0_MATCH + (idx) * 4)
161#define NUM_MPS_TRC_FILTER0_MATCH_INSTANCES 28
162
163#define MPS_TRC_FILTER0_DONT_CARE(idx) (A_MPS_TRC_FILTER0_DONT_CARE + (idx) * 4)
164#define NUM_MPS_TRC_FILTER0_DONT_CARE_INSTANCES 28
165
166#define MPS_TRC_FILTER1_MATCH(idx) (A_MPS_TRC_FILTER1_MATCH + (idx) * 4)
167#define NUM_MPS_TRC_FILTER1_MATCH_INSTANCES 28
168
169#define MPS_TRC_FILTER1_DONT_CARE(idx) (A_MPS_TRC_FILTER1_DONT_CARE + (idx) * 4)
170#define NUM_MPS_TRC_FILTER1_DONT_CARE_INSTANCES 28
171
172#define MPS_TRC_FILTER2_MATCH(idx) (A_MPS_TRC_FILTER2_MATCH + (idx) * 4)
173#define NUM_MPS_TRC_FILTER2_MATCH_INSTANCES 28
174
175#define MPS_TRC_FILTER2_DONT_CARE(idx) (A_MPS_TRC_FILTER2_DONT_CARE + (idx) * 4)
176#define NUM_MPS_TRC_FILTER2_DONT_CARE_INSTANCES 28
177
178#define MPS_TRC_FILTER3_MATCH(idx) (A_MPS_TRC_FILTER3_MATCH + (idx) * 4)
179#define NUM_MPS_TRC_FILTER3_MATCH_INSTANCES 28
180
181#define MPS_TRC_FILTER3_DONT_CARE(idx) (A_MPS_TRC_FILTER3_DONT_CARE + (idx) * 4)
182#define NUM_MPS_TRC_FILTER3_DONT_CARE_INSTANCES 28
183
184#define MPS_PORT_CLS_HASH_SRAM(idx) (A_MPS_PORT_CLS_HASH_SRAM + (idx) * 4)
185#define NUM_MPS_PORT_CLS_HASH_SRAM_INSTANCES 65
186
187#define MPS_CLS_VLAN_TABLE(idx) (A_MPS_CLS_VLAN_TABLE + (idx) * 4)
188#define NUM_MPS_CLS_VLAN_TABLE_INSTANCES 9
189
190#define MPS_CLS_SRAM_L(idx) (A_MPS_CLS_SRAM_L + (idx) * 8)
191#define NUM_MPS_CLS_SRAM_L_INSTANCES 336
192
193#define MPS_CLS_SRAM_H(idx) (A_MPS_CLS_SRAM_H + (idx) * 8)
194#define NUM_MPS_CLS_SRAM_H_INSTANCES 336
195
196#define MPS_CLS_TCAM_Y_L(idx) (A_MPS_CLS_TCAM_Y_L + (idx) * 16)
197#define NUM_MPS_CLS_TCAM_Y_L_INSTANCES 512
198
199#define MPS_CLS_TCAM_Y_H(idx) (A_MPS_CLS_TCAM_Y_H + (idx) * 16)
200#define NUM_MPS_CLS_TCAM_Y_H_INSTANCES 512
201
202#define MPS_CLS_TCAM_X_L(idx) (A_MPS_CLS_TCAM_X_L + (idx) * 16)
203#define NUM_MPS_CLS_TCAM_X_L_INSTANCES 512
204
205#define MPS_CLS_TCAM_X_H(idx) (A_MPS_CLS_TCAM_X_H + (idx) * 16)
206#define NUM_MPS_CLS_TCAM_X_H_INSTANCES 512
207
208#define PL_SEMAPHORE_LOCK(idx) (A_PL_SEMAPHORE_LOCK + (idx) * 4)
209#define NUM_PL_SEMAPHORE_LOCK_INSTANCES 8
210
211#define PL_VF_SLICE_L(idx) (A_PL_VF_SLICE_L + (idx) * 8)
212#define NUM_PL_VF_SLICE_L_INSTANCES 8
213
214#define PL_VF_SLICE_H(idx) (A_PL_VF_SLICE_H + (idx) * 8)
215#define NUM_PL_VF_SLICE_H_INSTANCES 8
216
217#define PL_FLR_VF_STATUS(idx) (A_PL_FLR_VF_STATUS + (idx) * 4)
218#define NUM_PL_FLR_VF_STATUS_INSTANCES 4
219
220#define PL_VFID_MAP(idx) (A_PL_VFID_MAP + (idx) * 4)
221#define NUM_PL_VFID_MAP_INSTANCES 256
222
223#define LE_DB_MASK_IPV4(idx) (A_LE_DB_MASK_IPV4 + (idx) * 4)
224#define NUM_LE_DB_MASK_IPV4_INSTANCES 17
225
226#define LE_DB_MASK_IPV6(idx) (A_LE_DB_MASK_IPV6 + (idx) * 4)
227#define NUM_LE_DB_MASK_IPV6_INSTANCES 17
228
229#define LE_DB_DBGI_REQ_DATA(idx) (A_LE_DB_DBGI_REQ_DATA + (idx) * 4)
230#define NUM_LE_DB_DBGI_REQ_DATA_INSTANCES 17
231
232#define LE_DB_DBGI_REQ_MASK(idx) (A_LE_DB_DBGI_REQ_MASK + (idx) * 4)
233#define NUM_LE_DB_DBGI_REQ_MASK_INSTANCES 17
234
235#define LE_DB_DBGI_RSP_DATA(idx) (A_LE_DB_DBGI_RSP_DATA + (idx) * 4)
236#define NUM_LE_DB_DBGI_RSP_DATA_INSTANCES 17
237
238#define LE_DB_ACTIVE_MASK_IPV4(idx) (A_LE_DB_ACTIVE_MASK_IPV4 + (idx) * 4)
239#define NUM_LE_DB_ACTIVE_MASK_IPV4_INSTANCES 17
240
241#define LE_DB_ACTIVE_MASK_IPV6(idx) (A_LE_DB_ACTIVE_MASK_IPV6 + (idx) * 4)
242#define NUM_LE_DB_ACTIVE_MASK_IPV6_INSTANCES 17
243
244#define LE_HASH_MASK_GEN_IPV4(idx) (A_LE_HASH_MASK_GEN_IPV4 + (idx) * 4)
245#define NUM_LE_HASH_MASK_GEN_IPV4_INSTANCES 4
246
247#define LE_HASH_MASK_GEN_IPV6(idx) (A_LE_HASH_MASK_GEN_IPV6 + (idx) * 4)
248#define NUM_LE_HASH_MASK_GEN_IPV6_INSTANCES 12
249
250#define LE_HASH_MASK_CMP_IPV4(idx) (A_LE_HASH_MASK_CMP_IPV4 + (idx) * 4)
251#define NUM_LE_HASH_MASK_CMP_IPV4_INSTANCES 4
252
253#define LE_HASH_MASK_CMP_IPV6(idx) (A_LE_HASH_MASK_CMP_IPV6 + (idx) * 4)
254#define NUM_LE_HASH_MASK_CMP_IPV6_INSTANCES 12
255
256#define UP_TSCH_CHANNEL_REG(reg_addr, idx) ((reg_addr) + (idx) * 16)
257#define NUM_UP_TSCH_CHANNEL_INSTANCES 4
258
259#define CIM_CTL_MAILBOX_VF_STATUS(idx) (A_CIM_CTL_MAILBOX_VF_STATUS + (idx) * 4)
260#define NUM_CIM_CTL_MAILBOX_VF_STATUS_INSTANCES 4
261
262#define CIM_CTL_MAILBOX_VFN_CTL(idx) (A_CIM_CTL_MAILBOX_VFN_CTL + (idx) * 16)
263#define NUM_CIM_CTL_MAILBOX_VFN_CTL_INSTANCES 128
264
265#define CIM_CTL_TSCH_CHANNEL_REG(reg_addr, idx) ((reg_addr) + (idx) * 288)
266#define NUM_CIM_CTL_TSCH_CHANNEL_INSTANCES 4
267
268#define CIM_CTL_TSCH_CHANNEL_TSCH_CLASS_REG(reg_addr, idx) ((reg_addr) + (idx) * 16)
269#define NUM_CIM_CTL_TSCH_CHANNEL_TSCH_CLASS_INSTANCES 16
270
271#define T5_MYPORT_BASE 0x2c000
272#define T5_MYPORT_REG(reg_addr) (T5_MYPORT_BASE + (reg_addr))
273
274#define T5_PORT0_BASE 0x30000
275#define T5_PORT0_REG(reg_addr) (T5_PORT0_BASE + (reg_addr))
276
277#define T5_PORT1_BASE 0x34000
278#define T5_PORT1_REG(reg_addr) (T5_PORT1_BASE + (reg_addr))
279
280#define T5_PORT2_BASE 0x38000
281#define T5_PORT2_REG(reg_addr) (T5_PORT2_BASE + (reg_addr))
282
283#define T5_PORT3_BASE 0x3c000
284#define T5_PORT3_REG(reg_addr) (T5_PORT3_BASE + (reg_addr))
285
286#define T5_PORT_STRIDE 0x4000
287#define T5_PORT_BASE(idx) (T5_PORT0_BASE + (idx) * T5_PORT_STRIDE)
288#define T5_PORT_REG(idx, reg) (T5_PORT_BASE(idx) + (reg))
289
290#define MC_STRIDE (MC_1_BASE_ADDR - MC_0_BASE_ADDR)
291#define MC_REG(reg, idx) (reg + MC_STRIDE * idx)
292
293#define PCIE_PF_INT_REG(reg_addr, idx) ((reg_addr) + (idx) * 8)
294#define NUM_PCIE_PF_INT_INSTANCES 8
295
296#define PCIE_VF_INT_REG(reg_addr, idx) ((reg_addr) + (idx) * 8)
297#define NUM_PCIE_VF_INT_INSTANCES 128
298
299#define PCIE_FID_VFID(idx) (A_PCIE_FID_VFID + (idx) * 4)
300#define NUM_PCIE_FID_VFID_INSTANCES 2048
301
302#define PCIE_COOKIE_REG(reg_addr, idx) ((reg_addr) + (idx) * 4)
303#define NUM_PCIE_COOKIE_INSTANCES 8
304
305#define PCIE_T5_DMA_REG(reg_addr, idx) ((reg_addr) + (idx) * 16)
306#define NUM_PCIE_T5_DMA_INSTANCES 4
307
308#define PCIE_T5_CMD_REG(reg_addr, idx) ((reg_addr) + (idx) * 16)
309#define NUM_PCIE_T5_CMD_INSTANCES 3
310
311#define PCIE_T5_HMA_REG(reg_addr, idx) ((reg_addr) + (idx) * 16)
312#define NUM_PCIE_T5_HMA_INSTANCES 1
313
314#define PCIE_PHY_PRESET_REG(reg_addr, idx) ((reg_addr) + (idx) * 4)
315#define NUM_PCIE_PHY_PRESET_INSTANCES 11
316
317#define MPS_T5_CLS_SRAM_L(idx) (A_MPS_T5_CLS_SRAM_L + (idx) * 8)
318#define NUM_MPS_T5_CLS_SRAM_L_INSTANCES 512
319
320#define MPS_T5_CLS_SRAM_H(idx) (A_MPS_T5_CLS_SRAM_H + (idx) * 8)
321#define NUM_MPS_T5_CLS_SRAM_H_INSTANCES 512
322
323#define LE_T5_DB_MASK_IPV4(idx) (A_LE_T5_DB_MASK_IPV4 + (idx) * 4)
324#define NUM_LE_T5_DB_MASK_IPV4_INSTANCES 5
325
326#define LE_T5_DB_ACTIVE_MASK_IPV4(idx) (A_LE_T5_DB_ACTIVE_MASK_IPV4 + (idx) * 4)
327#define NUM_LE_T5_DB_ACTIVE_MASK_IPV4_INSTANCES 5
328
329#define LE_HASH_MASK_GEN_IPV4T5(idx) (A_LE_HASH_MASK_GEN_IPV4T5 + (idx) * 4)
330#define NUM_LE_HASH_MASK_GEN_IPV4T5_INSTANCES 5
331
332#define LE_HASH_MASK_GEN_IPV6T5(idx) (A_LE_HASH_MASK_GEN_IPV6T5 + (idx) * 4)
333#define NUM_LE_HASH_MASK_GEN_IPV6T5_INSTANCES 12
334
335#define LE_HASH_MASK_CMP_IPV4T5(idx) (A_LE_HASH_MASK_CMP_IPV4T5 + (idx) * 4)
336#define NUM_LE_HASH_MASK_CMP_IPV4T5_INSTANCES 5
337
338#define LE_HASH_MASK_CMP_IPV6T5(idx) (A_LE_HASH_MASK_CMP_IPV6T5 + (idx) * 4)
339#define NUM_LE_HASH_MASK_CMP_IPV6T5_INSTANCES 12
340
341#define LE_DB_SECOND_ACTIVE_MASK_IPV4(idx) (A_LE_DB_SECOND_ACTIVE_MASK_IPV4 + (idx) * 4)
342#define NUM_LE_DB_SECOND_ACTIVE_MASK_IPV4_INSTANCES 5
343
344#define LE_DB_SECOND_GEN_HASH_MASK_IPV4(idx) (A_LE_DB_SECOND_GEN_HASH_MASK_IPV4 + (idx) * 4)
345#define NUM_LE_DB_SECOND_GEN_HASH_MASK_IPV4_INSTANCES 5
346
347#define LE_DB_SECOND_CMP_HASH_MASK_IPV4(idx) (A_LE_DB_SECOND_CMP_HASH_MASK_IPV4 + (idx) * 4)
348#define NUM_LE_DB_SECOND_CMP_HASH_MASK_IPV4_INSTANCES 5
349
350#define MC_ADR_REG(reg_addr, idx) ((reg_addr) + (idx) * 512)
351#define NUM_MC_ADR_INSTANCES 2
352
353#define MC_DDRPHY_DP18_REG(reg_addr, idx) ((reg_addr) + (idx) * 512)
354#define NUM_MC_DDRPHY_DP18_INSTANCES 5
355
356#define MC_CE_ERR_DATA_REG(reg_addr, idx) ((reg_addr) + (idx) * 4)
357#define NUM_MC_CE_ERR_DATA_INSTANCES 8
358
359#define MC_CE_COR_DATA_REG(reg_addr, idx) ((reg_addr) + (idx) * 4)
360#define NUM_MC_CE_COR_DATA_INSTANCES 8
361
362#define MC_UE_ERR_DATA_REG(reg_addr, idx) ((reg_addr) + (idx) * 4)
363#define NUM_MC_UE_ERR_DATA_INSTANCES 8
364
365#define MC_UE_COR_DATA_REG(reg_addr, idx) ((reg_addr) + (idx) * 4)
366#define NUM_MC_UE_COR_DATA_INSTANCES 8
367
368#define MC_P_BIST_STATUS_REG(reg_addr, idx) ((reg_addr) + (idx) * 4)
369#define NUM_MC_P_BIST_STATUS_INSTANCES 18
370
371#define EDC_H_BIST_STATUS_REG(reg_addr, idx) ((reg_addr) + (idx) * 4)
372#define NUM_EDC_H_BIST_STATUS_INSTANCES 18
373
374#define EDC_H_ECC_ERR_DATA_REG(reg_addr, idx) ((reg_addr) + (idx) * 4)
375#define NUM_EDC_H_ECC_ERR_DATA_INSTANCES 16
376
377#define SGE_DEBUG1_DBP_THREAD(idx) (A_SGE_DEBUG1_DBP_THREAD + (idx) * 4)
378#define NUM_SGE_DEBUG1_DBP_THREAD_INSTANCES 4
379
380#define SGE_DEBUG0_DBP_THREAD(idx) (A_SGE_DEBUG0_DBP_THREAD + (idx) * 4)
381#define NUM_SGE_DEBUG0_DBP_THREAD_INSTANCES 5
382
383#define SGE_WC_EGRS_BAR2_OFF_PF(idx) (A_SGE_WC_EGRS_BAR2_OFF_PF + (idx) * 4)
384#define NUM_SGE_WC_EGRS_BAR2_OFF_PF_INSTANCES 8
385
386#define SGE_WC_EGRS_BAR2_OFF_VF(idx) (A_SGE_WC_EGRS_BAR2_OFF_VF + (idx) * 4)
387#define NUM_SGE_WC_EGRS_BAR2_OFF_VF_INSTANCES 8
388
389#define PCIE_T6_DMA_REG(reg_addr, idx) ((reg_addr) + (idx) * 16)
390#define NUM_PCIE_T6_DMA_INSTANCES 2
391
392#define PCIE_T6_CMD_REG(reg_addr, idx) ((reg_addr) + (idx) * 16)
393#define NUM_PCIE_T6_CMD_INSTANCES 1
394
395#define PCIE_VF_256_INT_REG(reg_addr, idx) ((reg_addr) + (idx) * 4)
396#define NUM_PCIE_VF_256_INT_INSTANCES 128
397
398#define MPS_CLS_REQUEST_TRACE_MAC_DA_L(idx) (A_MPS_CLS_REQUEST_TRACE_MAC_DA_L + (idx) * 32)
399#define NUM_MPS_CLS_REQUEST_TRACE_MAC_DA_L_INSTANCES 8
400
401#define MPS_CLS_REQUEST_TRACE_MAC_DA_H(idx) (A_MPS_CLS_REQUEST_TRACE_MAC_DA_H + (idx) * 32)
402#define NUM_MPS_CLS_REQUEST_TRACE_MAC_DA_H_INSTANCES 8
403
404#define MPS_CLS_REQUEST_TRACE_MAC_SA_L(idx) (A_MPS_CLS_REQUEST_TRACE_MAC_SA_L + (idx) * 32)
405#define NUM_MPS_CLS_REQUEST_TRACE_MAC_SA_L_INSTANCES 8
406
407#define MPS_CLS_REQUEST_TRACE_MAC_SA_H(idx) (A_MPS_CLS_REQUEST_TRACE_MAC_SA_H + (idx) * 32)
408#define NUM_MPS_CLS_REQUEST_TRACE_MAC_SA_H_INSTANCES 8
409
410#define MPS_CLS_REQUEST_TRACE_PORT_VLAN(idx) (A_MPS_CLS_REQUEST_TRACE_PORT_VLAN + (idx) * 32)
411#define NUM_MPS_CLS_REQUEST_TRACE_PORT_VLAN_INSTANCES 8
412
413#define MPS_CLS_REQUEST_TRACE_ENCAP(idx) (A_MPS_CLS_REQUEST_TRACE_ENCAP + (idx) * 32)
414#define NUM_MPS_CLS_REQUEST_TRACE_ENCAP_INSTANCES 8
415
416#define MPS_CLS_RESULT_TRACE(idx) (A_MPS_CLS_RESULT_TRACE + (idx) * 4)
417#define NUM_MPS_CLS_RESULT_TRACE_INSTANCES 8
418
419#define MPS_CLS_DIPIPV4_ID_TABLE(idx) (A_MPS_CLS_DIPIPV4_ID_TABLE + (idx) * 8)
420#define NUM_MPS_CLS_DIPIPV4_ID_TABLE_INSTANCES 4
421
422#define MPS_CLS_DIPIPV4_MASK_TABLE(idx) (A_MPS_CLS_DIPIPV4_MASK_TABLE + (idx) * 8)
423#define NUM_MPS_CLS_DIPIPV4_MASK_TABLE_INSTANCES 4
424
425#define MPS_CLS_DIPIPV6ID_0_TABLE(idx) (A_MPS_CLS_DIPIPV6ID_0_TABLE + (idx) * 32)
426#define NUM_MPS_CLS_DIPIPV6ID_0_TABLE_INSTANCES 2
427
428#define MPS_CLS_DIPIPV6ID_1_TABLE(idx) (A_MPS_CLS_DIPIPV6ID_1_TABLE + (idx) * 32)
429#define NUM_MPS_CLS_DIPIPV6ID_1_TABLE_INSTANCES 2
430
431#define MPS_CLS_DIPIPV6ID_2_TABLE(idx) (A_MPS_CLS_DIPIPV6ID_2_TABLE + (idx) * 32)
432#define NUM_MPS_CLS_DIPIPV6ID_2_TABLE_INSTANCES 2
433
434#define MPS_CLS_DIPIPV6ID_3_TABLE(idx) (A_MPS_CLS_DIPIPV6ID_3_TABLE + (idx) * 32)
435#define NUM_MPS_CLS_DIPIPV6ID_3_TABLE_INSTANCES 2
436
437#define MPS_CLS_DIPIPV6MASK_0_TABLE(idx) (A_MPS_CLS_DIPIPV6MASK_0_TABLE + (idx) * 32)
438#define NUM_MPS_CLS_DIPIPV6MASK_0_TABLE_INSTANCES 2
439
440#define MPS_CLS_DIPIPV6MASK_1_TABLE(idx) (A_MPS_CLS_DIPIPV6MASK_1_TABLE + (idx) * 32)
441#define NUM_MPS_CLS_DIPIPV6MASK_1_TABLE_INSTANCES 2
442
443#define MPS_CLS_DIPIPV6MASK_2_TABLE(idx) (A_MPS_CLS_DIPIPV6MASK_2_TABLE + (idx) * 32)
444#define NUM_MPS_CLS_DIPIPV6MASK_2_TABLE_INSTANCES 2
445
446#define MPS_CLS_DIPIPV6MASK_3_TABLE(idx) (A_MPS_CLS_DIPIPV6MASK_3_TABLE + (idx) * 32)
447#define NUM_MPS_CLS_DIPIPV6MASK_3_TABLE_INSTANCES 2
448
449#define MPS_RX_HASH_LKP_TABLE(idx) (A_MPS_RX_HASH_LKP_TABLE + (idx) * 4)
450#define NUM_MPS_RX_HASH_LKP_TABLE_INSTANCES 4
451
452#define LE_DB_DBG_MATCH_DATA_MASK(idx) (A_LE_DB_DBG_MATCH_DATA_MASK + (idx) * 4)
453#define NUM_LE_DB_DBG_MATCH_DATA_MASK_INSTANCES 8
454
455#define LE_DB_DBG_MATCH_DATA(idx) (A_LE_DB_DBG_MATCH_DATA + (idx) * 4)
456#define NUM_LE_DB_DBG_MATCH_DATA_INSTANCES 8
457
458#define LE_DB_DBGI_REQ_DATA_T6(idx) (A_LE_DB_DBGI_REQ_DATA + (idx) * 4)
459#define NUM_LE_DB_DBGI_REQ_DATA_T6_INSTANCES 11
460
461#define LE_DB_DBGI_REQ_MASK_T6(idx) (A_LE_DB_DBGI_REQ_MASK + (idx) * 4)
462#define NUM_LE_DB_DBGI_REQ_MASK_T6_INSTANCES 11
463
464#define LE_DB_DBGI_RSP_DATA_T6(idx) (A_LE_DB_DBGI_RSP_DATA + (idx) * 4)
465#define NUM_LE_DB_DBGI_RSP_DATA_T6_INSTANCES 11
466
467#define LE_DB_ACTIVE_MASK_IPV6_T6(idx) (A_LE_DB_ACTIVE_MASK_IPV6 + (idx) * 4)
468#define NUM_LE_DB_ACTIVE_MASK_IPV6_T6_INSTANCES 8
469
470#define LE_HASH_MASK_GEN_IPV4T6(idx) (A_LE_HASH_MASK_GEN_IPV4T5 + (idx) * 4)
471#define NUM_LE_HASH_MASK_GEN_IPV4T6_INSTANCES 8
472
473#define T6_LE_HASH_MASK_GEN_IPV6T5(idx) (A_T6_LE_HASH_MASK_GEN_IPV6T5 + (idx) * 4)
474#define NUM_T6_LE_HASH_MASK_GEN_IPV6T5_INSTANCES 8
475
476#define LE_DB_PSV_FILTER_MASK_TUP_IPV4(idx) (A_LE_DB_PSV_FILTER_MASK_TUP_IPV4 + (idx) * 4)
477#define NUM_LE_DB_PSV_FILTER_MASK_TUP_IPV4_INSTANCES 3
478
479#define LE_DB_PSV_FILTER_MASK_FLT_IPV4(idx) (A_LE_DB_PSV_FILTER_MASK_FLT_IPV4 + (idx) * 4)
480#define NUM_LE_DB_PSV_FILTER_MASK_FLT_IPV4_INSTANCES 2
481
482#define LE_DB_PSV_FILTER_MASK_TUP_IPV6(idx) (A_LE_DB_PSV_FILTER_MASK_TUP_IPV6 + (idx) * 4)
483#define NUM_LE_DB_PSV_FILTER_MASK_TUP_IPV6_INSTANCES 9
484
485#define LE_DB_PSV_FILTER_MASK_FLT_IPV6(idx) (A_LE_DB_PSV_FILTER_MASK_FLT_IPV6 + (idx) * 4)
486#define NUM_LE_DB_PSV_FILTER_MASK_FLT_IPV6_INSTANCES 2
487
488#define LE_DB_SECOND_GEN_HASH_MASK_IPV4_T6(idx) (A_LE_DB_SECOND_GEN_HASH_MASK_IPV4 + (idx) * 4)
489#define NUM_LE_DB_SECOND_GEN_HASH_MASK_IPV4_T6_INSTANCES 8
490
491#define MC_DDRPHY_DP18_T6_REG(reg_addr, idx) ((reg_addr) + (idx) * 512)
492#define NUM_MC_DDRPHY_DP18_T6_INSTANCES 9
493
494#define MC_CE_ERR_DATA_T6_REG(reg_addr, idx) ((reg_addr) + (idx) * 4)
495#define NUM_MC_CE_ERR_DATA_T6_INSTANCES 16
496
497#define MC_UE_ERR_DATA_T6_REG(reg_addr, idx) ((reg_addr) + (idx) * 4)
498#define NUM_MC_UE_ERR_DATA_T6_INSTANCES 16
499
500#define CIM_CTL_MAILBOX_VF_STATUS_T6(idx) (A_CIM_CTL_MAILBOX_VF_STATUS + (idx) * 4)
501#define NUM_CIM_CTL_MAILBOX_VF_STATUS_T6_INSTANCES 8
502
503#define CIM_CTL_MAILBOX_VFN_CTL_T6(idx) (A_CIM_CTL_MAILBOX_VFN_CTL + (idx) * 4)
504#define NUM_CIM_CTL_MAILBOX_VFN_CTL_T6_INSTANCES 256
505
506#define EDC_STRIDE (EDC_1_BASE_ADDR - EDC_0_BASE_ADDR)
507#define EDC_REG(reg, idx) (reg + EDC_STRIDE * idx)
508
509#define EDC_T5_STRIDE (EDC_T51_BASE_ADDR - EDC_T50_BASE_ADDR)
510#define EDC_T5_REG(reg, idx) (reg + EDC_T5_STRIDE * idx)
511
512/* registers for module SGE */
513#define SGE_BASE_ADDR 0x1000
514
515#define A_SGE_PF_KDOORBELL 0x0
516
517#define S_QID 15
518#define M_QID 0x1ffffU
519#define V_QID(x) ((x) << S_QID)
520#define G_QID(x) (((x) >> S_QID) & M_QID)
521
522#define S_DBPRIO 14
523#define V_DBPRIO(x) ((x) << S_DBPRIO)
524#define F_DBPRIO V_DBPRIO(1U)
525
526#define S_PIDX 0
527#define M_PIDX 0x3fffU
528#define V_PIDX(x) ((x) << S_PIDX)
529#define G_PIDX(x) (((x) >> S_PIDX) & M_PIDX)
530
531#define A_SGE_VF_KDOORBELL 0x0
532
533#define S_DBTYPE 13
534#define V_DBTYPE(x) ((x) << S_DBTYPE)
535#define F_DBTYPE V_DBTYPE(1U)
536
537#define S_PIDX_T5 0
538#define M_PIDX_T5 0x1fffU
539#define V_PIDX_T5(x) ((x) << S_PIDX_T5)
540#define G_PIDX_T5(x) (((x) >> S_PIDX_T5) & M_PIDX_T5)
541
542#define S_SYNC_T6 14
543#define V_SYNC_T6(x) ((x) << S_SYNC_T6)
544#define F_SYNC_T6 V_SYNC_T6(1U)
545
546#define A_SGE_PF_GTS 0x4
547
548#define S_INGRESSQID 16
549#define M_INGRESSQID 0xffffU
550#define V_INGRESSQID(x) ((x) << S_INGRESSQID)
551#define G_INGRESSQID(x) (((x) >> S_INGRESSQID) & M_INGRESSQID)
552
553#define S_TIMERREG 13
554#define M_TIMERREG 0x7U
555#define V_TIMERREG(x) ((x) << S_TIMERREG)
556#define G_TIMERREG(x) (((x) >> S_TIMERREG) & M_TIMERREG)
557
558#define S_SEINTARM 12
559#define V_SEINTARM(x) ((x) << S_SEINTARM)
560#define F_SEINTARM V_SEINTARM(1U)
561
562#define S_CIDXINC 0
563#define M_CIDXINC 0xfffU
564#define V_CIDXINC(x) ((x) << S_CIDXINC)
565#define G_CIDXINC(x) (((x) >> S_CIDXINC) & M_CIDXINC)
566
567#define A_SGE_VF_GTS 0x4
568#define A_SGE_PF_KTIMESTAMP_LO 0x8
569#define A_SGE_VF_KTIMESTAMP_LO 0x8
570#define A_SGE_PF_KTIMESTAMP_HI 0xc
571
572#define S_TSTAMPVAL 0
573#define M_TSTAMPVAL 0xfffffffU
574#define V_TSTAMPVAL(x) ((x) << S_TSTAMPVAL)
575#define G_TSTAMPVAL(x) (((x) >> S_TSTAMPVAL) & M_TSTAMPVAL)
576
577#define A_SGE_VF_KTIMESTAMP_HI 0xc
578#define A_SGE_CONTROL 0x1008
579
580#define S_IGRALLCPLTOFL 31
581#define V_IGRALLCPLTOFL(x) ((x) << S_IGRALLCPLTOFL)
582#define F_IGRALLCPLTOFL V_IGRALLCPLTOFL(1U)
583
584#define S_FLSPLITMIN 22
585#define M_FLSPLITMIN 0x1ffU
586#define V_FLSPLITMIN(x) ((x) << S_FLSPLITMIN)
587#define G_FLSPLITMIN(x) (((x) >> S_FLSPLITMIN) & M_FLSPLITMIN)
588
589#define S_FLSPLITMODE 20
590#define M_FLSPLITMODE 0x3U
591#define V_FLSPLITMODE(x) ((x) << S_FLSPLITMODE)
592#define G_FLSPLITMODE(x) (((x) >> S_FLSPLITMODE) & M_FLSPLITMODE)
593
594#define S_DCASYSTYPE 19
595#define V_DCASYSTYPE(x) ((x) << S_DCASYSTYPE)
596#define F_DCASYSTYPE V_DCASYSTYPE(1U)
597
598#define S_RXPKTCPLMODE 18
599#define V_RXPKTCPLMODE(x) ((x) << S_RXPKTCPLMODE)
600#define F_RXPKTCPLMODE V_RXPKTCPLMODE(1U)
601
602#define S_EGRSTATUSPAGESIZE 17
603#define V_EGRSTATUSPAGESIZE(x) ((x) << S_EGRSTATUSPAGESIZE)
604#define F_EGRSTATUSPAGESIZE V_EGRSTATUSPAGESIZE(1U)
605
606#define S_INGHINTENABLE1 15
607#define V_INGHINTENABLE1(x) ((x) << S_INGHINTENABLE1)
608#define F_INGHINTENABLE1 V_INGHINTENABLE1(1U)
609
610#define S_INGHINTENABLE0 14
611#define V_INGHINTENABLE0(x) ((x) << S_INGHINTENABLE0)
612#define F_INGHINTENABLE0 V_INGHINTENABLE0(1U)
613
614#define S_INGINTCOMPAREIDX 13
615#define V_INGINTCOMPAREIDX(x) ((x) << S_INGINTCOMPAREIDX)
616#define F_INGINTCOMPAREIDX V_INGINTCOMPAREIDX(1U)
617
618#define S_PKTSHIFT 10
619#define M_PKTSHIFT 0x7U
620#define V_PKTSHIFT(x) ((x) << S_PKTSHIFT)
621#define G_PKTSHIFT(x) (((x) >> S_PKTSHIFT) & M_PKTSHIFT)
622
623#define S_INGPCIEBOUNDARY 7
624#define M_INGPCIEBOUNDARY 0x7U
625#define V_INGPCIEBOUNDARY(x) ((x) << S_INGPCIEBOUNDARY)
626#define G_INGPCIEBOUNDARY(x) (((x) >> S_INGPCIEBOUNDARY) & M_INGPCIEBOUNDARY)
627
628#define S_INGPADBOUNDARY 4
629#define M_INGPADBOUNDARY 0x7U
630#define V_INGPADBOUNDARY(x) ((x) << S_INGPADBOUNDARY)
631#define G_INGPADBOUNDARY(x) (((x) >> S_INGPADBOUNDARY) & M_INGPADBOUNDARY)
632
633#define S_EGRPCIEBOUNDARY 1
634#define M_EGRPCIEBOUNDARY 0x7U
635#define V_EGRPCIEBOUNDARY(x) ((x) << S_EGRPCIEBOUNDARY)
636#define G_EGRPCIEBOUNDARY(x) (((x) >> S_EGRPCIEBOUNDARY) & M_EGRPCIEBOUNDARY)
637
638#define S_GLOBALENABLE 0
639#define V_GLOBALENABLE(x) ((x) << S_GLOBALENABLE)
640#define F_GLOBALENABLE V_GLOBALENABLE(1U)
641
642#define A_SGE_HOST_PAGE_SIZE 0x100c
643
644#define S_HOSTPAGESIZEPF7 28
645#define M_HOSTPAGESIZEPF7 0xfU
646#define V_HOSTPAGESIZEPF7(x) ((x) << S_HOSTPAGESIZEPF7)
647#define G_HOSTPAGESIZEPF7(x) (((x) >> S_HOSTPAGESIZEPF7) & M_HOSTPAGESIZEPF7)
648
649#define S_HOSTPAGESIZEPF6 24
650#define M_HOSTPAGESIZEPF6 0xfU
651#define V_HOSTPAGESIZEPF6(x) ((x) << S_HOSTPAGESIZEPF6)
652#define G_HOSTPAGESIZEPF6(x) (((x) >> S_HOSTPAGESIZEPF6) & M_HOSTPAGESIZEPF6)
653
654#define S_HOSTPAGESIZEPF5 20
655#define M_HOSTPAGESIZEPF5 0xfU
656#define V_HOSTPAGESIZEPF5(x) ((x) << S_HOSTPAGESIZEPF5)
657#define G_HOSTPAGESIZEPF5(x) (((x) >> S_HOSTPAGESIZEPF5) & M_HOSTPAGESIZEPF5)
658
659#define S_HOSTPAGESIZEPF4 16
660#define M_HOSTPAGESIZEPF4 0xfU
661#define V_HOSTPAGESIZEPF4(x) ((x) << S_HOSTPAGESIZEPF4)
662#define G_HOSTPAGESIZEPF4(x) (((x) >> S_HOSTPAGESIZEPF4) & M_HOSTPAGESIZEPF4)
663
664#define S_HOSTPAGESIZEPF3 12
665#define M_HOSTPAGESIZEPF3 0xfU
666#define V_HOSTPAGESIZEPF3(x) ((x) << S_HOSTPAGESIZEPF3)
667#define G_HOSTPAGESIZEPF3(x) (((x) >> S_HOSTPAGESIZEPF3) & M_HOSTPAGESIZEPF3)
668
669#define S_HOSTPAGESIZEPF2 8
670#define M_HOSTPAGESIZEPF2 0xfU
671#define V_HOSTPAGESIZEPF2(x) ((x) << S_HOSTPAGESIZEPF2)
672#define G_HOSTPAGESIZEPF2(x) (((x) >> S_HOSTPAGESIZEPF2) & M_HOSTPAGESIZEPF2)
673
674#define S_HOSTPAGESIZEPF1 4
675#define M_HOSTPAGESIZEPF1 0xfU
676#define V_HOSTPAGESIZEPF1(x) ((x) << S_HOSTPAGESIZEPF1)
677#define G_HOSTPAGESIZEPF1(x) (((x) >> S_HOSTPAGESIZEPF1) & M_HOSTPAGESIZEPF1)
678
679#define S_HOSTPAGESIZEPF0 0
680#define M_HOSTPAGESIZEPF0 0xfU
681#define V_HOSTPAGESIZEPF0(x) ((x) << S_HOSTPAGESIZEPF0)
682#define G_HOSTPAGESIZEPF0(x) (((x) >> S_HOSTPAGESIZEPF0) & M_HOSTPAGESIZEPF0)
683
684#define A_SGE_EGRESS_QUEUES_PER_PAGE_PF 0x1010
685
686#define S_QUEUESPERPAGEPF7 28
687#define M_QUEUESPERPAGEPF7 0xfU
688#define V_QUEUESPERPAGEPF7(x) ((x) << S_QUEUESPERPAGEPF7)
689#define G_QUEUESPERPAGEPF7(x) (((x) >> S_QUEUESPERPAGEPF7) & M_QUEUESPERPAGEPF7)
690
691#define S_QUEUESPERPAGEPF6 24
692#define M_QUEUESPERPAGEPF6 0xfU
693#define V_QUEUESPERPAGEPF6(x) ((x) << S_QUEUESPERPAGEPF6)
694#define G_QUEUESPERPAGEPF6(x) (((x) >> S_QUEUESPERPAGEPF6) & M_QUEUESPERPAGEPF6)
695
696#define S_QUEUESPERPAGEPF5 20
697#define M_QUEUESPERPAGEPF5 0xfU
698#define V_QUEUESPERPAGEPF5(x) ((x) << S_QUEUESPERPAGEPF5)
699#define G_QUEUESPERPAGEPF5(x) (((x) >> S_QUEUESPERPAGEPF5) & M_QUEUESPERPAGEPF5)
700
701#define S_QUEUESPERPAGEPF4 16
702#define M_QUEUESPERPAGEPF4 0xfU
703#define V_QUEUESPERPAGEPF4(x) ((x) << S_QUEUESPERPAGEPF4)
704#define G_QUEUESPERPAGEPF4(x) (((x) >> S_QUEUESPERPAGEPF4) & M_QUEUESPERPAGEPF4)
705
706#define S_QUEUESPERPAGEPF3 12
707#define M_QUEUESPERPAGEPF3 0xfU
708#define V_QUEUESPERPAGEPF3(x) ((x) << S_QUEUESPERPAGEPF3)
709#define G_QUEUESPERPAGEPF3(x) (((x) >> S_QUEUESPERPAGEPF3) & M_QUEUESPERPAGEPF3)
710
711#define S_QUEUESPERPAGEPF2 8
712#define M_QUEUESPERPAGEPF2 0xfU
713#define V_QUEUESPERPAGEPF2(x) ((x) << S_QUEUESPERPAGEPF2)
714#define G_QUEUESPERPAGEPF2(x) (((x) >> S_QUEUESPERPAGEPF2) & M_QUEUESPERPAGEPF2)
715
716#define S_QUEUESPERPAGEPF1 4
717#define M_QUEUESPERPAGEPF1 0xfU
718#define V_QUEUESPERPAGEPF1(x) ((x) << S_QUEUESPERPAGEPF1)
719#define G_QUEUESPERPAGEPF1(x) (((x) >> S_QUEUESPERPAGEPF1) & M_QUEUESPERPAGEPF1)
720
721#define S_QUEUESPERPAGEPF0 0
722#define M_QUEUESPERPAGEPF0 0xfU
723#define V_QUEUESPERPAGEPF0(x) ((x) << S_QUEUESPERPAGEPF0)
724#define G_QUEUESPERPAGEPF0(x) (((x) >> S_QUEUESPERPAGEPF0) & M_QUEUESPERPAGEPF0)
725
726#define A_SGE_EGRESS_QUEUES_PER_PAGE_VF 0x1014
727
728#define S_QUEUESPERPAGEVFPF7 28
729#define M_QUEUESPERPAGEVFPF7 0xfU
730#define V_QUEUESPERPAGEVFPF7(x) ((x) << S_QUEUESPERPAGEVFPF7)
731#define G_QUEUESPERPAGEVFPF7(x) (((x) >> S_QUEUESPERPAGEVFPF7) & M_QUEUESPERPAGEVFPF7)
732
733#define S_QUEUESPERPAGEVFPF6 24
734#define M_QUEUESPERPAGEVFPF6 0xfU
735#define V_QUEUESPERPAGEVFPF6(x) ((x) << S_QUEUESPERPAGEVFPF6)
736#define G_QUEUESPERPAGEVFPF6(x) (((x) >> S_QUEUESPERPAGEVFPF6) & M_QUEUESPERPAGEVFPF6)
737
738#define S_QUEUESPERPAGEVFPF5 20
739#define M_QUEUESPERPAGEVFPF5 0xfU
740#define V_QUEUESPERPAGEVFPF5(x) ((x) << S_QUEUESPERPAGEVFPF5)
741#define G_QUEUESPERPAGEVFPF5(x) (((x) >> S_QUEUESPERPAGEVFPF5) & M_QUEUESPERPAGEVFPF5)
742
743#define S_QUEUESPERPAGEVFPF4 16
744#define M_QUEUESPERPAGEVFPF4 0xfU
745#define V_QUEUESPERPAGEVFPF4(x) ((x) << S_QUEUESPERPAGEVFPF4)
746#define G_QUEUESPERPAGEVFPF4(x) (((x) >> S_QUEUESPERPAGEVFPF4) & M_QUEUESPERPAGEVFPF4)
747
748#define S_QUEUESPERPAGEVFPF3 12
749#define M_QUEUESPERPAGEVFPF3 0xfU
750#define V_QUEUESPERPAGEVFPF3(x) ((x) << S_QUEUESPERPAGEVFPF3)
751#define G_QUEUESPERPAGEVFPF3(x) (((x) >> S_QUEUESPERPAGEVFPF3) & M_QUEUESPERPAGEVFPF3)
752
753#define S_QUEUESPERPAGEVFPF2 8
754#define M_QUEUESPERPAGEVFPF2 0xfU
755#define V_QUEUESPERPAGEVFPF2(x) ((x) << S_QUEUESPERPAGEVFPF2)
756#define G_QUEUESPERPAGEVFPF2(x) (((x) >> S_QUEUESPERPAGEVFPF2) & M_QUEUESPERPAGEVFPF2)
757
758#define S_QUEUESPERPAGEVFPF1 4
759#define M_QUEUESPERPAGEVFPF1 0xfU
760#define V_QUEUESPERPAGEVFPF1(x) ((x) << S_QUEUESPERPAGEVFPF1)
761#define G_QUEUESPERPAGEVFPF1(x) (((x) >> S_QUEUESPERPAGEVFPF1) & M_QUEUESPERPAGEVFPF1)
762
763#define S_QUEUESPERPAGEVFPF0 0
764#define M_QUEUESPERPAGEVFPF0 0xfU
765#define V_QUEUESPERPAGEVFPF0(x) ((x) << S_QUEUESPERPAGEVFPF0)
766#define G_QUEUESPERPAGEVFPF0(x) (((x) >> S_QUEUESPERPAGEVFPF0) & M_QUEUESPERPAGEVFPF0)
767
768#define A_SGE_USER_MODE_LIMITS 0x1018
769
770#define S_OPCODE_MIN 24
771#define M_OPCODE_MIN 0xffU
772#define V_OPCODE_MIN(x) ((x) << S_OPCODE_MIN)
773#define G_OPCODE_MIN(x) (((x) >> S_OPCODE_MIN) & M_OPCODE_MIN)
774
775#define S_OPCODE_MAX 16
776#define M_OPCODE_MAX 0xffU
777#define V_OPCODE_MAX(x) ((x) << S_OPCODE_MAX)
778#define G_OPCODE_MAX(x) (((x) >> S_OPCODE_MAX) & M_OPCODE_MAX)
779
780#define S_LENGTH_MIN 8
781#define M_LENGTH_MIN 0xffU
782#define V_LENGTH_MIN(x) ((x) << S_LENGTH_MIN)
783#define G_LENGTH_MIN(x) (((x) >> S_LENGTH_MIN) & M_LENGTH_MIN)
784
785#define S_LENGTH_MAX 0
786#define M_LENGTH_MAX 0xffU
787#define V_LENGTH_MAX(x) ((x) << S_LENGTH_MAX)
788#define G_LENGTH_MAX(x) (((x) >> S_LENGTH_MAX) & M_LENGTH_MAX)
789
790#define A_SGE_WR_ERROR 0x101c
791
792#define S_WR_ERROR_OPCODE 0
793#define M_WR_ERROR_OPCODE 0xffU
794#define V_WR_ERROR_OPCODE(x) ((x) << S_WR_ERROR_OPCODE)
795#define G_WR_ERROR_OPCODE(x) (((x) >> S_WR_ERROR_OPCODE) & M_WR_ERROR_OPCODE)
796
797#define A_SGE_PERR_INJECT 0x1020
798
799#define S_MEMSEL 1
800#define M_MEMSEL 0x1fU
801#define V_MEMSEL(x) ((x) << S_MEMSEL)
802#define G_MEMSEL(x) (((x) >> S_MEMSEL) & M_MEMSEL)
803
804#define S_INJECTDATAERR 0
805#define V_INJECTDATAERR(x) ((x) << S_INJECTDATAERR)
806#define F_INJECTDATAERR V_INJECTDATAERR(1U)
807
808#define A_SGE_INT_CAUSE1 0x1024
809
810#define S_PERR_FLM_CREDITFIFO 30
811#define V_PERR_FLM_CREDITFIFO(x) ((x) << S_PERR_FLM_CREDITFIFO)
812#define F_PERR_FLM_CREDITFIFO V_PERR_FLM_CREDITFIFO(1U)
813
814#define S_PERR_IMSG_HINT_FIFO 29
815#define V_PERR_IMSG_HINT_FIFO(x) ((x) << S_PERR_IMSG_HINT_FIFO)
816#define F_PERR_IMSG_HINT_FIFO V_PERR_IMSG_HINT_FIFO(1U)
817
818#define S_PERR_MC_PC 28
819#define V_PERR_MC_PC(x) ((x) << S_PERR_MC_PC)
820#define F_PERR_MC_PC V_PERR_MC_PC(1U)
821
822#define S_PERR_MC_IGR_CTXT 27
823#define V_PERR_MC_IGR_CTXT(x) ((x) << S_PERR_MC_IGR_CTXT)
824#define F_PERR_MC_IGR_CTXT V_PERR_MC_IGR_CTXT(1U)
825
826#define S_PERR_MC_EGR_CTXT 26
827#define V_PERR_MC_EGR_CTXT(x) ((x) << S_PERR_MC_EGR_CTXT)
828#define F_PERR_MC_EGR_CTXT V_PERR_MC_EGR_CTXT(1U)
829
830#define S_PERR_MC_FLM 25
831#define V_PERR_MC_FLM(x) ((x) << S_PERR_MC_FLM)
832#define F_PERR_MC_FLM V_PERR_MC_FLM(1U)
833
834#define S_PERR_PC_MCTAG 24
835#define V_PERR_PC_MCTAG(x) ((x) << S_PERR_PC_MCTAG)
836#define F_PERR_PC_MCTAG V_PERR_PC_MCTAG(1U)
837
838#define S_PERR_PC_CHPI_RSP1 23
839#define V_PERR_PC_CHPI_RSP1(x) ((x) << S_PERR_PC_CHPI_RSP1)
840#define F_PERR_PC_CHPI_RSP1 V_PERR_PC_CHPI_RSP1(1U)
841
842#define S_PERR_PC_CHPI_RSP0 22
843#define V_PERR_PC_CHPI_RSP0(x) ((x) << S_PERR_PC_CHPI_RSP0)
844#define F_PERR_PC_CHPI_RSP0 V_PERR_PC_CHPI_RSP0(1U)
845
846#define S_PERR_DBP_PC_RSP_FIFO3 21
847#define V_PERR_DBP_PC_RSP_FIFO3(x) ((x) << S_PERR_DBP_PC_RSP_FIFO3)
848#define F_PERR_DBP_PC_RSP_FIFO3 V_PERR_DBP_PC_RSP_FIFO3(1U)
849
850#define S_PERR_DBP_PC_RSP_FIFO2 20
851#define V_PERR_DBP_PC_RSP_FIFO2(x) ((x) << S_PERR_DBP_PC_RSP_FIFO2)
852#define F_PERR_DBP_PC_RSP_FIFO2 V_PERR_DBP_PC_RSP_FIFO2(1U)
853
854#define S_PERR_DBP_PC_RSP_FIFO1 19
855#define V_PERR_DBP_PC_RSP_FIFO1(x) ((x) << S_PERR_DBP_PC_RSP_FIFO1)
856#define F_PERR_DBP_PC_RSP_FIFO1 V_PERR_DBP_PC_RSP_FIFO1(1U)
857
858#define S_PERR_DBP_PC_RSP_FIFO0 18
859#define V_PERR_DBP_PC_RSP_FIFO0(x) ((x) << S_PERR_DBP_PC_RSP_FIFO0)
860#define F_PERR_DBP_PC_RSP_FIFO0 V_PERR_DBP_PC_RSP_FIFO0(1U)
861
862#define S_PERR_DMARBT 17
863#define V_PERR_DMARBT(x) ((x) << S_PERR_DMARBT)
864#define F_PERR_DMARBT V_PERR_DMARBT(1U)
865
866#define S_PERR_FLM_DBPFIFO 16
867#define V_PERR_FLM_DBPFIFO(x) ((x) << S_PERR_FLM_DBPFIFO)
868#define F_PERR_FLM_DBPFIFO V_PERR_FLM_DBPFIFO(1U)
869
870#define S_PERR_FLM_MCREQ_FIFO 15
871#define V_PERR_FLM_MCREQ_FIFO(x) ((x) << S_PERR_FLM_MCREQ_FIFO)
872#define F_PERR_FLM_MCREQ_FIFO V_PERR_FLM_MCREQ_FIFO(1U)
873
874#define S_PERR_FLM_HINTFIFO 14
875#define V_PERR_FLM_HINTFIFO(x) ((x) << S_PERR_FLM_HINTFIFO)
876#define F_PERR_FLM_HINTFIFO V_PERR_FLM_HINTFIFO(1U)
877
878#define S_PERR_ALIGN_CTL_FIFO3 13
879#define V_PERR_ALIGN_CTL_FIFO3(x) ((x) << S_PERR_ALIGN_CTL_FIFO3)
880#define F_PERR_ALIGN_CTL_FIFO3 V_PERR_ALIGN_CTL_FIFO3(1U)
881
882#define S_PERR_ALIGN_CTL_FIFO2 12
883#define V_PERR_ALIGN_CTL_FIFO2(x) ((x) << S_PERR_ALIGN_CTL_FIFO2)
884#define F_PERR_ALIGN_CTL_FIFO2 V_PERR_ALIGN_CTL_FIFO2(1U)
885
886#define S_PERR_ALIGN_CTL_FIFO1 11
887#define V_PERR_ALIGN_CTL_FIFO1(x) ((x) << S_PERR_ALIGN_CTL_FIFO1)
888#define F_PERR_ALIGN_CTL_FIFO1 V_PERR_ALIGN_CTL_FIFO1(1U)
889
890#define S_PERR_ALIGN_CTL_FIFO0 10
891#define V_PERR_ALIGN_CTL_FIFO0(x) ((x) << S_PERR_ALIGN_CTL_FIFO0)
892#define F_PERR_ALIGN_CTL_FIFO0 V_PERR_ALIGN_CTL_FIFO0(1U)
893
894#define S_PERR_EDMA_FIFO3 9
895#define V_PERR_EDMA_FIFO3(x) ((x) << S_PERR_EDMA_FIFO3)
896#define F_PERR_EDMA_FIFO3 V_PERR_EDMA_FIFO3(1U)
897
898#define S_PERR_EDMA_FIFO2 8
899#define V_PERR_EDMA_FIFO2(x) ((x) << S_PERR_EDMA_FIFO2)
900#define F_PERR_EDMA_FIFO2 V_PERR_EDMA_FIFO2(1U)
901
902#define S_PERR_EDMA_FIFO1 7
903#define V_PERR_EDMA_FIFO1(x) ((x) << S_PERR_EDMA_FIFO1)
904#define F_PERR_EDMA_FIFO1 V_PERR_EDMA_FIFO1(1U)
905
906#define S_PERR_EDMA_FIFO0 6
907#define V_PERR_EDMA_FIFO0(x) ((x) << S_PERR_EDMA_FIFO0)
908#define F_PERR_EDMA_FIFO0 V_PERR_EDMA_FIFO0(1U)
909
910#define S_PERR_PD_FIFO3 5
911#define V_PERR_PD_FIFO3(x) ((x) << S_PERR_PD_FIFO3)
912#define F_PERR_PD_FIFO3 V_PERR_PD_FIFO3(1U)
913
914#define S_PERR_PD_FIFO2 4
915#define V_PERR_PD_FIFO2(x) ((x) << S_PERR_PD_FIFO2)
916#define F_PERR_PD_FIFO2 V_PERR_PD_FIFO2(1U)
917
918#define S_PERR_PD_FIFO1 3
919#define V_PERR_PD_FIFO1(x) ((x) << S_PERR_PD_FIFO1)
920#define F_PERR_PD_FIFO1 V_PERR_PD_FIFO1(1U)
921
922#define S_PERR_PD_FIFO0 2
923#define V_PERR_PD_FIFO0(x) ((x) << S_PERR_PD_FIFO0)
924#define F_PERR_PD_FIFO0 V_PERR_PD_FIFO0(1U)
925
926#define S_PERR_ING_CTXT_MIFRSP 1
927#define V_PERR_ING_CTXT_MIFRSP(x) ((x) << S_PERR_ING_CTXT_MIFRSP)
928#define F_PERR_ING_CTXT_MIFRSP V_PERR_ING_CTXT_MIFRSP(1U)
929
930#define S_PERR_EGR_CTXT_MIFRSP 0
931#define V_PERR_EGR_CTXT_MIFRSP(x) ((x) << S_PERR_EGR_CTXT_MIFRSP)
932#define F_PERR_EGR_CTXT_MIFRSP V_PERR_EGR_CTXT_MIFRSP(1U)
933
934#define S_PERR_PC_CHPI_RSP2 31
935#define V_PERR_PC_CHPI_RSP2(x) ((x) << S_PERR_PC_CHPI_RSP2)
936#define F_PERR_PC_CHPI_RSP2 V_PERR_PC_CHPI_RSP2(1U)
937
938#define S_PERR_PC_RSP 23
939#define V_PERR_PC_RSP(x) ((x) << S_PERR_PC_RSP)
940#define F_PERR_PC_RSP V_PERR_PC_RSP(1U)
941
942#define S_PERR_PC_REQ 22
943#define V_PERR_PC_REQ(x) ((x) << S_PERR_PC_REQ)
944#define F_PERR_PC_REQ V_PERR_PC_REQ(1U)
945
946#define A_SGE_INT_ENABLE1 0x1028
947#define A_SGE_PERR_ENABLE1 0x102c
948#define A_SGE_INT_CAUSE2 0x1030
949
950#define S_PERR_HINT_DELAY_FIFO1 30
951#define V_PERR_HINT_DELAY_FIFO1(x) ((x) << S_PERR_HINT_DELAY_FIFO1)
952#define F_PERR_HINT_DELAY_FIFO1 V_PERR_HINT_DELAY_FIFO1(1U)
953
954#define S_PERR_HINT_DELAY_FIFO0 29
955#define V_PERR_HINT_DELAY_FIFO0(x) ((x) << S_PERR_HINT_DELAY_FIFO0)
956#define F_PERR_HINT_DELAY_FIFO0 V_PERR_HINT_DELAY_FIFO0(1U)
957
958#define S_PERR_IMSG_PD_FIFO 28
959#define V_PERR_IMSG_PD_FIFO(x) ((x) << S_PERR_IMSG_PD_FIFO)
960#define F_PERR_IMSG_PD_FIFO V_PERR_IMSG_PD_FIFO(1U)
961
962#define S_PERR_ULPTX_FIFO1 27
963#define V_PERR_ULPTX_FIFO1(x) ((x) << S_PERR_ULPTX_FIFO1)
964#define F_PERR_ULPTX_FIFO1 V_PERR_ULPTX_FIFO1(1U)
965
966#define S_PERR_ULPTX_FIFO0 26
967#define V_PERR_ULPTX_FIFO0(x) ((x) << S_PERR_ULPTX_FIFO0)
968#define F_PERR_ULPTX_FIFO0 V_PERR_ULPTX_FIFO0(1U)
969
970#define S_PERR_IDMA2IMSG_FIFO1 25
971#define V_PERR_IDMA2IMSG_FIFO1(x) ((x) << S_PERR_IDMA2IMSG_FIFO1)
972#define F_PERR_IDMA2IMSG_FIFO1 V_PERR_IDMA2IMSG_FIFO1(1U)
973
974#define S_PERR_IDMA2IMSG_FIFO0 24
975#define V_PERR_IDMA2IMSG_FIFO0(x) ((x) << S_PERR_IDMA2IMSG_FIFO0)
976#define F_PERR_IDMA2IMSG_FIFO0 V_PERR_IDMA2IMSG_FIFO0(1U)
977
978#define S_PERR_HEADERSPLIT_FIFO1 23
979#define V_PERR_HEADERSPLIT_FIFO1(x) ((x) << S_PERR_HEADERSPLIT_FIFO1)
980#define F_PERR_HEADERSPLIT_FIFO1 V_PERR_HEADERSPLIT_FIFO1(1U)
981
982#define S_PERR_HEADERSPLIT_FIFO0 22
983#define V_PERR_HEADERSPLIT_FIFO0(x) ((x) << S_PERR_HEADERSPLIT_FIFO0)
984#define F_PERR_HEADERSPLIT_FIFO0 V_PERR_HEADERSPLIT_FIFO0(1U)
985
986#define S_PERR_ESWITCH_FIFO3 21
987#define V_PERR_ESWITCH_FIFO3(x) ((x) << S_PERR_ESWITCH_FIFO3)
988#define F_PERR_ESWITCH_FIFO3 V_PERR_ESWITCH_FIFO3(1U)
989
990#define S_PERR_ESWITCH_FIFO2 20
991#define V_PERR_ESWITCH_FIFO2(x) ((x) << S_PERR_ESWITCH_FIFO2)
992#define F_PERR_ESWITCH_FIFO2 V_PERR_ESWITCH_FIFO2(1U)
993
994#define S_PERR_ESWITCH_FIFO1 19
995#define V_PERR_ESWITCH_FIFO1(x) ((x) << S_PERR_ESWITCH_FIFO1)
996#define F_PERR_ESWITCH_FIFO1 V_PERR_ESWITCH_FIFO1(1U)
997
998#define S_PERR_ESWITCH_FIFO0 18
999#define V_PERR_ESWITCH_FIFO0(x) ((x) << S_PERR_ESWITCH_FIFO0)
1000#define F_PERR_ESWITCH_FIFO0 V_PERR_ESWITCH_FIFO0(1U)
1001
1002#define S_PERR_PC_DBP1 17
1003#define V_PERR_PC_DBP1(x) ((x) << S_PERR_PC_DBP1)
1004#define F_PERR_PC_DBP1 V_PERR_PC_DBP1(1U)
1005
1006#define S_PERR_PC_DBP0 16
1007#define V_PERR_PC_DBP0(x) ((x) << S_PERR_PC_DBP0)
1008#define F_PERR_PC_DBP0 V_PERR_PC_DBP0(1U)
1009
1010#define S_PERR_IMSG_OB_FIFO 15
1011#define V_PERR_IMSG_OB_FIFO(x) ((x) << S_PERR_IMSG_OB_FIFO)
1012#define F_PERR_IMSG_OB_FIFO V_PERR_IMSG_OB_FIFO(1U)
1013
1014#define S_PERR_CONM_SRAM 14
1015#define V_PERR_CONM_SRAM(x) ((x) << S_PERR_CONM_SRAM)
1016#define F_PERR_CONM_SRAM V_PERR_CONM_SRAM(1U)
1017
1018#define S_PERR_PC_MC_RSP 13
1019#define V_PERR_PC_MC_RSP(x) ((x) << S_PERR_PC_MC_RSP)
1020#define F_PERR_PC_MC_RSP V_PERR_PC_MC_RSP(1U)
1021
1022#define S_PERR_ISW_IDMA0_FIFO 12
1023#define V_PERR_ISW_IDMA0_FIFO(x) ((x) << S_PERR_ISW_IDMA0_FIFO)
1024#define F_PERR_ISW_IDMA0_FIFO V_PERR_ISW_IDMA0_FIFO(1U)
1025
1026#define S_PERR_ISW_IDMA1_FIFO 11
1027#define V_PERR_ISW_IDMA1_FIFO(x) ((x) << S_PERR_ISW_IDMA1_FIFO)
1028#define F_PERR_ISW_IDMA1_FIFO V_PERR_ISW_IDMA1_FIFO(1U)
1029
1030#define S_PERR_ISW_DBP_FIFO 10
1031#define V_PERR_ISW_DBP_FIFO(x) ((x) << S_PERR_ISW_DBP_FIFO)
1032#define F_PERR_ISW_DBP_FIFO V_PERR_ISW_DBP_FIFO(1U)
1033
1034#define S_PERR_ISW_GTS_FIFO 9
1035#define V_PERR_ISW_GTS_FIFO(x) ((x) << S_PERR_ISW_GTS_FIFO)
1036#define F_PERR_ISW_GTS_FIFO V_PERR_ISW_GTS_FIFO(1U)
1037
1038#define S_PERR_ITP_EVR 8
1039#define V_PERR_ITP_EVR(x) ((x) << S_PERR_ITP_EVR)
1040#define F_PERR_ITP_EVR V_PERR_ITP_EVR(1U)
1041
1042#define S_PERR_FLM_CNTXMEM 7
1043#define V_PERR_FLM_CNTXMEM(x) ((x) << S_PERR_FLM_CNTXMEM)
1044#define F_PERR_FLM_CNTXMEM V_PERR_FLM_CNTXMEM(1U)
1045
1046#define S_PERR_FLM_L1CACHE 6
1047#define V_PERR_FLM_L1CACHE(x) ((x) << S_PERR_FLM_L1CACHE)
1048#define F_PERR_FLM_L1CACHE V_PERR_FLM_L1CACHE(1U)
1049
1050#define S_PERR_DBP_HINT_FIFO 5
1051#define V_PERR_DBP_HINT_FIFO(x) ((x) << S_PERR_DBP_HINT_FIFO)
1052#define F_PERR_DBP_HINT_FIFO V_PERR_DBP_HINT_FIFO(1U)
1053
1054#define S_PERR_DBP_HP_FIFO 4
1055#define V_PERR_DBP_HP_FIFO(x) ((x) << S_PERR_DBP_HP_FIFO)
1056#define F_PERR_DBP_HP_FIFO V_PERR_DBP_HP_FIFO(1U)
1057
1058#define S_PERR_DBP_LP_FIFO 3
1059#define V_PERR_DBP_LP_FIFO(x) ((x) << S_PERR_DBP_LP_FIFO)
1060#define F_PERR_DBP_LP_FIFO V_PERR_DBP_LP_FIFO(1U)
1061
1062#define S_PERR_ING_CTXT_CACHE 2
1063#define V_PERR_ING_CTXT_CACHE(x) ((x) << S_PERR_ING_CTXT_CACHE)
1064#define F_PERR_ING_CTXT_CACHE V_PERR_ING_CTXT_CACHE(1U)
1065
1066#define S_PERR_EGR_CTXT_CACHE 1
1067#define V_PERR_EGR_CTXT_CACHE(x) ((x) << S_PERR_EGR_CTXT_CACHE)
1068#define F_PERR_EGR_CTXT_CACHE V_PERR_EGR_CTXT_CACHE(1U)
1069
1070#define S_PERR_BASE_SIZE 0
1071#define V_PERR_BASE_SIZE(x) ((x) << S_PERR_BASE_SIZE)
1072#define F_PERR_BASE_SIZE V_PERR_BASE_SIZE(1U)
1073
1074#define S_PERR_DBP_HINT_FL_FIFO 24
1075#define V_PERR_DBP_HINT_FL_FIFO(x) ((x) << S_PERR_DBP_HINT_FL_FIFO)
1076#define F_PERR_DBP_HINT_FL_FIFO V_PERR_DBP_HINT_FL_FIFO(1U)
1077
1078#define S_PERR_EGR_DBP_TX_COAL 23
1079#define V_PERR_EGR_DBP_TX_COAL(x) ((x) << S_PERR_EGR_DBP_TX_COAL)
1080#define F_PERR_EGR_DBP_TX_COAL V_PERR_EGR_DBP_TX_COAL(1U)
1081
1082#define S_PERR_DBP_FL_FIFO 22
1083#define V_PERR_DBP_FL_FIFO(x) ((x) << S_PERR_DBP_FL_FIFO)
1084#define F_PERR_DBP_FL_FIFO V_PERR_DBP_FL_FIFO(1U)
1085
1086#define S_PERR_PC_DBP2 15
1087#define V_PERR_PC_DBP2(x) ((x) << S_PERR_PC_DBP2)
1088#define F_PERR_PC_DBP2 V_PERR_PC_DBP2(1U)
1089
1090#define S_DEQ_LL_PERR 21
1091#define V_DEQ_LL_PERR(x) ((x) << S_DEQ_LL_PERR)
1092#define F_DEQ_LL_PERR V_DEQ_LL_PERR(1U)
1093
1094#define S_ENQ_PERR 20
1095#define V_ENQ_PERR(x) ((x) << S_ENQ_PERR)
1096#define F_ENQ_PERR V_ENQ_PERR(1U)
1097
1098#define S_DEQ_OUT_PERR 19
1099#define V_DEQ_OUT_PERR(x) ((x) << S_DEQ_OUT_PERR)
1100#define F_DEQ_OUT_PERR V_DEQ_OUT_PERR(1U)
1101
1102#define S_BUF_PERR 18
1103#define V_BUF_PERR(x) ((x) << S_BUF_PERR)
1104#define F_BUF_PERR V_BUF_PERR(1U)
1105
1106#define S_PERR_DB_FIFO 3
1107#define V_PERR_DB_FIFO(x) ((x) << S_PERR_DB_FIFO)
1108#define F_PERR_DB_FIFO V_PERR_DB_FIFO(1U)
1109
1110#define A_SGE_INT_ENABLE2 0x1034
1111#define A_SGE_PERR_ENABLE2 0x1038
1112#define A_SGE_INT_CAUSE3 0x103c
1113
1114#define S_ERR_FLM_DBP 31
1115#define V_ERR_FLM_DBP(x) ((x) << S_ERR_FLM_DBP)
1116#define F_ERR_FLM_DBP V_ERR_FLM_DBP(1U)
1117
1118#define S_ERR_FLM_IDMA1 30
1119#define V_ERR_FLM_IDMA1(x) ((x) << S_ERR_FLM_IDMA1)
1120#define F_ERR_FLM_IDMA1 V_ERR_FLM_IDMA1(1U)
1121
1122#define S_ERR_FLM_IDMA0 29
1123#define V_ERR_FLM_IDMA0(x) ((x) << S_ERR_FLM_IDMA0)
1124#define F_ERR_FLM_IDMA0 V_ERR_FLM_IDMA0(1U)
1125
1126#define S_ERR_FLM_HINT 28
1127#define V_ERR_FLM_HINT(x) ((x) << S_ERR_FLM_HINT)
1128#define F_ERR_FLM_HINT V_ERR_FLM_HINT(1U)
1129
1130#define S_ERR_PCIE_ERROR3 27
1131#define V_ERR_PCIE_ERROR3(x) ((x) << S_ERR_PCIE_ERROR3)
1132#define F_ERR_PCIE_ERROR3 V_ERR_PCIE_ERROR3(1U)
1133
1134#define S_ERR_PCIE_ERROR2 26
1135#define V_ERR_PCIE_ERROR2(x) ((x) << S_ERR_PCIE_ERROR2)
1136#define F_ERR_PCIE_ERROR2 V_ERR_PCIE_ERROR2(1U)
1137
1138#define S_ERR_PCIE_ERROR1 25
1139#define V_ERR_PCIE_ERROR1(x) ((x) << S_ERR_PCIE_ERROR1)
1140#define F_ERR_PCIE_ERROR1 V_ERR_PCIE_ERROR1(1U)
1141
1142#define S_ERR_PCIE_ERROR0 24
1143#define V_ERR_PCIE_ERROR0(x) ((x) << S_ERR_PCIE_ERROR0)
1144#define F_ERR_PCIE_ERROR0 V_ERR_PCIE_ERROR0(1U)
1145
1146#define S_ERR_TIMER_ABOVE_MAX_QID 23
1147#define V_ERR_TIMER_ABOVE_MAX_QID(x) ((x) << S_ERR_TIMER_ABOVE_MAX_QID)
1148#define F_ERR_TIMER_ABOVE_MAX_QID V_ERR_TIMER_ABOVE_MAX_QID(1U)
1149
1150#define S_ERR_CPL_EXCEED_IQE_SIZE 22
1151#define V_ERR_CPL_EXCEED_IQE_SIZE(x) ((x) << S_ERR_CPL_EXCEED_IQE_SIZE)
1152#define F_ERR_CPL_EXCEED_IQE_SIZE V_ERR_CPL_EXCEED_IQE_SIZE(1U)
1153
1154#define S_ERR_INVALID_CIDX_INC 21
1155#define V_ERR_INVALID_CIDX_INC(x) ((x) << S_ERR_INVALID_CIDX_INC)
1156#define F_ERR_INVALID_CIDX_INC V_ERR_INVALID_CIDX_INC(1U)
1157
1158#define S_ERR_ITP_TIME_PAUSED 20
1159#define V_ERR_ITP_TIME_PAUSED(x) ((x) << S_ERR_ITP_TIME_PAUSED)
1160#define F_ERR_ITP_TIME_PAUSED V_ERR_ITP_TIME_PAUSED(1U)
1161
1162#define S_ERR_CPL_OPCODE_0 19
1163#define V_ERR_CPL_OPCODE_0(x) ((x) << S_ERR_CPL_OPCODE_0)
1164#define F_ERR_CPL_OPCODE_0 V_ERR_CPL_OPCODE_0(1U)
1165
1166#define S_ERR_DROPPED_DB 18
1167#define V_ERR_DROPPED_DB(x) ((x) << S_ERR_DROPPED_DB)
1168#define F_ERR_DROPPED_DB V_ERR_DROPPED_DB(1U)
1169
1170#define S_ERR_DATA_CPL_ON_HIGH_QID1 17
1171#define V_ERR_DATA_CPL_ON_HIGH_QID1(x) ((x) << S_ERR_DATA_CPL_ON_HIGH_QID1)
1172#define F_ERR_DATA_CPL_ON_HIGH_QID1 V_ERR_DATA_CPL_ON_HIGH_QID1(1U)
1173
1174#define S_ERR_DATA_CPL_ON_HIGH_QID0 16
1175#define V_ERR_DATA_CPL_ON_HIGH_QID0(x) ((x) << S_ERR_DATA_CPL_ON_HIGH_QID0)
1176#define F_ERR_DATA_CPL_ON_HIGH_QID0 V_ERR_DATA_CPL_ON_HIGH_QID0(1U)
1177
1178#define S_ERR_BAD_DB_PIDX3 15
1179#define V_ERR_BAD_DB_PIDX3(x) ((x) << S_ERR_BAD_DB_PIDX3)
1180#define F_ERR_BAD_DB_PIDX3 V_ERR_BAD_DB_PIDX3(1U)
1181
1182#define S_ERR_BAD_DB_PIDX2 14
1183#define V_ERR_BAD_DB_PIDX2(x) ((x) << S_ERR_BAD_DB_PIDX2)
1184#define F_ERR_BAD_DB_PIDX2 V_ERR_BAD_DB_PIDX2(1U)
1185
1186#define S_ERR_BAD_DB_PIDX1 13
1187#define V_ERR_BAD_DB_PIDX1(x) ((x) << S_ERR_BAD_DB_PIDX1)
1188#define F_ERR_BAD_DB_PIDX1 V_ERR_BAD_DB_PIDX1(1U)
1189
1190#define S_ERR_BAD_DB_PIDX0 12
1191#define V_ERR_BAD_DB_PIDX0(x) ((x) << S_ERR_BAD_DB_PIDX0)
1192#define F_ERR_BAD_DB_PIDX0 V_ERR_BAD_DB_PIDX0(1U)
1193
1194#define S_ERR_ING_PCIE_CHAN 11
1195#define V_ERR_ING_PCIE_CHAN(x) ((x) << S_ERR_ING_PCIE_CHAN)
1196#define F_ERR_ING_PCIE_CHAN V_ERR_ING_PCIE_CHAN(1U)
1197
1198#define S_ERR_ING_CTXT_PRIO 10
1199#define V_ERR_ING_CTXT_PRIO(x) ((x) << S_ERR_ING_CTXT_PRIO)
1200#define F_ERR_ING_CTXT_PRIO V_ERR_ING_CTXT_PRIO(1U)
1201
1202#define S_ERR_EGR_CTXT_PRIO 9
1203#define V_ERR_EGR_CTXT_PRIO(x) ((x) << S_ERR_EGR_CTXT_PRIO)
1204#define F_ERR_EGR_CTXT_PRIO V_ERR_EGR_CTXT_PRIO(1U)
1205
1206#define S_DBFIFO_HP_INT 8
1207#define V_DBFIFO_HP_INT(x) ((x) << S_DBFIFO_HP_INT)
1208#define F_DBFIFO_HP_INT V_DBFIFO_HP_INT(1U)
1209
1210#define S_DBFIFO_LP_INT 7
1211#define V_DBFIFO_LP_INT(x) ((x) << S_DBFIFO_LP_INT)
1212#define F_DBFIFO_LP_INT V_DBFIFO_LP_INT(1U)
1213
1214#define S_REG_ADDRESS_ERR 6
1215#define V_REG_ADDRESS_ERR(x) ((x) << S_REG_ADDRESS_ERR)
1216#define F_REG_ADDRESS_ERR V_REG_ADDRESS_ERR(1U)
1217
1218#define S_INGRESS_SIZE_ERR 5
1219#define V_INGRESS_SIZE_ERR(x) ((x) << S_INGRESS_SIZE_ERR)
1220#define F_INGRESS_SIZE_ERR V_INGRESS_SIZE_ERR(1U)
1221
1222#define S_EGRESS_SIZE_ERR 4
1223#define V_EGRESS_SIZE_ERR(x) ((x) << S_EGRESS_SIZE_ERR)
1224#define F_EGRESS_SIZE_ERR V_EGRESS_SIZE_ERR(1U)
1225
1226#define S_ERR_INV_CTXT3 3
1227#define V_ERR_INV_CTXT3(x) ((x) << S_ERR_INV_CTXT3)
1228#define F_ERR_INV_CTXT3 V_ERR_INV_CTXT3(1U)
1229
1230#define S_ERR_INV_CTXT2 2
1231#define V_ERR_INV_CTXT2(x) ((x) << S_ERR_INV_CTXT2)
1232#define F_ERR_INV_CTXT2 V_ERR_INV_CTXT2(1U)
1233
1234#define S_ERR_INV_CTXT1 1
1235#define V_ERR_INV_CTXT1(x) ((x) << S_ERR_INV_CTXT1)
1236#define F_ERR_INV_CTXT1 V_ERR_INV_CTXT1(1U)
1237
1238#define S_ERR_INV_CTXT0 0
1239#define V_ERR_INV_CTXT0(x) ((x) << S_ERR_INV_CTXT0)
1240#define F_ERR_INV_CTXT0 V_ERR_INV_CTXT0(1U)
1241
1242#define S_DBP_TBUF_FULL 8
1243#define V_DBP_TBUF_FULL(x) ((x) << S_DBP_TBUF_FULL)
1244#define F_DBP_TBUF_FULL V_DBP_TBUF_FULL(1U)
1245
1246#define S_FATAL_WRE_LEN 7
1247#define V_FATAL_WRE_LEN(x) ((x) << S_FATAL_WRE_LEN)
1248#define F_FATAL_WRE_LEN V_FATAL_WRE_LEN(1U)
1249
1250#define A_SGE_INT_ENABLE3 0x1040
1251#define A_SGE_FL_BUFFER_SIZE0 0x1044
1252
1253#define S_SIZE 4
1254#define CXGBE_M_SIZE 0xfffffffU
1255#define V_SIZE(x) ((x) << S_SIZE)
1256#define G_SIZE(x) (((x) >> S_SIZE) & CXGBE_M_SIZE)
1257
1258#define S_T6_SIZE 4
1259#define M_T6_SIZE 0xfffffU
1260#define V_T6_SIZE(x) ((x) << S_T6_SIZE)
1261#define G_T6_SIZE(x) (((x) >> S_T6_SIZE) & M_T6_SIZE)
1262
1263#define A_SGE_FL_BUFFER_SIZE1 0x1048
1264
1265#define S_T6_SIZE 4
1266#define M_T6_SIZE 0xfffffU
1267#define V_T6_SIZE(x) ((x) << S_T6_SIZE)
1268#define G_T6_SIZE(x) (((x) >> S_T6_SIZE) & M_T6_SIZE)
1269
1270#define A_SGE_FL_BUFFER_SIZE2 0x104c
1271
1272#define S_T6_SIZE 4
1273#define M_T6_SIZE 0xfffffU
1274#define V_T6_SIZE(x) ((x) << S_T6_SIZE)
1275#define G_T6_SIZE(x) (((x) >> S_T6_SIZE) & M_T6_SIZE)
1276
1277#define A_SGE_FL_BUFFER_SIZE3 0x1050
1278
1279#define S_T6_SIZE 4
1280#define M_T6_SIZE 0xfffffU
1281#define V_T6_SIZE(x) ((x) << S_T6_SIZE)
1282#define G_T6_SIZE(x) (((x) >> S_T6_SIZE) & M_T6_SIZE)
1283
1284#define A_SGE_FL_BUFFER_SIZE4 0x1054
1285
1286#define S_T6_SIZE 4
1287#define M_T6_SIZE 0xfffffU
1288#define V_T6_SIZE(x) ((x) << S_T6_SIZE)
1289#define G_T6_SIZE(x) (((x) >> S_T6_SIZE) & M_T6_SIZE)
1290
1291#define A_SGE_FL_BUFFER_SIZE5 0x1058
1292
1293#define S_T6_SIZE 4
1294#define M_T6_SIZE 0xfffffU
1295#define V_T6_SIZE(x) ((x) << S_T6_SIZE)
1296#define G_T6_SIZE(x) (((x) >> S_T6_SIZE) & M_T6_SIZE)
1297
1298#define A_SGE_FL_BUFFER_SIZE6 0x105c
1299
1300#define S_T6_SIZE 4
1301#define M_T6_SIZE 0xfffffU
1302#define V_T6_SIZE(x) ((x) << S_T6_SIZE)
1303#define G_T6_SIZE(x) (((x) >> S_T6_SIZE) & M_T6_SIZE)
1304
1305#define A_SGE_FL_BUFFER_SIZE7 0x1060
1306
1307#define S_T6_SIZE 4
1308#define M_T6_SIZE 0xfffffU
1309#define V_T6_SIZE(x) ((x) << S_T6_SIZE)
1310#define G_T6_SIZE(x) (((x) >> S_T6_SIZE) & M_T6_SIZE)
1311
1312#define A_SGE_FL_BUFFER_SIZE8 0x1064
1313
1314#define S_T6_SIZE 4
1315#define M_T6_SIZE 0xfffffU
1316#define V_T6_SIZE(x) ((x) << S_T6_SIZE)
1317#define G_T6_SIZE(x) (((x) >> S_T6_SIZE) & M_T6_SIZE)
1318
1319#define A_SGE_FL_BUFFER_SIZE9 0x1068
1320
1321#define S_T6_SIZE 4
1322#define M_T6_SIZE 0xfffffU
1323#define V_T6_SIZE(x) ((x) << S_T6_SIZE)
1324#define G_T6_SIZE(x) (((x) >> S_T6_SIZE) & M_T6_SIZE)
1325
1326#define A_SGE_FL_BUFFER_SIZE10 0x106c
1327
1328#define S_T6_SIZE 4
1329#define M_T6_SIZE 0xfffffU
1330#define V_T6_SIZE(x) ((x) << S_T6_SIZE)
1331#define G_T6_SIZE(x) (((x) >> S_T6_SIZE) & M_T6_SIZE)
1332
1333#define A_SGE_FL_BUFFER_SIZE11 0x1070
1334
1335#define S_T6_SIZE 4
1336#define M_T6_SIZE 0xfffffU
1337#define V_T6_SIZE(x) ((x) << S_T6_SIZE)
1338#define G_T6_SIZE(x) (((x) >> S_T6_SIZE) & M_T6_SIZE)
1339
1340#define A_SGE_FL_BUFFER_SIZE12 0x1074
1341
1342#define S_T6_SIZE 4
1343#define M_T6_SIZE 0xfffffU
1344#define V_T6_SIZE(x) ((x) << S_T6_SIZE)
1345#define G_T6_SIZE(x) (((x) >> S_T6_SIZE) & M_T6_SIZE)
1346
1347#define A_SGE_FL_BUFFER_SIZE13 0x1078
1348
1349#define S_T6_SIZE 4
1350#define M_T6_SIZE 0xfffffU
1351#define V_T6_SIZE(x) ((x) << S_T6_SIZE)
1352#define G_T6_SIZE(x) (((x) >> S_T6_SIZE) & M_T6_SIZE)
1353
1354#define A_SGE_FL_BUFFER_SIZE14 0x107c
1355
1356#define S_T6_SIZE 4
1357#define M_T6_SIZE 0xfffffU
1358#define V_T6_SIZE(x) ((x) << S_T6_SIZE)
1359#define G_T6_SIZE(x) (((x) >> S_T6_SIZE) & M_T6_SIZE)
1360
1361#define A_SGE_FL_BUFFER_SIZE15 0x1080
1362
1363#define S_T6_SIZE 4
1364#define M_T6_SIZE 0xfffffU
1365#define V_T6_SIZE(x) ((x) << S_T6_SIZE)
1366#define G_T6_SIZE(x) (((x) >> S_T6_SIZE) & M_T6_SIZE)
1367
1368#define A_SGE_DBQ_CTXT_BADDR 0x1084
1369
1370#define S_BASEADDR 3
1371#define M_BASEADDR 0x1fffffffU
1372#define V_BASEADDR(x) ((x) << S_BASEADDR)
1373#define G_BASEADDR(x) (((x) >> S_BASEADDR) & M_BASEADDR)
1374
1375#define A_SGE_IMSG_CTXT_BADDR 0x1088
1376#define A_SGE_FLM_CACHE_BADDR 0x108c
1377#define A_SGE_FLM_CFG 0x1090
1378
1379#define S_OPMODE 26
1380#define M_OPMODE 0x3fU
1381#define V_OPMODE(x) ((x) << S_OPMODE)
1382#define G_OPMODE(x) (((x) >> S_OPMODE) & M_OPMODE)
1383
1384#define S_NOHDR 18
1385#define V_NOHDR(x) ((x) << S_NOHDR)
1386#define F_NOHDR V_NOHDR(1U)
1387
1388#define S_CACHEPTRCNT 16
1389#define M_CACHEPTRCNT 0x3U
1390#define V_CACHEPTRCNT(x) ((x) << S_CACHEPTRCNT)
1391#define G_CACHEPTRCNT(x) (((x) >> S_CACHEPTRCNT) & M_CACHEPTRCNT)
1392
1393#define S_EDRAMPTRCNT 14
1394#define M_EDRAMPTRCNT 0x3U
1395#define V_EDRAMPTRCNT(x) ((x) << S_EDRAMPTRCNT)
1396#define G_EDRAMPTRCNT(x) (((x) >> S_EDRAMPTRCNT) & M_EDRAMPTRCNT)
1397
1398#define S_HDRSTARTFLQ 11
1399#define M_HDRSTARTFLQ 0x7U
1400#define V_HDRSTARTFLQ(x) ((x) << S_HDRSTARTFLQ)
1401#define G_HDRSTARTFLQ(x) (((x) >> S_HDRSTARTFLQ) & M_HDRSTARTFLQ)
1402
1403#define S_FETCHTHRESH 6
1404#define M_FETCHTHRESH 0x1fU
1405#define V_FETCHTHRESH(x) ((x) << S_FETCHTHRESH)
1406#define G_FETCHTHRESH(x) (((x) >> S_FETCHTHRESH) & M_FETCHTHRESH)
1407
1408#define S_CREDITCNT 4
1409#define M_CREDITCNT 0x3U
1410#define V_CREDITCNT(x) ((x) << S_CREDITCNT)
1411#define G_CREDITCNT(x) (((x) >> S_CREDITCNT) & M_CREDITCNT)
1412
1413#define S_NOEDRAM 0
1414#define V_NOEDRAM(x) ((x) << S_NOEDRAM)
1415#define F_NOEDRAM V_NOEDRAM(1U)
1416
1417#define S_CREDITCNTPACKING 2
1418#define M_CREDITCNTPACKING 0x3U
1419#define V_CREDITCNTPACKING(x) ((x) << S_CREDITCNTPACKING)
1420#define G_CREDITCNTPACKING(x) (((x) >> S_CREDITCNTPACKING) & M_CREDITCNTPACKING)
1421
1422#define S_NULLPTR 20
1423#define M_NULLPTR 0xfU
1424#define V_NULLPTR(x) ((x) << S_NULLPTR)
1425#define G_NULLPTR(x) (((x) >> S_NULLPTR) & M_NULLPTR)
1426
1427#define S_NULLPTREN 19
1428#define V_NULLPTREN(x) ((x) << S_NULLPTREN)
1429#define F_NULLPTREN V_NULLPTREN(1U)
1430
1431#define A_SGE_CONM_CTRL 0x1094
1432
1433#define S_EGRTHRESHOLD 8
1434#define M_EGRTHRESHOLD 0x3fU
1435#define V_EGRTHRESHOLD(x) ((x) << S_EGRTHRESHOLD)
1436#define G_EGRTHRESHOLD(x) (((x) >> S_EGRTHRESHOLD) & M_EGRTHRESHOLD)
1437
1438#define S_INGTHRESHOLD 2
1439#define M_INGTHRESHOLD 0x3fU
1440#define V_INGTHRESHOLD(x) ((x) << S_INGTHRESHOLD)
1441#define G_INGTHRESHOLD(x) (((x) >> S_INGTHRESHOLD) & M_INGTHRESHOLD)
1442
1443#define S_MPS_ENABLE 1
1444#define V_MPS_ENABLE(x) ((x) << S_MPS_ENABLE)
1445#define F_MPS_ENABLE V_MPS_ENABLE(1U)
1446
1447#define S_TP_ENABLE 0
1448#define V_TP_ENABLE(x) ((x) << S_TP_ENABLE)
1449#define F_TP_ENABLE V_TP_ENABLE(1U)
1450
1451#define S_EGRTHRESHOLDPACKING 14
1452#define M_EGRTHRESHOLDPACKING 0x3fU
1453#define V_EGRTHRESHOLDPACKING(x) ((x) << S_EGRTHRESHOLDPACKING)
1454#define G_EGRTHRESHOLDPACKING(x) (((x) >> S_EGRTHRESHOLDPACKING) & M_EGRTHRESHOLDPACKING)
1455
1456#define S_T6_EGRTHRESHOLDPACKING 16
1457#define M_T6_EGRTHRESHOLDPACKING 0xffU
1458#define V_T6_EGRTHRESHOLDPACKING(x) ((x) << S_T6_EGRTHRESHOLDPACKING)
1459#define G_T6_EGRTHRESHOLDPACKING(x) (((x) >> S_T6_EGRTHRESHOLDPACKING) & M_T6_EGRTHRESHOLDPACKING)
1460
1461#define S_T6_EGRTHRESHOLD 8
1462#define M_T6_EGRTHRESHOLD 0xffU
1463#define V_T6_EGRTHRESHOLD(x) ((x) << S_T6_EGRTHRESHOLD)
1464#define G_T6_EGRTHRESHOLD(x) (((x) >> S_T6_EGRTHRESHOLD) & M_T6_EGRTHRESHOLD)
1465
1466#define A_SGE_TIMESTAMP_LO 0x1098
1467#define A_SGE_TIMESTAMP_HI 0x109c
1468
1469#define S_TSOP 28
1470#define M_TSOP 0x3U
1471#define V_TSOP(x) ((x) << S_TSOP)
1472#define G_TSOP(x) (((x) >> S_TSOP) & M_TSOP)
1473
1474#define S_TSVAL 0
1475#define M_TSVAL 0xfffffffU
1476#define V_TSVAL(x) ((x) << S_TSVAL)
1477#define G_TSVAL(x) (((x) >> S_TSVAL) & M_TSVAL)
1478
1479#define A_SGE_INGRESS_RX_THRESHOLD 0x10a0
1480
1481#define S_THRESHOLD_0 24
1482#define M_THRESHOLD_0 0x3fU
1483#define V_THRESHOLD_0(x) ((x) << S_THRESHOLD_0)
1484#define G_THRESHOLD_0(x) (((x) >> S_THRESHOLD_0) & M_THRESHOLD_0)
1485
1486#define S_THRESHOLD_1 16
1487#define M_THRESHOLD_1 0x3fU
1488#define V_THRESHOLD_1(x) ((x) << S_THRESHOLD_1)
1489#define G_THRESHOLD_1(x) (((x) >> S_THRESHOLD_1) & M_THRESHOLD_1)
1490
1491#define S_THRESHOLD_2 8
1492#define M_THRESHOLD_2 0x3fU
1493#define V_THRESHOLD_2(x) ((x) << S_THRESHOLD_2)
1494#define G_THRESHOLD_2(x) (((x) >> S_THRESHOLD_2) & M_THRESHOLD_2)
1495
1496#define S_THRESHOLD_3 0
1497#define M_THRESHOLD_3 0x3fU
1498#define V_THRESHOLD_3(x) ((x) << S_THRESHOLD_3)
1499#define G_THRESHOLD_3(x) (((x) >> S_THRESHOLD_3) & M_THRESHOLD_3)
1500
1501#define A_SGE_DBFIFO_STATUS 0x10a4
1502
1503#define S_HP_INT_THRESH 28
1504#define M_HP_INT_THRESH 0xfU
1505#define V_HP_INT_THRESH(x) ((x) << S_HP_INT_THRESH)
1506#define G_HP_INT_THRESH(x) (((x) >> S_HP_INT_THRESH) & M_HP_INT_THRESH)
1507
1508#define S_HP_COUNT 16
1509#define M_HP_COUNT 0x7ffU
1510#define V_HP_COUNT(x) ((x) << S_HP_COUNT)
1511#define G_HP_COUNT(x) (((x) >> S_HP_COUNT) & M_HP_COUNT)
1512
1513#define S_LP_INT_THRESH 12
1514#define M_LP_INT_THRESH 0xfU
1515#define V_LP_INT_THRESH(x) ((x) << S_LP_INT_THRESH)
1516#define G_LP_INT_THRESH(x) (((x) >> S_LP_INT_THRESH) & M_LP_INT_THRESH)
1517
1518#define S_LP_COUNT 0
1519#define M_LP_COUNT 0x7ffU
1520#define V_LP_COUNT(x) ((x) << S_LP_COUNT)
1521#define G_LP_COUNT(x) (((x) >> S_LP_COUNT) & M_LP_COUNT)
1522
1523#define S_BAR2VALID 31
1524#define V_BAR2VALID(x) ((x) << S_BAR2VALID)
1525#define F_BAR2VALID V_BAR2VALID(1U)
1526
1527#define S_BAR2FULL 30
1528#define V_BAR2FULL(x) ((x) << S_BAR2FULL)
1529#define F_BAR2FULL V_BAR2FULL(1U)
1530
1531#define S_LP_INT_THRESH_T5 18
1532#define M_LP_INT_THRESH_T5 0xfffU
1533#define V_LP_INT_THRESH_T5(x) ((x) << S_LP_INT_THRESH_T5)
1534#define G_LP_INT_THRESH_T5(x) (((x) >> S_LP_INT_THRESH_T5) & M_LP_INT_THRESH_T5)
1535
1536#define S_LP_COUNT_T5 0
1537#define M_LP_COUNT_T5 0x3ffffU
1538#define V_LP_COUNT_T5(x) ((x) << S_LP_COUNT_T5)
1539#define G_LP_COUNT_T5(x) (((x) >> S_LP_COUNT_T5) & M_LP_COUNT_T5)
1540
1541#define S_VFIFO_CNT 15
1542#define M_VFIFO_CNT 0x1ffffU
1543#define V_VFIFO_CNT(x) ((x) << S_VFIFO_CNT)
1544#define G_VFIFO_CNT(x) (((x) >> S_VFIFO_CNT) & M_VFIFO_CNT)
1545
1546#define S_COAL_CTL_FIFO_CNT 8
1547#define M_COAL_CTL_FIFO_CNT 0x3fU
1548#define V_COAL_CTL_FIFO_CNT(x) ((x) << S_COAL_CTL_FIFO_CNT)
1549#define G_COAL_CTL_FIFO_CNT(x) (((x) >> S_COAL_CTL_FIFO_CNT) & M_COAL_CTL_FIFO_CNT)
1550
1551#define S_MERGE_FIFO_CNT 0
1552#define M_MERGE_FIFO_CNT 0x3fU
1553#define V_MERGE_FIFO_CNT(x) ((x) << S_MERGE_FIFO_CNT)
1554#define G_MERGE_FIFO_CNT(x) (((x) >> S_MERGE_FIFO_CNT) & M_MERGE_FIFO_CNT)
1555
1556#define A_SGE_DOORBELL_CONTROL 0x10a8
1557
1558#define S_HINTDEPTHCTL 27
1559#define M_HINTDEPTHCTL 0x1fU
1560#define V_HINTDEPTHCTL(x) ((x) << S_HINTDEPTHCTL)
1561#define G_HINTDEPTHCTL(x) (((x) >> S_HINTDEPTHCTL) & M_HINTDEPTHCTL)
1562
1563#define S_NOCOALESCE 26
1564#define V_NOCOALESCE(x) ((x) << S_NOCOALESCE)
1565#define F_NOCOALESCE V_NOCOALESCE(1U)
1566
1567#define S_HP_WEIGHT 24
1568#define M_HP_WEIGHT 0x3U
1569#define V_HP_WEIGHT(x) ((x) << S_HP_WEIGHT)
1570#define G_HP_WEIGHT(x) (((x) >> S_HP_WEIGHT) & M_HP_WEIGHT)
1571
1572#define S_HP_DISABLE 23
1573#define V_HP_DISABLE(x) ((x) << S_HP_DISABLE)
1574#define F_HP_DISABLE V_HP_DISABLE(1U)
1575
1576#define S_FORCEUSERDBTOLP 22
1577#define V_FORCEUSERDBTOLP(x) ((x) << S_FORCEUSERDBTOLP)
1578#define F_FORCEUSERDBTOLP V_FORCEUSERDBTOLP(1U)
1579
1580#define S_FORCEVFPF0DBTOLP 21
1581#define V_FORCEVFPF0DBTOLP(x) ((x) << S_FORCEVFPF0DBTOLP)
1582#define F_FORCEVFPF0DBTOLP V_FORCEVFPF0DBTOLP(1U)
1583
1584#define S_FORCEVFPF1DBTOLP 20
1585#define V_FORCEVFPF1DBTOLP(x) ((x) << S_FORCEVFPF1DBTOLP)
1586#define F_FORCEVFPF1DBTOLP V_FORCEVFPF1DBTOLP(1U)
1587
1588#define S_FORCEVFPF2DBTOLP 19
1589#define V_FORCEVFPF2DBTOLP(x) ((x) << S_FORCEVFPF2DBTOLP)
1590#define F_FORCEVFPF2DBTOLP V_FORCEVFPF2DBTOLP(1U)
1591
1592#define S_FORCEVFPF3DBTOLP 18
1593#define V_FORCEVFPF3DBTOLP(x) ((x) << S_FORCEVFPF3DBTOLP)
1594#define F_FORCEVFPF3DBTOLP V_FORCEVFPF3DBTOLP(1U)
1595
1596#define S_FORCEVFPF4DBTOLP 17
1597#define V_FORCEVFPF4DBTOLP(x) ((x) << S_FORCEVFPF4DBTOLP)
1598#define F_FORCEVFPF4DBTOLP V_FORCEVFPF4DBTOLP(1U)
1599
1600#define S_FORCEVFPF5DBTOLP 16
1601#define V_FORCEVFPF5DBTOLP(x) ((x) << S_FORCEVFPF5DBTOLP)
1602#define F_FORCEVFPF5DBTOLP V_FORCEVFPF5DBTOLP(1U)
1603
1604#define S_FORCEVFPF6DBTOLP 15
1605#define V_FORCEVFPF6DBTOLP(x) ((x) << S_FORCEVFPF6DBTOLP)
1606#define F_FORCEVFPF6DBTOLP V_FORCEVFPF6DBTOLP(1U)
1607
1608#define S_FORCEVFPF7DBTOLP 14
1609#define V_FORCEVFPF7DBTOLP(x) ((x) << S_FORCEVFPF7DBTOLP)
1610#define F_FORCEVFPF7DBTOLP V_FORCEVFPF7DBTOLP(1U)
1611
1612#define S_ENABLE_DROP 13
1613#define V_ENABLE_DROP(x) ((x) << S_ENABLE_DROP)
1614#define F_ENABLE_DROP V_ENABLE_DROP(1U)
1615
1616#define S_DROP_TIMEOUT 1
1617#define M_DROP_TIMEOUT 0xfffU
1618#define V_DROP_TIMEOUT(x) ((x) << S_DROP_TIMEOUT)
1619#define G_DROP_TIMEOUT(x) (((x) >> S_DROP_TIMEOUT) & M_DROP_TIMEOUT)
1620
1621#define S_DROPPED_DB 0
1622#define V_DROPPED_DB(x) ((x) << S_DROPPED_DB)
1623#define F_DROPPED_DB V_DROPPED_DB(1U)
1624
1625#define S_T6_DROP_TIMEOUT 7
1626#define M_T6_DROP_TIMEOUT 0x3fU
1627#define V_T6_DROP_TIMEOUT(x) ((x) << S_T6_DROP_TIMEOUT)
1628#define G_T6_DROP_TIMEOUT(x) (((x) >> S_T6_DROP_TIMEOUT) & M_T6_DROP_TIMEOUT)
1629
1630#define S_INVONDBSYNC 6
1631#define V_INVONDBSYNC(x) ((x) << S_INVONDBSYNC)
1632#define F_INVONDBSYNC V_INVONDBSYNC(1U)
1633
1634#define S_INVONGTSSYNC 5
1635#define V_INVONGTSSYNC(x) ((x) << S_INVONGTSSYNC)
1636#define F_INVONGTSSYNC V_INVONGTSSYNC(1U)
1637
1638#define S_DB_DBG_EN 4
1639#define V_DB_DBG_EN(x) ((x) << S_DB_DBG_EN)
1640#define F_DB_DBG_EN V_DB_DBG_EN(1U)
1641
1642#define S_GTS_DBG_TIMER_REG 1
1643#define M_GTS_DBG_TIMER_REG 0x7U
1644#define V_GTS_DBG_TIMER_REG(x) ((x) << S_GTS_DBG_TIMER_REG)
1645#define G_GTS_DBG_TIMER_REG(x) (((x) >> S_GTS_DBG_TIMER_REG) & M_GTS_DBG_TIMER_REG)
1646
1647#define S_GTS_DBG_EN 0
1648#define V_GTS_DBG_EN(x) ((x) << S_GTS_DBG_EN)
1649#define F_GTS_DBG_EN V_GTS_DBG_EN(1U)
1650
1651#define A_SGE_DROPPED_DOORBELL 0x10ac
1652#define A_SGE_DOORBELL_THROTTLE_CONTROL 0x10b0
1653
1654#define S_THROTTLE_COUNT 1
1655#define M_THROTTLE_COUNT 0xfffU
1656#define V_THROTTLE_COUNT(x) ((x) << S_THROTTLE_COUNT)
1657#define G_THROTTLE_COUNT(x) (((x) >> S_THROTTLE_COUNT) & M_THROTTLE_COUNT)
1658
1659#define S_THROTTLE_ENABLE 0
1660#define V_THROTTLE_ENABLE(x) ((x) << S_THROTTLE_ENABLE)
1661#define F_THROTTLE_ENABLE V_THROTTLE_ENABLE(1U)
1662
1663#define S_BAR2THROTTLECOUNT 16
1664#define M_BAR2THROTTLECOUNT 0xffU
1665#define V_BAR2THROTTLECOUNT(x) ((x) << S_BAR2THROTTLECOUNT)
1666#define G_BAR2THROTTLECOUNT(x) (((x) >> S_BAR2THROTTLECOUNT) & M_BAR2THROTTLECOUNT)
1667
1668#define S_CLRCOALESCEDISABLE 15
1669#define V_CLRCOALESCEDISABLE(x) ((x) << S_CLRCOALESCEDISABLE)
1670#define F_CLRCOALESCEDISABLE V_CLRCOALESCEDISABLE(1U)
1671
1672#define S_OPENBAR2GATEONCE 14
1673#define V_OPENBAR2GATEONCE(x) ((x) << S_OPENBAR2GATEONCE)
1674#define F_OPENBAR2GATEONCE V_OPENBAR2GATEONCE(1U)
1675
1676#define S_FORCEOPENBAR2GATE 13
1677#define V_FORCEOPENBAR2GATE(x) ((x) << S_FORCEOPENBAR2GATE)
1678#define F_FORCEOPENBAR2GATE V_FORCEOPENBAR2GATE(1U)
1679
1680#define A_SGE_ITP_CONTROL 0x10b4
1681
1682#define S_CRITICAL_TIME 10
1683#define M_CRITICAL_TIME 0x7fffU
1684#define V_CRITICAL_TIME(x) ((x) << S_CRITICAL_TIME)
1685#define G_CRITICAL_TIME(x) (((x) >> S_CRITICAL_TIME) & M_CRITICAL_TIME)
1686
1687#define S_LL_EMPTY 4
1688#define M_LL_EMPTY 0x3fU
1689#define V_LL_EMPTY(x) ((x) << S_LL_EMPTY)
1690#define G_LL_EMPTY(x) (((x) >> S_LL_EMPTY) & M_LL_EMPTY)
1691
1692#define S_LL_READ_WAIT_DISABLE 0
1693#define V_LL_READ_WAIT_DISABLE(x) ((x) << S_LL_READ_WAIT_DISABLE)
1694#define F_LL_READ_WAIT_DISABLE V_LL_READ_WAIT_DISABLE(1U)
1695
1696#define S_TSCALE 28
1697#define M_TSCALE 0xfU
1698#define V_TSCALE(x) ((x) << S_TSCALE)
1699#define G_TSCALE(x) (((x) >> S_TSCALE) & M_TSCALE)
1700
1701#define A_SGE_TIMER_VALUE_0_AND_1 0x10b8
1702
1703#define S_TIMERVALUE0 16
1704#define M_TIMERVALUE0 0xffffU
1705#define V_TIMERVALUE0(x) ((x) << S_TIMERVALUE0)
1706#define G_TIMERVALUE0(x) (((x) >> S_TIMERVALUE0) & M_TIMERVALUE0)
1707
1708#define S_TIMERVALUE1 0
1709#define M_TIMERVALUE1 0xffffU
1710#define V_TIMERVALUE1(x) ((x) << S_TIMERVALUE1)
1711#define G_TIMERVALUE1(x) (((x) >> S_TIMERVALUE1) & M_TIMERVALUE1)
1712
1713#define A_SGE_TIMER_VALUE_2_AND_3 0x10bc
1714
1715#define S_TIMERVALUE2 16
1716#define M_TIMERVALUE2 0xffffU
1717#define V_TIMERVALUE2(x) ((x) << S_TIMERVALUE2)
1718#define G_TIMERVALUE2(x) (((x) >> S_TIMERVALUE2) & M_TIMERVALUE2)
1719
1720#define S_TIMERVALUE3 0
1721#define M_TIMERVALUE3 0xffffU
1722#define V_TIMERVALUE3(x) ((x) << S_TIMERVALUE3)
1723#define G_TIMERVALUE3(x) (((x) >> S_TIMERVALUE3) & M_TIMERVALUE3)
1724
1725#define A_SGE_TIMER_VALUE_4_AND_5 0x10c0
1726
1727#define S_TIMERVALUE4 16
1728#define M_TIMERVALUE4 0xffffU
1729#define V_TIMERVALUE4(x) ((x) << S_TIMERVALUE4)
1730#define G_TIMERVALUE4(x) (((x) >> S_TIMERVALUE4) & M_TIMERVALUE4)
1731
1732#define S_TIMERVALUE5 0
1733#define M_TIMERVALUE5 0xffffU
1734#define V_TIMERVALUE5(x) ((x) << S_TIMERVALUE5)
1735#define G_TIMERVALUE5(x) (((x) >> S_TIMERVALUE5) & M_TIMERVALUE5)
1736
1737#define A_SGE_PD_RSP_CREDIT01 0x10c4
1738
1739#define S_RSPCREDITEN0 31
1740#define V_RSPCREDITEN0(x) ((x) << S_RSPCREDITEN0)
1741#define F_RSPCREDITEN0 V_RSPCREDITEN0(1U)
1742
1743#define S_MAXTAG0 24
1744#define M_MAXTAG0 0x7fU
1745#define V_MAXTAG0(x) ((x) << S_MAXTAG0)
1746#define G_MAXTAG0(x) (((x) >> S_MAXTAG0) & M_MAXTAG0)
1747
1748#define S_MAXRSPCNT0 16
1749#define M_MAXRSPCNT0 0xffU
1750#define V_MAXRSPCNT0(x) ((x) << S_MAXRSPCNT0)
1751#define G_MAXRSPCNT0(x) (((x) >> S_MAXRSPCNT0) & M_MAXRSPCNT0)
1752
1753#define S_RSPCREDITEN1 15
1754#define V_RSPCREDITEN1(x) ((x) << S_RSPCREDITEN1)
1755#define F_RSPCREDITEN1 V_RSPCREDITEN1(1U)
1756
1757#define S_MAXTAG1 8
1758#define M_MAXTAG1 0x7fU
1759#define V_MAXTAG1(x) ((x) << S_MAXTAG1)
1760#define G_MAXTAG1(x) (((x) >> S_MAXTAG1) & M_MAXTAG1)
1761
1762#define S_MAXRSPCNT1 0
1763#define M_MAXRSPCNT1 0xffU
1764#define V_MAXRSPCNT1(x) ((x) << S_MAXRSPCNT1)
1765#define G_MAXRSPCNT1(x) (((x) >> S_MAXRSPCNT1) & M_MAXRSPCNT1)
1766
1767#define A_SGE_GK_CONTROL 0x10c4
1768
1769#define S_EN_FLM_FIFTH 29
1770#define V_EN_FLM_FIFTH(x) ((x) << S_EN_FLM_FIFTH)
1771#define F_EN_FLM_FIFTH V_EN_FLM_FIFTH(1U)
1772
1773#define S_FL_PROG_THRESH 20
1774#define M_FL_PROG_THRESH 0x1ffU
1775#define V_FL_PROG_THRESH(x) ((x) << S_FL_PROG_THRESH)
1776#define G_FL_PROG_THRESH(x) (((x) >> S_FL_PROG_THRESH) & M_FL_PROG_THRESH)
1777
1778#define S_COAL_ALL_THREAD 19
1779#define V_COAL_ALL_THREAD(x) ((x) << S_COAL_ALL_THREAD)
1780#define F_COAL_ALL_THREAD V_COAL_ALL_THREAD(1U)
1781
1782#define S_EN_PSHB 18
1783#define V_EN_PSHB(x) ((x) << S_EN_PSHB)
1784#define F_EN_PSHB V_EN_PSHB(1U)
1785
1786#define S_EN_DB_FIFTH 17
1787#define V_EN_DB_FIFTH(x) ((x) << S_EN_DB_FIFTH)
1788#define F_EN_DB_FIFTH V_EN_DB_FIFTH(1U)
1789
1790#define S_DB_PROG_THRESH 8
1791#define M_DB_PROG_THRESH 0x1ffU
1792#define V_DB_PROG_THRESH(x) ((x) << S_DB_PROG_THRESH)
1793#define G_DB_PROG_THRESH(x) (((x) >> S_DB_PROG_THRESH) & M_DB_PROG_THRESH)
1794
1795#define S_100NS_TIMER 0
1796#define M_100NS_TIMER 0xffU
1797#define V_100NS_TIMER(x) ((x) << S_100NS_TIMER)
1798#define G_100NS_TIMER(x) (((x) >> S_100NS_TIMER) & M_100NS_TIMER)
1799
1800#define A_SGE_PD_RSP_CREDIT23 0x10c8
1801
1802#define S_RSPCREDITEN2 31
1803#define V_RSPCREDITEN2(x) ((x) << S_RSPCREDITEN2)
1804#define F_RSPCREDITEN2 V_RSPCREDITEN2(1U)
1805
1806#define S_MAXTAG2 24
1807#define M_MAXTAG2 0x7fU
1808#define V_MAXTAG2(x) ((x) << S_MAXTAG2)
1809#define G_MAXTAG2(x) (((x) >> S_MAXTAG2) & M_MAXTAG2)
1810
1811#define S_MAXRSPCNT2 16
1812#define M_MAXRSPCNT2 0xffU
1813#define V_MAXRSPCNT2(x) ((x) << S_MAXRSPCNT2)
1814#define G_MAXRSPCNT2(x) (((x) >> S_MAXRSPCNT2) & M_MAXRSPCNT2)
1815
1816#define S_RSPCREDITEN3 15
1817#define V_RSPCREDITEN3(x) ((x) << S_RSPCREDITEN3)
1818#define F_RSPCREDITEN3 V_RSPCREDITEN3(1U)
1819
1820#define S_MAXTAG3 8
1821#define M_MAXTAG3 0x7fU
1822#define V_MAXTAG3(x) ((x) << S_MAXTAG3)
1823#define G_MAXTAG3(x) (((x) >> S_MAXTAG3) & M_MAXTAG3)
1824
1825#define S_MAXRSPCNT3 0
1826#define M_MAXRSPCNT3 0xffU
1827#define V_MAXRSPCNT3(x) ((x) << S_MAXRSPCNT3)
1828#define G_MAXRSPCNT3(x) (((x) >> S_MAXRSPCNT3) & M_MAXRSPCNT3)
1829
1830#define A_SGE_GK_CONTROL2 0x10c8
1831
1832#define S_DBQ_TIMER_TICK 16
1833#define M_DBQ_TIMER_TICK 0xffffU
1834#define V_DBQ_TIMER_TICK(x) ((x) << S_DBQ_TIMER_TICK)
1835#define G_DBQ_TIMER_TICK(x) (((x) >> S_DBQ_TIMER_TICK) & M_DBQ_TIMER_TICK)
1836
1837#define S_FL_MERGE_CNT_THRESH 8
1838#define M_FL_MERGE_CNT_THRESH 0xfU
1839#define V_FL_MERGE_CNT_THRESH(x) ((x) << S_FL_MERGE_CNT_THRESH)
1840#define G_FL_MERGE_CNT_THRESH(x) (((x) >> S_FL_MERGE_CNT_THRESH) & M_FL_MERGE_CNT_THRESH)
1841
1842#define S_MERGE_CNT_THRESH 0
1843#define M_MERGE_CNT_THRESH 0x3fU
1844#define V_MERGE_CNT_THRESH(x) ((x) << S_MERGE_CNT_THRESH)
1845#define G_MERGE_CNT_THRESH(x) (((x) >> S_MERGE_CNT_THRESH) & M_MERGE_CNT_THRESH)
1846
1847#define A_SGE_DEBUG_INDEX 0x10cc
1848#define A_SGE_DEBUG_DATA_HIGH 0x10d0
1849#define A_SGE_DEBUG_DATA_LOW 0x10d4
1850#define A_SGE_REVISION 0x10d8
1851#define A_SGE_INT_CAUSE4 0x10dc
1852
1853#define S_ERR_BAD_UPFL_INC_CREDIT3 8
1854#define V_ERR_BAD_UPFL_INC_CREDIT3(x) ((x) << S_ERR_BAD_UPFL_INC_CREDIT3)
1855#define F_ERR_BAD_UPFL_INC_CREDIT3 V_ERR_BAD_UPFL_INC_CREDIT3(1U)
1856
1857#define S_ERR_BAD_UPFL_INC_CREDIT2 7
1858#define V_ERR_BAD_UPFL_INC_CREDIT2(x) ((x) << S_ERR_BAD_UPFL_INC_CREDIT2)
1859#define F_ERR_BAD_UPFL_INC_CREDIT2 V_ERR_BAD_UPFL_INC_CREDIT2(1U)
1860
1861#define S_ERR_BAD_UPFL_INC_CREDIT1 6
1862#define V_ERR_BAD_UPFL_INC_CREDIT1(x) ((x) << S_ERR_BAD_UPFL_INC_CREDIT1)
1863#define F_ERR_BAD_UPFL_INC_CREDIT1 V_ERR_BAD_UPFL_INC_CREDIT1(1U)
1864
1865#define S_ERR_BAD_UPFL_INC_CREDIT0 5
1866#define V_ERR_BAD_UPFL_INC_CREDIT0(x) ((x) << S_ERR_BAD_UPFL_INC_CREDIT0)
1867#define F_ERR_BAD_UPFL_INC_CREDIT0 V_ERR_BAD_UPFL_INC_CREDIT0(1U)
1868
1869#define S_ERR_PHYSADDR_LEN0_IDMA1 4
1870#define V_ERR_PHYSADDR_LEN0_IDMA1(x) ((x) << S_ERR_PHYSADDR_LEN0_IDMA1)
1871#define F_ERR_PHYSADDR_LEN0_IDMA1 V_ERR_PHYSADDR_LEN0_IDMA1(1U)
1872
1873#define S_ERR_PHYSADDR_LEN0_IDMA0 3
1874#define V_ERR_PHYSADDR_LEN0_IDMA0(x) ((x) << S_ERR_PHYSADDR_LEN0_IDMA0)
1875#define F_ERR_PHYSADDR_LEN0_IDMA0 V_ERR_PHYSADDR_LEN0_IDMA0(1U)
1876
1877#define S_ERR_FLM_INVALID_PKT_DROP1 2
1878#define V_ERR_FLM_INVALID_PKT_DROP1(x) ((x) << S_ERR_FLM_INVALID_PKT_DROP1)
1879#define F_ERR_FLM_INVALID_PKT_DROP1 V_ERR_FLM_INVALID_PKT_DROP1(1U)
1880
1881#define S_ERR_FLM_INVALID_PKT_DROP0 1
1882#define V_ERR_FLM_INVALID_PKT_DROP0(x) ((x) << S_ERR_FLM_INVALID_PKT_DROP0)
1883#define F_ERR_FLM_INVALID_PKT_DROP0 V_ERR_FLM_INVALID_PKT_DROP0(1U)
1884
1885#define S_ERR_UNEXPECTED_TIMER 0
1886#define V_ERR_UNEXPECTED_TIMER(x) ((x) << S_ERR_UNEXPECTED_TIMER)
1887#define F_ERR_UNEXPECTED_TIMER V_ERR_UNEXPECTED_TIMER(1U)
1888
1889#define S_BAR2_EGRESS_LEN_OR_ADDR_ERR 29
1890#define V_BAR2_EGRESS_LEN_OR_ADDR_ERR(x) ((x) << S_BAR2_EGRESS_LEN_OR_ADDR_ERR)
1891#define F_BAR2_EGRESS_LEN_OR_ADDR_ERR V_BAR2_EGRESS_LEN_OR_ADDR_ERR(1U)
1892
1893#define S_ERR_CPL_EXCEED_MAX_IQE_SIZE1 28
1894#define V_ERR_CPL_EXCEED_MAX_IQE_SIZE1(x) ((x) << S_ERR_CPL_EXCEED_MAX_IQE_SIZE1)
1895#define F_ERR_CPL_EXCEED_MAX_IQE_SIZE1 V_ERR_CPL_EXCEED_MAX_IQE_SIZE1(1U)
1896
1897#define S_ERR_CPL_EXCEED_MAX_IQE_SIZE0 27
1898#define V_ERR_CPL_EXCEED_MAX_IQE_SIZE0(x) ((x) << S_ERR_CPL_EXCEED_MAX_IQE_SIZE0)
1899#define F_ERR_CPL_EXCEED_MAX_IQE_SIZE0 V_ERR_CPL_EXCEED_MAX_IQE_SIZE0(1U)
1900
1901#define S_ERR_WR_LEN_TOO_LARGE3 26
1902#define V_ERR_WR_LEN_TOO_LARGE3(x) ((x) << S_ERR_WR_LEN_TOO_LARGE3)
1903#define F_ERR_WR_LEN_TOO_LARGE3 V_ERR_WR_LEN_TOO_LARGE3(1U)
1904
1905#define S_ERR_WR_LEN_TOO_LARGE2 25
1906#define V_ERR_WR_LEN_TOO_LARGE2(x) ((x) << S_ERR_WR_LEN_TOO_LARGE2)
1907#define F_ERR_WR_LEN_TOO_LARGE2 V_ERR_WR_LEN_TOO_LARGE2(1U)
1908
1909#define S_ERR_WR_LEN_TOO_LARGE1 24
1910#define V_ERR_WR_LEN_TOO_LARGE1(x) ((x) << S_ERR_WR_LEN_TOO_LARGE1)
1911#define F_ERR_WR_LEN_TOO_LARGE1 V_ERR_WR_LEN_TOO_LARGE1(1U)
1912
1913#define S_ERR_WR_LEN_TOO_LARGE0 23
1914#define V_ERR_WR_LEN_TOO_LARGE0(x) ((x) << S_ERR_WR_LEN_TOO_LARGE0)
1915#define F_ERR_WR_LEN_TOO_LARGE0 V_ERR_WR_LEN_TOO_LARGE0(1U)
1916
1917#define S_ERR_LARGE_MINFETCH_WITH_TXCOAL3 22
1918#define V_ERR_LARGE_MINFETCH_WITH_TXCOAL3(x) ((x) << S_ERR_LARGE_MINFETCH_WITH_TXCOAL3)
1919#define F_ERR_LARGE_MINFETCH_WITH_TXCOAL3 V_ERR_LARGE_MINFETCH_WITH_TXCOAL3(1U)
1920
1921#define S_ERR_LARGE_MINFETCH_WITH_TXCOAL2 21
1922#define V_ERR_LARGE_MINFETCH_WITH_TXCOAL2(x) ((x) << S_ERR_LARGE_MINFETCH_WITH_TXCOAL2)
1923#define F_ERR_LARGE_MINFETCH_WITH_TXCOAL2 V_ERR_LARGE_MINFETCH_WITH_TXCOAL2(1U)
1924
1925#define S_ERR_LARGE_MINFETCH_WITH_TXCOAL1 20
1926#define V_ERR_LARGE_MINFETCH_WITH_TXCOAL1(x) ((x) << S_ERR_LARGE_MINFETCH_WITH_TXCOAL1)
1927#define F_ERR_LARGE_MINFETCH_WITH_TXCOAL1 V_ERR_LARGE_MINFETCH_WITH_TXCOAL1(1U)
1928
1929#define S_ERR_LARGE_MINFETCH_WITH_TXCOAL0 19
1930#define V_ERR_LARGE_MINFETCH_WITH_TXCOAL0(x) ((x) << S_ERR_LARGE_MINFETCH_WITH_TXCOAL0)
1931#define F_ERR_LARGE_MINFETCH_WITH_TXCOAL0 V_ERR_LARGE_MINFETCH_WITH_TXCOAL0(1U)
1932
1933#define S_COAL_WITH_HP_DISABLE_ERR 18
1934#define V_COAL_WITH_HP_DISABLE_ERR(x) ((x) << S_COAL_WITH_HP_DISABLE_ERR)
1935#define F_COAL_WITH_HP_DISABLE_ERR V_COAL_WITH_HP_DISABLE_ERR(1U)
1936
1937#define S_BAR2_EGRESS_COAL0_ERR 17
1938#define V_BAR2_EGRESS_COAL0_ERR(x) ((x) << S_BAR2_EGRESS_COAL0_ERR)
1939#define F_BAR2_EGRESS_COAL0_ERR V_BAR2_EGRESS_COAL0_ERR(1U)
1940
1941#define S_BAR2_EGRESS_SIZE_ERR 16
1942#define V_BAR2_EGRESS_SIZE_ERR(x) ((x) << S_BAR2_EGRESS_SIZE_ERR)
1943#define F_BAR2_EGRESS_SIZE_ERR V_BAR2_EGRESS_SIZE_ERR(1U)
1944
1945#define S_FLM_PC_RSP_ERR 15
1946#define V_FLM_PC_RSP_ERR(x) ((x) << S_FLM_PC_RSP_ERR)
1947#define F_FLM_PC_RSP_ERR V_FLM_PC_RSP_ERR(1U)
1948
1949#define S_DBFIFO_HP_INT_LOW 14
1950#define V_DBFIFO_HP_INT_LOW(x) ((x) << S_DBFIFO_HP_INT_LOW)
1951#define F_DBFIFO_HP_INT_LOW V_DBFIFO_HP_INT_LOW(1U)
1952
1953#define S_DBFIFO_LP_INT_LOW 13
1954#define V_DBFIFO_LP_INT_LOW(x) ((x) << S_DBFIFO_LP_INT_LOW)
1955#define F_DBFIFO_LP_INT_LOW V_DBFIFO_LP_INT_LOW(1U)
1956
1957#define S_DBFIFO_FL_INT_LOW 12
1958#define V_DBFIFO_FL_INT_LOW(x) ((x) << S_DBFIFO_FL_INT_LOW)
1959#define F_DBFIFO_FL_INT_LOW V_DBFIFO_FL_INT_LOW(1U)
1960
1961#define S_DBFIFO_FL_INT 11
1962#define V_DBFIFO_FL_INT(x) ((x) << S_DBFIFO_FL_INT)
1963#define F_DBFIFO_FL_INT V_DBFIFO_FL_INT(1U)
1964
1965#define S_ERR_RX_CPL_PACKET_SIZE1 10
1966#define V_ERR_RX_CPL_PACKET_SIZE1(x) ((x) << S_ERR_RX_CPL_PACKET_SIZE1)
1967#define F_ERR_RX_CPL_PACKET_SIZE1 V_ERR_RX_CPL_PACKET_SIZE1(1U)
1968
1969#define S_ERR_RX_CPL_PACKET_SIZE0 9
1970#define V_ERR_RX_CPL_PACKET_SIZE0(x) ((x) << S_ERR_RX_CPL_PACKET_SIZE0)
1971#define F_ERR_RX_CPL_PACKET_SIZE0 V_ERR_RX_CPL_PACKET_SIZE0(1U)
1972
1973#define S_ERR_ISHIFT_UR1 31
1974#define V_ERR_ISHIFT_UR1(x) ((x) << S_ERR_ISHIFT_UR1)
1975#define F_ERR_ISHIFT_UR1 V_ERR_ISHIFT_UR1(1U)
1976
1977#define S_ERR_ISHIFT_UR0 30
1978#define V_ERR_ISHIFT_UR0(x) ((x) << S_ERR_ISHIFT_UR0)
1979#define F_ERR_ISHIFT_UR0 V_ERR_ISHIFT_UR0(1U)
1980
1981#define S_ERR_TH3_MAX_FETCH 14
1982#define V_ERR_TH3_MAX_FETCH(x) ((x) << S_ERR_TH3_MAX_FETCH)
1983#define F_ERR_TH3_MAX_FETCH V_ERR_TH3_MAX_FETCH(1U)
1984
1985#define S_ERR_TH2_MAX_FETCH 13
1986#define V_ERR_TH2_MAX_FETCH(x) ((x) << S_ERR_TH2_MAX_FETCH)
1987#define F_ERR_TH2_MAX_FETCH V_ERR_TH2_MAX_FETCH(1U)
1988
1989#define S_ERR_TH1_MAX_FETCH 12
1990#define V_ERR_TH1_MAX_FETCH(x) ((x) << S_ERR_TH1_MAX_FETCH)
1991#define F_ERR_TH1_MAX_FETCH V_ERR_TH1_MAX_FETCH(1U)
1992
1993#define S_ERR_TH0_MAX_FETCH 11
1994#define V_ERR_TH0_MAX_FETCH(x) ((x) << S_ERR_TH0_MAX_FETCH)
1995#define F_ERR_TH0_MAX_FETCH V_ERR_TH0_MAX_FETCH(1U)
1996
1997#define A_SGE_INT_ENABLE4 0x10e0
1998#define A_SGE_STAT_TOTAL 0x10e4
1999#define A_SGE_STAT_MATCH 0x10e8
2000#define A_SGE_STAT_CFG 0x10ec
2001
2002#define S_ITPOPMODE 8
2003#define V_ITPOPMODE(x) ((x) << S_ITPOPMODE)
2004#define F_ITPOPMODE V_ITPOPMODE(1U)
2005
2006#define S_EGRCTXTOPMODE 6
2007#define M_EGRCTXTOPMODE 0x3U
2008#define V_EGRCTXTOPMODE(x) ((x) << S_EGRCTXTOPMODE)
2009#define G_EGRCTXTOPMODE(x) (((x) >> S_EGRCTXTOPMODE) & M_EGRCTXTOPMODE)
2010
2011#define S_INGCTXTOPMODE 4
2012#define M_INGCTXTOPMODE 0x3U
2013#define V_INGCTXTOPMODE(x) ((x) << S_INGCTXTOPMODE)
2014#define G_INGCTXTOPMODE(x) (((x) >> S_INGCTXTOPMODE) & M_INGCTXTOPMODE)
2015
2016#define S_STATMODE 2
2017#define M_STATMODE 0x3U
2018#define V_STATMODE(x) ((x) << S_STATMODE)
2019#define G_STATMODE(x) (((x) >> S_STATMODE) & M_STATMODE)
2020
2021#define S_STATSOURCE 0
2022#define M_STATSOURCE 0x3U
2023#define V_STATSOURCE(x) ((x) << S_STATSOURCE)
2024#define G_STATSOURCE(x) (((x) >> S_STATSOURCE) & M_STATSOURCE)
2025
2026#define S_STATSOURCE_T5 9
2027#define M_STATSOURCE_T5 0xfU
2028#define V_STATSOURCE_T5(x) ((x) << S_STATSOURCE_T5)
2029#define G_STATSOURCE_T5(x) (((x) >> S_STATSOURCE_T5) & M_STATSOURCE_T5)
2030
2031#define S_T6_STATMODE 0
2032#define M_T6_STATMODE 0xfU
2033#define V_T6_STATMODE(x) ((x) << S_T6_STATMODE)
2034#define G_T6_STATMODE(x) (((x) >> S_T6_STATMODE) & M_T6_STATMODE)
2035
2036#define A_SGE_HINT_CFG 0x10f0
2037
2038#define S_HINTSALLOWEDNOHDR 6
2039#define M_HINTSALLOWEDNOHDR 0x3fU
2040#define V_HINTSALLOWEDNOHDR(x) ((x) << S_HINTSALLOWEDNOHDR)
2041#define G_HINTSALLOWEDNOHDR(x) (((x) >> S_HINTSALLOWEDNOHDR) & M_HINTSALLOWEDNOHDR)
2042
2043#define S_HINTSALLOWEDHDR 0
2044#define M_HINTSALLOWEDHDR 0x3fU
2045#define V_HINTSALLOWEDHDR(x) ((x) << S_HINTSALLOWEDHDR)
2046#define G_HINTSALLOWEDHDR(x) (((x) >> S_HINTSALLOWEDHDR) & M_HINTSALLOWEDHDR)
2047
2048#define S_UPCUTOFFTHRESHLP 12
2049#define M_UPCUTOFFTHRESHLP 0x7ffU
2050#define V_UPCUTOFFTHRESHLP(x) ((x) << S_UPCUTOFFTHRESHLP)
2051#define G_UPCUTOFFTHRESHLP(x) (((x) >> S_UPCUTOFFTHRESHLP) & M_UPCUTOFFTHRESHLP)
2052
2053#define A_SGE_INGRESS_QUEUES_PER_PAGE_PF 0x10f4
2054#define A_SGE_INGRESS_QUEUES_PER_PAGE_VF 0x10f8
2055#define A_SGE_PD_WRR_CONFIG 0x10fc
2056
2057#define S_EDMA_WEIGHT 0
2058#define M_EDMA_WEIGHT 0x3fU
2059#define V_EDMA_WEIGHT(x) ((x) << S_EDMA_WEIGHT)
2060#define G_EDMA_WEIGHT(x) (((x) >> S_EDMA_WEIGHT) & M_EDMA_WEIGHT)
2061
2062#define A_SGE_ERROR_STATS 0x1100
2063
2064#define S_UNCAPTURED_ERROR 18
2065#define V_UNCAPTURED_ERROR(x) ((x) << S_UNCAPTURED_ERROR)
2066#define F_UNCAPTURED_ERROR V_UNCAPTURED_ERROR(1U)
2067
2068#define S_ERROR_QID_VALID 17
2069#define V_ERROR_QID_VALID(x) ((x) << S_ERROR_QID_VALID)
2070#define F_ERROR_QID_VALID V_ERROR_QID_VALID(1U)
2071
2072#define S_ERROR_QID 0
2073#define M_ERROR_QID 0x1ffffU
2074#define V_ERROR_QID(x) ((x) << S_ERROR_QID)
2075#define G_ERROR_QID(x) (((x) >> S_ERROR_QID) & M_ERROR_QID)
2076
2077#define S_CAUSE_REGISTER 24
2078#define M_CAUSE_REGISTER 0x7U
2079#define V_CAUSE_REGISTER(x) ((x) << S_CAUSE_REGISTER)
2080#define G_CAUSE_REGISTER(x) (((x) >> S_CAUSE_REGISTER) & M_CAUSE_REGISTER)
2081
2082#define S_CAUSE_BIT 19
2083#define M_CAUSE_BIT 0x1fU
2084#define V_CAUSE_BIT(x) ((x) << S_CAUSE_BIT)
2085#define G_CAUSE_BIT(x) (((x) >> S_CAUSE_BIT) & M_CAUSE_BIT)
2086
2087#define A_SGE_SHARED_TAG_CHAN_CFG 0x1104
2088
2089#define S_MINTAG3 24
2090#define M_MINTAG3 0xffU
2091#define V_MINTAG3(x) ((x) << S_MINTAG3)
2092#define G_MINTAG3(x) (((x) >> S_MINTAG3) & M_MINTAG3)
2093
2094#define S_MINTAG2 16
2095#define M_MINTAG2 0xffU
2096#define V_MINTAG2(x) ((x) << S_MINTAG2)
2097#define G_MINTAG2(x) (((x) >> S_MINTAG2) & M_MINTAG2)
2098
2099#define S_MINTAG1 8
2100#define M_MINTAG1 0xffU
2101#define V_MINTAG1(x) ((x) << S_MINTAG1)
2102#define G_MINTAG1(x) (((x) >> S_MINTAG1) & M_MINTAG1)
2103
2104#define S_MINTAG0 0
2105#define M_MINTAG0 0xffU
2106#define V_MINTAG0(x) ((x) << S_MINTAG0)
2107#define G_MINTAG0(x) (((x) >> S_MINTAG0) & M_MINTAG0)
2108
2109#define A_SGE_IDMA0_DROP_CNT 0x1104
2110#define A_SGE_SHARED_TAG_POOL_CFG 0x1108
2111
2112#define S_TAGPOOLTOTAL 0
2113#define M_TAGPOOLTOTAL 0xffU
2114#define V_TAGPOOLTOTAL(x) ((x) << S_TAGPOOLTOTAL)
2115#define G_TAGPOOLTOTAL(x) (((x) >> S_TAGPOOLTOTAL) & M_TAGPOOLTOTAL)
2116
2117#define A_SGE_IDMA1_DROP_CNT 0x1108
2118#define A_SGE_INT_CAUSE5 0x110c
2119
2120#define S_ERR_T_RXCRC 31
2121#define V_ERR_T_RXCRC(x) ((x) << S_ERR_T_RXCRC)
2122#define F_ERR_T_RXCRC V_ERR_T_RXCRC(1U)
2123
2124#define S_PERR_MC_RSPDATA 30
2125#define V_PERR_MC_RSPDATA(x) ((x) << S_PERR_MC_RSPDATA)
2126#define F_PERR_MC_RSPDATA V_PERR_MC_RSPDATA(1U)
2127
2128#define S_PERR_PC_RSPDATA 29
2129#define V_PERR_PC_RSPDATA(x) ((x) << S_PERR_PC_RSPDATA)
2130#define F_PERR_PC_RSPDATA V_PERR_PC_RSPDATA(1U)
2131
2132#define S_PERR_PD_RDRSPDATA 28
2133#define V_PERR_PD_RDRSPDATA(x) ((x) << S_PERR_PD_RDRSPDATA)
2134#define F_PERR_PD_RDRSPDATA V_PERR_PD_RDRSPDATA(1U)
2135
2136#define S_PERR_U_RXDATA 27
2137#define V_PERR_U_RXDATA(x) ((x) << S_PERR_U_RXDATA)
2138#define F_PERR_U_RXDATA V_PERR_U_RXDATA(1U)
2139
2140#define S_PERR_UD_RXDATA 26
2141#define V_PERR_UD_RXDATA(x) ((x) << S_PERR_UD_RXDATA)
2142#define F_PERR_UD_RXDATA V_PERR_UD_RXDATA(1U)
2143
2144#define S_PERR_UP_DATA 25
2145#define V_PERR_UP_DATA(x) ((x) << S_PERR_UP_DATA)
2146#define F_PERR_UP_DATA V_PERR_UP_DATA(1U)
2147
2148#define S_PERR_CIM2SGE_RXDATA 24
2149#define V_PERR_CIM2SGE_RXDATA(x) ((x) << S_PERR_CIM2SGE_RXDATA)
2150#define F_PERR_CIM2SGE_RXDATA V_PERR_CIM2SGE_RXDATA(1U)
2151
2152#define S_PERR_HINT_DELAY_FIFO1_T5 23
2153#define V_PERR_HINT_DELAY_FIFO1_T5(x) ((x) << S_PERR_HINT_DELAY_FIFO1_T5)
2154#define F_PERR_HINT_DELAY_FIFO1_T5 V_PERR_HINT_DELAY_FIFO1_T5(1U)
2155
2156#define S_PERR_HINT_DELAY_FIFO0_T5 22
2157#define V_PERR_HINT_DELAY_FIFO0_T5(x) ((x) << S_PERR_HINT_DELAY_FIFO0_T5)
2158#define F_PERR_HINT_DELAY_FIFO0_T5 V_PERR_HINT_DELAY_FIFO0_T5(1U)
2159
2160#define S_PERR_IMSG_PD_FIFO_T5 21
2161#define V_PERR_IMSG_PD_FIFO_T5(x) ((x) << S_PERR_IMSG_PD_FIFO_T5)
2162#define F_PERR_IMSG_PD_FIFO_T5 V_PERR_IMSG_PD_FIFO_T5(1U)
2163
2164#define S_PERR_ULPTX_FIFO1_T5 20
2165#define V_PERR_ULPTX_FIFO1_T5(x) ((x) << S_PERR_ULPTX_FIFO1_T5)
2166#define F_PERR_ULPTX_FIFO1_T5 V_PERR_ULPTX_FIFO1_T5(1U)
2167
2168#define S_PERR_ULPTX_FIFO0_T5 19
2169#define V_PERR_ULPTX_FIFO0_T5(x) ((x) << S_PERR_ULPTX_FIFO0_T5)
2170#define F_PERR_ULPTX_FIFO0_T5 V_PERR_ULPTX_FIFO0_T5(1U)
2171
2172#define S_PERR_IDMA2IMSG_FIFO1_T5 18
2173#define V_PERR_IDMA2IMSG_FIFO1_T5(x) ((x) << S_PERR_IDMA2IMSG_FIFO1_T5)
2174#define F_PERR_IDMA2IMSG_FIFO1_T5 V_PERR_IDMA2IMSG_FIFO1_T5(1U)
2175
2176#define S_PERR_IDMA2IMSG_FIFO0_T5 17
2177#define V_PERR_IDMA2IMSG_FIFO0_T5(x) ((x) << S_PERR_IDMA2IMSG_FIFO0_T5)
2178#define F_PERR_IDMA2IMSG_FIFO0_T5 V_PERR_IDMA2IMSG_FIFO0_T5(1U)
2179
2180#define S_PERR_POINTER_DATA_FIFO0 16
2181#define V_PERR_POINTER_DATA_FIFO0(x) ((x) << S_PERR_POINTER_DATA_FIFO0)
2182#define F_PERR_POINTER_DATA_FIFO0 V_PERR_POINTER_DATA_FIFO0(1U)
2183
2184#define S_PERR_POINTER_DATA_FIFO1 15
2185#define V_PERR_POINTER_DATA_FIFO1(x) ((x) << S_PERR_POINTER_DATA_FIFO1)
2186#define F_PERR_POINTER_DATA_FIFO1 V_PERR_POINTER_DATA_FIFO1(1U)
2187
2188#define S_PERR_POINTER_HDR_FIFO0 14
2189#define V_PERR_POINTER_HDR_FIFO0(x) ((x) << S_PERR_POINTER_HDR_FIFO0)
2190#define F_PERR_POINTER_HDR_FIFO0 V_PERR_POINTER_HDR_FIFO0(1U)
2191
2192#define S_PERR_POINTER_HDR_FIFO1 13
2193#define V_PERR_POINTER_HDR_FIFO1(x) ((x) << S_PERR_POINTER_HDR_FIFO1)
2194#define F_PERR_POINTER_HDR_FIFO1 V_PERR_POINTER_HDR_FIFO1(1U)
2195
2196#define S_PERR_PAYLOAD_FIFO0 12
2197#define V_PERR_PAYLOAD_FIFO0(x) ((x) << S_PERR_PAYLOAD_FIFO0)
2198#define F_PERR_PAYLOAD_FIFO0 V_PERR_PAYLOAD_FIFO0(1U)
2199
2200#define S_PERR_PAYLOAD_FIFO1 11
2201#define V_PERR_PAYLOAD_FIFO1(x) ((x) << S_PERR_PAYLOAD_FIFO1)
2202#define F_PERR_PAYLOAD_FIFO1 V_PERR_PAYLOAD_FIFO1(1U)
2203
2204#define S_PERR_EDMA_INPUT_FIFO3 10
2205#define V_PERR_EDMA_INPUT_FIFO3(x) ((x) << S_PERR_EDMA_INPUT_FIFO3)
2206#define F_PERR_EDMA_INPUT_FIFO3 V_PERR_EDMA_INPUT_FIFO3(1U)
2207
2208#define S_PERR_EDMA_INPUT_FIFO2 9
2209#define V_PERR_EDMA_INPUT_FIFO2(x) ((x) << S_PERR_EDMA_INPUT_FIFO2)
2210#define F_PERR_EDMA_INPUT_FIFO2 V_PERR_EDMA_INPUT_FIFO2(1U)
2211
2212#define S_PERR_EDMA_INPUT_FIFO1 8
2213#define V_PERR_EDMA_INPUT_FIFO1(x) ((x) << S_PERR_EDMA_INPUT_FIFO1)
2214#define F_PERR_EDMA_INPUT_FIFO1 V_PERR_EDMA_INPUT_FIFO1(1U)
2215
2216#define S_PERR_EDMA_INPUT_FIFO0 7
2217#define V_PERR_EDMA_INPUT_FIFO0(x) ((x) << S_PERR_EDMA_INPUT_FIFO0)
2218#define F_PERR_EDMA_INPUT_FIFO0 V_PERR_EDMA_INPUT_FIFO0(1U)
2219
2220#define S_PERR_MGT_BAR2_FIFO 6
2221#define V_PERR_MGT_BAR2_FIFO(x) ((x) << S_PERR_MGT_BAR2_FIFO)
2222#define F_PERR_MGT_BAR2_FIFO V_PERR_MGT_BAR2_FIFO(1U)
2223
2224#define S_PERR_HEADERSPLIT_FIFO1_T5 5
2225#define V_PERR_HEADERSPLIT_FIFO1_T5(x) ((x) << S_PERR_HEADERSPLIT_FIFO1_T5)
2226#define F_PERR_HEADERSPLIT_FIFO1_T5 V_PERR_HEADERSPLIT_FIFO1_T5(1U)
2227
2228#define S_PERR_HEADERSPLIT_FIFO0_T5 4
2229#define V_PERR_HEADERSPLIT_FIFO0_T5(x) ((x) << S_PERR_HEADERSPLIT_FIFO0_T5)
2230#define F_PERR_HEADERSPLIT_FIFO0_T5 V_PERR_HEADERSPLIT_FIFO0_T5(1U)
2231
2232#define S_PERR_CIM_FIFO1 3
2233#define V_PERR_CIM_FIFO1(x) ((x) << S_PERR_CIM_FIFO1)
2234#define F_PERR_CIM_FIFO1 V_PERR_CIM_FIFO1(1U)
2235
2236#define S_PERR_CIM_FIFO0 2
2237#define V_PERR_CIM_FIFO0(x) ((x) << S_PERR_CIM_FIFO0)
2238#define F_PERR_CIM_FIFO0 V_PERR_CIM_FIFO0(1U)
2239
2240#define S_PERR_IDMA_SWITCH_OUTPUT_FIFO1 1
2241#define V_PERR_IDMA_SWITCH_OUTPUT_FIFO1(x) ((x) << S_PERR_IDMA_SWITCH_OUTPUT_FIFO1)
2242#define F_PERR_IDMA_SWITCH_OUTPUT_FIFO1 V_PERR_IDMA_SWITCH_OUTPUT_FIFO1(1U)
2243
2244#define S_PERR_IDMA_SWITCH_OUTPUT_FIFO0 0
2245#define V_PERR_IDMA_SWITCH_OUTPUT_FIFO0(x) ((x) << S_PERR_IDMA_SWITCH_OUTPUT_FIFO0)
2246#define F_PERR_IDMA_SWITCH_OUTPUT_FIFO0 V_PERR_IDMA_SWITCH_OUTPUT_FIFO0(1U)
2247
2248#define A_SGE_INT_ENABLE5 0x1110
2249#define A_SGE_PERR_ENABLE5 0x1114
2250#define A_SGE_DBFIFO_STATUS2 0x1118
2251
2252#define S_FL_INT_THRESH 24
2253#define M_FL_INT_THRESH 0xfU
2254#define V_FL_INT_THRESH(x) ((x) << S_FL_INT_THRESH)
2255#define G_FL_INT_THRESH(x) (((x) >> S_FL_INT_THRESH) & M_FL_INT_THRESH)
2256
2257#define S_FL_COUNT 14
2258#define M_FL_COUNT 0x3ffU
2259#define V_FL_COUNT(x) ((x) << S_FL_COUNT)
2260#define G_FL_COUNT(x) (((x) >> S_FL_COUNT) & M_FL_COUNT)
2261
2262#define S_HP_INT_THRESH_T5 10
2263#define M_HP_INT_THRESH_T5 0xfU
2264#define V_HP_INT_THRESH_T5(x) ((x) << S_HP_INT_THRESH_T5)
2265#define G_HP_INT_THRESH_T5(x) (((x) >> S_HP_INT_THRESH_T5) & M_HP_INT_THRESH_T5)
2266
2267#define S_HP_COUNT_T5 0
2268#define M_HP_COUNT_T5 0x3ffU
2269#define V_HP_COUNT_T5(x) ((x) << S_HP_COUNT_T5)
2270#define G_HP_COUNT_T5(x) (((x) >> S_HP_COUNT_T5) & M_HP_COUNT_T5)
2271
2272#define A_SGE_FETCH_BURST_MAX_0_AND_1 0x111c
2273
2274#define S_FETCHBURSTMAX0 16
2275#define M_FETCHBURSTMAX0 0x3ffU
2276#define V_FETCHBURSTMAX0(x) ((x) << S_FETCHBURSTMAX0)
2277#define G_FETCHBURSTMAX0(x) (((x) >> S_FETCHBURSTMAX0) & M_FETCHBURSTMAX0)
2278
2279#define S_FETCHBURSTMAX1 0
2280#define M_FETCHBURSTMAX1 0x3ffU
2281#define V_FETCHBURSTMAX1(x) ((x) << S_FETCHBURSTMAX1)
2282#define G_FETCHBURSTMAX1(x) (((x) >> S_FETCHBURSTMAX1) & M_FETCHBURSTMAX1)
2283
2284#define A_SGE_FETCH_BURST_MAX_2_AND_3 0x1120
2285
2286#define S_FETCHBURSTMAX2 16
2287#define M_FETCHBURSTMAX2 0x3ffU
2288#define V_FETCHBURSTMAX2(x) ((x) << S_FETCHBURSTMAX2)
2289#define G_FETCHBURSTMAX2(x) (((x) >> S_FETCHBURSTMAX2) & M_FETCHBURSTMAX2)
2290
2291#define S_FETCHBURSTMAX3 0
2292#define M_FETCHBURSTMAX3 0x3ffU
2293#define V_FETCHBURSTMAX3(x) ((x) << S_FETCHBURSTMAX3)
2294#define G_FETCHBURSTMAX3(x) (((x) >> S_FETCHBURSTMAX3) & M_FETCHBURSTMAX3)
2295
2296#define A_SGE_CONTROL2 0x1124
2297
2298#define S_UPFLCUTOFFDIS 21
2299#define V_UPFLCUTOFFDIS(x) ((x) << S_UPFLCUTOFFDIS)
2300#define F_UPFLCUTOFFDIS V_UPFLCUTOFFDIS(1U)
2301
2302#define S_RXCPLSIZEAUTOCORRECT 20
2303#define V_RXCPLSIZEAUTOCORRECT(x) ((x) << S_RXCPLSIZEAUTOCORRECT)
2304#define F_RXCPLSIZEAUTOCORRECT V_RXCPLSIZEAUTOCORRECT(1U)
2305
2306#define S_IDMAARBROUNDROBIN 19
2307#define V_IDMAARBROUNDROBIN(x) ((x) << S_IDMAARBROUNDROBIN)
2308#define F_IDMAARBROUNDROBIN V_IDMAARBROUNDROBIN(1U)
2309
2310#define S_INGPACKBOUNDARY 16
2311#define M_INGPACKBOUNDARY 0x7U
2312#define V_INGPACKBOUNDARY(x) ((x) << S_INGPACKBOUNDARY)
2313#define G_INGPACKBOUNDARY(x) (((x) >> S_INGPACKBOUNDARY) & M_INGPACKBOUNDARY)
2314
2315#define S_CGEN_EGRESS_CONTEXT 15
2316#define V_CGEN_EGRESS_CONTEXT(x) ((x) << S_CGEN_EGRESS_CONTEXT)
2317#define F_CGEN_EGRESS_CONTEXT V_CGEN_EGRESS_CONTEXT(1U)
2318
2319#define S_CGEN_INGRESS_CONTEXT 14
2320#define V_CGEN_INGRESS_CONTEXT(x) ((x) << S_CGEN_INGRESS_CONTEXT)
2321#define F_CGEN_INGRESS_CONTEXT V_CGEN_INGRESS_CONTEXT(1U)
2322
2323#define S_CGEN_IDMA 13
2324#define V_CGEN_IDMA(x) ((x) << S_CGEN_IDMA)
2325#define F_CGEN_IDMA V_CGEN_IDMA(1U)
2326
2327#define S_CGEN_DBP 12
2328#define V_CGEN_DBP(x) ((x) << S_CGEN_DBP)
2329#define F_CGEN_DBP V_CGEN_DBP(1U)
2330
2331#define S_CGEN_EDMA 11
2332#define V_CGEN_EDMA(x) ((x) << S_CGEN_EDMA)
2333#define F_CGEN_EDMA V_CGEN_EDMA(1U)
2334
2335#define S_VFIFO_ENABLE 10
2336#define V_VFIFO_ENABLE(x) ((x) << S_VFIFO_ENABLE)
2337#define F_VFIFO_ENABLE V_VFIFO_ENABLE(1U)
2338
2339#define S_FLM_RESCHEDULE_MODE 9
2340#define V_FLM_RESCHEDULE_MODE(x) ((x) << S_FLM_RESCHEDULE_MODE)
2341#define F_FLM_RESCHEDULE_MODE V_FLM_RESCHEDULE_MODE(1U)
2342
2343#define S_HINTDEPTHCTLFL 4
2344#define M_HINTDEPTHCTLFL 0x1fU
2345#define V_HINTDEPTHCTLFL(x) ((x) << S_HINTDEPTHCTLFL)
2346#define G_HINTDEPTHCTLFL(x) (((x) >> S_HINTDEPTHCTLFL) & M_HINTDEPTHCTLFL)
2347
2348#define S_FORCE_ORDERING 3
2349#define V_FORCE_ORDERING(x) ((x) << S_FORCE_ORDERING)
2350#define F_FORCE_ORDERING V_FORCE_ORDERING(1U)
2351
2352#define S_TX_COALESCE_SIZE 2
2353#define V_TX_COALESCE_SIZE(x) ((x) << S_TX_COALESCE_SIZE)
2354#define F_TX_COALESCE_SIZE V_TX_COALESCE_SIZE(1U)
2355
2356#define S_COAL_STRICT_CIM_PRI 1
2357#define V_COAL_STRICT_CIM_PRI(x) ((x) << S_COAL_STRICT_CIM_PRI)
2358#define F_COAL_STRICT_CIM_PRI V_COAL_STRICT_CIM_PRI(1U)
2359
2360#define S_TX_COALESCE_PRI 0
2361#define V_TX_COALESCE_PRI(x) ((x) << S_TX_COALESCE_PRI)
2362#define F_TX_COALESCE_PRI V_TX_COALESCE_PRI(1U)
2363
2364#define A_SGE_DEEP_SLEEP 0x1128
2365
2366#define S_IDMA1_SLEEP_STATUS 11
2367#define V_IDMA1_SLEEP_STATUS(x) ((x) << S_IDMA1_SLEEP_STATUS)
2368#define F_IDMA1_SLEEP_STATUS V_IDMA1_SLEEP_STATUS(1U)
2369
2370#define S_IDMA0_SLEEP_STATUS 10
2371#define V_IDMA0_SLEEP_STATUS(x) ((x) << S_IDMA0_SLEEP_STATUS)
2372#define F_IDMA0_SLEEP_STATUS V_IDMA0_SLEEP_STATUS(1U)
2373
2374#define S_IDMA1_SLEEP_REQ 9
2375#define V_IDMA1_SLEEP_REQ(x) ((x) << S_IDMA1_SLEEP_REQ)
2376#define F_IDMA1_SLEEP_REQ V_IDMA1_SLEEP_REQ(1U)
2377
2378#define S_IDMA0_SLEEP_REQ 8
2379#define V_IDMA0_SLEEP_REQ(x) ((x) << S_IDMA0_SLEEP_REQ)
2380#define F_IDMA0_SLEEP_REQ V_IDMA0_SLEEP_REQ(1U)
2381
2382#define S_EDMA3_SLEEP_STATUS 7
2383#define V_EDMA3_SLEEP_STATUS(x) ((x) << S_EDMA3_SLEEP_STATUS)
2384#define F_EDMA3_SLEEP_STATUS V_EDMA3_SLEEP_STATUS(1U)
2385
2386#define S_EDMA2_SLEEP_STATUS 6
2387#define V_EDMA2_SLEEP_STATUS(x) ((x) << S_EDMA2_SLEEP_STATUS)
2388#define F_EDMA2_SLEEP_STATUS V_EDMA2_SLEEP_STATUS(1U)
2389
2390#define S_EDMA1_SLEEP_STATUS 5
2391#define V_EDMA1_SLEEP_STATUS(x) ((x) << S_EDMA1_SLEEP_STATUS)
2392#define F_EDMA1_SLEEP_STATUS V_EDMA1_SLEEP_STATUS(1U)
2393
2394#define S_EDMA0_SLEEP_STATUS 4
2395#define V_EDMA0_SLEEP_STATUS(x) ((x) << S_EDMA0_SLEEP_STATUS)
2396#define F_EDMA0_SLEEP_STATUS V_EDMA0_SLEEP_STATUS(1U)
2397
2398#define S_EDMA3_SLEEP_REQ 3
2399#define V_EDMA3_SLEEP_REQ(x) ((x) << S_EDMA3_SLEEP_REQ)
2400#define F_EDMA3_SLEEP_REQ V_EDMA3_SLEEP_REQ(1U)
2401
2402#define S_EDMA2_SLEEP_REQ 2
2403#define V_EDMA2_SLEEP_REQ(x) ((x) << S_EDMA2_SLEEP_REQ)
2404#define F_EDMA2_SLEEP_REQ V_EDMA2_SLEEP_REQ(1U)
2405
2406#define S_EDMA1_SLEEP_REQ 1
2407#define V_EDMA1_SLEEP_REQ(x) ((x) << S_EDMA1_SLEEP_REQ)
2408#define F_EDMA1_SLEEP_REQ V_EDMA1_SLEEP_REQ(1U)
2409
2410#define S_EDMA0_SLEEP_REQ 0
2411#define V_EDMA0_SLEEP_REQ(x) ((x) << S_EDMA0_SLEEP_REQ)
2412#define F_EDMA0_SLEEP_REQ V_EDMA0_SLEEP_REQ(1U)
2413
2414#define A_SGE_INT_CAUSE6 0x1128
2415
2416#define S_ERR_DB_SYNC 21
2417#define V_ERR_DB_SYNC(x) ((x) << S_ERR_DB_SYNC)
2418#define F_ERR_DB_SYNC V_ERR_DB_SYNC(1U)
2419
2420#define S_ERR_GTS_SYNC 20
2421#define V_ERR_GTS_SYNC(x) ((x) << S_ERR_GTS_SYNC)
2422#define F_ERR_GTS_SYNC V_ERR_GTS_SYNC(1U)
2423
2424#define S_FATAL_LARGE_COAL 19
2425#define V_FATAL_LARGE_COAL(x) ((x) << S_FATAL_LARGE_COAL)
2426#define F_FATAL_LARGE_COAL V_FATAL_LARGE_COAL(1U)
2427
2428#define S_PL_BAR2_FRM_ERR 18
2429#define V_PL_BAR2_FRM_ERR(x) ((x) << S_PL_BAR2_FRM_ERR)
2430#define F_PL_BAR2_FRM_ERR V_PL_BAR2_FRM_ERR(1U)
2431
2432#define S_SILENT_DROP_TX_COAL 17
2433#define V_SILENT_DROP_TX_COAL(x) ((x) << S_SILENT_DROP_TX_COAL)
2434#define F_SILENT_DROP_TX_COAL V_SILENT_DROP_TX_COAL(1U)
2435
2436#define S_ERR_INV_CTXT4 16
2437#define V_ERR_INV_CTXT4(x) ((x) << S_ERR_INV_CTXT4)
2438#define F_ERR_INV_CTXT4 V_ERR_INV_CTXT4(1U)
2439
2440#define S_ERR_BAD_DB_PIDX4 15
2441#define V_ERR_BAD_DB_PIDX4(x) ((x) << S_ERR_BAD_DB_PIDX4)
2442#define F_ERR_BAD_DB_PIDX4 V_ERR_BAD_DB_PIDX4(1U)
2443
2444#define S_ERR_BAD_UPFL_INC_CREDIT4 14
2445#define V_ERR_BAD_UPFL_INC_CREDIT4(x) ((x) << S_ERR_BAD_UPFL_INC_CREDIT4)
2446#define F_ERR_BAD_UPFL_INC_CREDIT4 V_ERR_BAD_UPFL_INC_CREDIT4(1U)
2447
2448#define S_FATAL_TAG_MISMATCH 13
2449#define V_FATAL_TAG_MISMATCH(x) ((x) << S_FATAL_TAG_MISMATCH)
2450#define F_FATAL_TAG_MISMATCH V_FATAL_TAG_MISMATCH(1U)
2451
2452#define S_FATAL_ENQ_CTL_RDY 12
2453#define V_FATAL_ENQ_CTL_RDY(x) ((x) << S_FATAL_ENQ_CTL_RDY)
2454#define F_FATAL_ENQ_CTL_RDY V_FATAL_ENQ_CTL_RDY(1U)
2455
2456#define S_ERR_PC_RSP_LEN3 11
2457#define V_ERR_PC_RSP_LEN3(x) ((x) << S_ERR_PC_RSP_LEN3)
2458#define F_ERR_PC_RSP_LEN3 V_ERR_PC_RSP_LEN3(1U)
2459
2460#define S_ERR_PC_RSP_LEN2 10
2461#define V_ERR_PC_RSP_LEN2(x) ((x) << S_ERR_PC_RSP_LEN2)
2462#define F_ERR_PC_RSP_LEN2 V_ERR_PC_RSP_LEN2(1U)
2463
2464#define S_ERR_PC_RSP_LEN1 9
2465#define V_ERR_PC_RSP_LEN1(x) ((x) << S_ERR_PC_RSP_LEN1)
2466#define F_ERR_PC_RSP_LEN1 V_ERR_PC_RSP_LEN1(1U)
2467
2468#define S_ERR_PC_RSP_LEN0 8
2469#define V_ERR_PC_RSP_LEN0(x) ((x) << S_ERR_PC_RSP_LEN0)
2470#define F_ERR_PC_RSP_LEN0 V_ERR_PC_RSP_LEN0(1U)
2471
2472#define S_FATAL_ENQ2LL_VLD 7
2473#define V_FATAL_ENQ2LL_VLD(x) ((x) << S_FATAL_ENQ2LL_VLD)
2474#define F_FATAL_ENQ2LL_VLD V_FATAL_ENQ2LL_VLD(1U)
2475
2476#define S_FATAL_LL_EMPTY 6
2477#define V_FATAL_LL_EMPTY(x) ((x) << S_FATAL_LL_EMPTY)
2478#define F_FATAL_LL_EMPTY V_FATAL_LL_EMPTY(1U)
2479
2480#define S_FATAL_OFF_WDENQ 5
2481#define V_FATAL_OFF_WDENQ(x) ((x) << S_FATAL_OFF_WDENQ)
2482#define F_FATAL_OFF_WDENQ V_FATAL_OFF_WDENQ(1U)
2483
2484#define S_FATAL_DEQ_DRDY 3
2485#define M_FATAL_DEQ_DRDY 0x3U
2486#define V_FATAL_DEQ_DRDY(x) ((x) << S_FATAL_DEQ_DRDY)
2487#define G_FATAL_DEQ_DRDY(x) (((x) >> S_FATAL_DEQ_DRDY) & M_FATAL_DEQ_DRDY)
2488
2489#define S_FATAL_OUTP_DRDY 1
2490#define M_FATAL_OUTP_DRDY 0x3U
2491#define V_FATAL_OUTP_DRDY(x) ((x) << S_FATAL_OUTP_DRDY)
2492#define G_FATAL_OUTP_DRDY(x) (((x) >> S_FATAL_OUTP_DRDY) & M_FATAL_OUTP_DRDY)
2493
2494#define S_FATAL_DEQ 0
2495#define V_FATAL_DEQ(x) ((x) << S_FATAL_DEQ)
2496#define F_FATAL_DEQ V_FATAL_DEQ(1U)
2497
2498#define A_SGE_DOORBELL_THROTTLE_THRESHOLD 0x112c
2499
2500#define S_THROTTLE_THRESHOLD_FL 16
2501#define M_THROTTLE_THRESHOLD_FL 0xfU
2502#define V_THROTTLE_THRESHOLD_FL(x) ((x) << S_THROTTLE_THRESHOLD_FL)
2503#define G_THROTTLE_THRESHOLD_FL(x) (((x) >> S_THROTTLE_THRESHOLD_FL) & M_THROTTLE_THRESHOLD_FL)
2504
2505#define S_THROTTLE_THRESHOLD_HP 12
2506#define M_THROTTLE_THRESHOLD_HP 0xfU
2507#define V_THROTTLE_THRESHOLD_HP(x) ((x) << S_THROTTLE_THRESHOLD_HP)
2508#define G_THROTTLE_THRESHOLD_HP(x) (((x) >> S_THROTTLE_THRESHOLD_HP) & M_THROTTLE_THRESHOLD_HP)
2509
2510#define S_THROTTLE_THRESHOLD_LP 0
2511#define M_THROTTLE_THRESHOLD_LP 0xfffU
2512#define V_THROTTLE_THRESHOLD_LP(x) ((x) << S_THROTTLE_THRESHOLD_LP)
2513#define G_THROTTLE_THRESHOLD_LP(x) (((x) >> S_THROTTLE_THRESHOLD_LP) & M_THROTTLE_THRESHOLD_LP)
2514
2515#define A_SGE_INT_ENABLE6 0x112c
2516#define A_SGE_DBP_FETCH_THRESHOLD 0x1130
2517
2518#define S_DBP_FETCH_THRESHOLD_FL 21
2519#define M_DBP_FETCH_THRESHOLD_FL 0xfU
2520#define V_DBP_FETCH_THRESHOLD_FL(x) ((x) << S_DBP_FETCH_THRESHOLD_FL)
2521#define G_DBP_FETCH_THRESHOLD_FL(x) (((x) >> S_DBP_FETCH_THRESHOLD_FL) & M_DBP_FETCH_THRESHOLD_FL)
2522
2523#define S_DBP_FETCH_THRESHOLD_HP 17
2524#define M_DBP_FETCH_THRESHOLD_HP 0xfU
2525#define V_DBP_FETCH_THRESHOLD_HP(x) ((x) << S_DBP_FETCH_THRESHOLD_HP)
2526#define G_DBP_FETCH_THRESHOLD_HP(x) (((x) >> S_DBP_FETCH_THRESHOLD_HP) & M_DBP_FETCH_THRESHOLD_HP)
2527
2528#define S_DBP_FETCH_THRESHOLD_LP 5
2529#define M_DBP_FETCH_THRESHOLD_LP 0xfffU
2530#define V_DBP_FETCH_THRESHOLD_LP(x) ((x) << S_DBP_FETCH_THRESHOLD_LP)
2531#define G_DBP_FETCH_THRESHOLD_LP(x) (((x) >> S_DBP_FETCH_THRESHOLD_LP) & M_DBP_FETCH_THRESHOLD_LP)
2532
2533#define S_DBP_FETCH_THRESHOLD_MODE 4
2534#define V_DBP_FETCH_THRESHOLD_MODE(x) ((x) << S_DBP_FETCH_THRESHOLD_MODE)
2535#define F_DBP_FETCH_THRESHOLD_MODE V_DBP_FETCH_THRESHOLD_MODE(1U)
2536
2537#define S_DBP_FETCH_THRESHOLD_EN3 3
2538#define V_DBP_FETCH_THRESHOLD_EN3(x) ((x) << S_DBP_FETCH_THRESHOLD_EN3)
2539#define F_DBP_FETCH_THRESHOLD_EN3 V_DBP_FETCH_THRESHOLD_EN3(1U)
2540
2541#define S_DBP_FETCH_THRESHOLD_EN2 2
2542#define V_DBP_FETCH_THRESHOLD_EN2(x) ((x) << S_DBP_FETCH_THRESHOLD_EN2)
2543#define F_DBP_FETCH_THRESHOLD_EN2 V_DBP_FETCH_THRESHOLD_EN2(1U)
2544
2545#define S_DBP_FETCH_THRESHOLD_EN1 1
2546#define V_DBP_FETCH_THRESHOLD_EN1(x) ((x) << S_DBP_FETCH_THRESHOLD_EN1)
2547#define F_DBP_FETCH_THRESHOLD_EN1 V_DBP_FETCH_THRESHOLD_EN1(1U)
2548
2549#define S_DBP_FETCH_THRESHOLD_EN0 0
2550#define V_DBP_FETCH_THRESHOLD_EN0(x) ((x) << S_DBP_FETCH_THRESHOLD_EN0)
2551#define F_DBP_FETCH_THRESHOLD_EN0 V_DBP_FETCH_THRESHOLD_EN0(1U)
2552
2553#define A_SGE_DBP_FETCH_THRESHOLD_QUEUE 0x1134
2554
2555#define S_DBP_FETCH_THRESHOLD_IQ1 16
2556#define M_DBP_FETCH_THRESHOLD_IQ1 0xffffU
2557#define V_DBP_FETCH_THRESHOLD_IQ1(x) ((x) << S_DBP_FETCH_THRESHOLD_IQ1)
2558#define G_DBP_FETCH_THRESHOLD_IQ1(x) (((x) >> S_DBP_FETCH_THRESHOLD_IQ1) & M_DBP_FETCH_THRESHOLD_IQ1)
2559
2560#define S_DBP_FETCH_THRESHOLD_IQ0 0
2561#define M_DBP_FETCH_THRESHOLD_IQ0 0xffffU
2562#define V_DBP_FETCH_THRESHOLD_IQ0(x) ((x) << S_DBP_FETCH_THRESHOLD_IQ0)
2563#define G_DBP_FETCH_THRESHOLD_IQ0(x) (((x) >> S_DBP_FETCH_THRESHOLD_IQ0) & M_DBP_FETCH_THRESHOLD_IQ0)
2564
2565#define A_SGE_DBVFIFO_BADDR 0x1138
2566#define A_SGE_DBVFIFO_SIZE 0x113c
2567
2568#define S_DBVFIFO_SIZE 6
2569#define M_DBVFIFO_SIZE 0xfffU
2570#define V_DBVFIFO_SIZE(x) ((x) << S_DBVFIFO_SIZE)
2571#define G_DBVFIFO_SIZE(x) (((x) >> S_DBVFIFO_SIZE) & M_DBVFIFO_SIZE)
2572
2573#define S_T6_DBVFIFO_SIZE 0
2574#define M_T6_DBVFIFO_SIZE 0x1fffU
2575#define V_T6_DBVFIFO_SIZE(x) ((x) << S_T6_DBVFIFO_SIZE)
2576#define G_T6_DBVFIFO_SIZE(x) (((x) >> S_T6_DBVFIFO_SIZE) & M_T6_DBVFIFO_SIZE)
2577
2578#define A_SGE_DBFIFO_STATUS3 0x1140
2579
2580#define S_LP_PTRS_EQUAL 21
2581#define V_LP_PTRS_EQUAL(x) ((x) << S_LP_PTRS_EQUAL)
2582#define F_LP_PTRS_EQUAL V_LP_PTRS_EQUAL(1U)
2583
2584#define S_LP_SNAPHOT 20
2585#define V_LP_SNAPHOT(x) ((x) << S_LP_SNAPHOT)
2586#define F_LP_SNAPHOT V_LP_SNAPHOT(1U)
2587
2588#define S_FL_INT_THRESH_LOW 16
2589#define M_FL_INT_THRESH_LOW 0xfU
2590#define V_FL_INT_THRESH_LOW(x) ((x) << S_FL_INT_THRESH_LOW)
2591#define G_FL_INT_THRESH_LOW(x) (((x) >> S_FL_INT_THRESH_LOW) & M_FL_INT_THRESH_LOW)
2592
2593#define S_HP_INT_THRESH_LOW 12
2594#define M_HP_INT_THRESH_LOW 0xfU
2595#define V_HP_INT_THRESH_LOW(x) ((x) << S_HP_INT_THRESH_LOW)
2596#define G_HP_INT_THRESH_LOW(x) (((x) >> S_HP_INT_THRESH_LOW) & M_HP_INT_THRESH_LOW)
2597
2598#define S_LP_INT_THRESH_LOW 0
2599#define M_LP_INT_THRESH_LOW 0xfffU
2600#define V_LP_INT_THRESH_LOW(x) ((x) << S_LP_INT_THRESH_LOW)
2601#define G_LP_INT_THRESH_LOW(x) (((x) >> S_LP_INT_THRESH_LOW) & M_LP_INT_THRESH_LOW)
2602
2603#define A_SGE_CHANGESET 0x1144
2604#define A_SGE_PC_RSP_ERROR 0x1148
2605#define A_SGE_TBUF_CONTROL 0x114c
2606
2607#define S_DBPTBUFRSV1 9
2608#define M_DBPTBUFRSV1 0x1ffU
2609#define V_DBPTBUFRSV1(x) ((x) << S_DBPTBUFRSV1)
2610#define G_DBPTBUFRSV1(x) (((x) >> S_DBPTBUFRSV1) & M_DBPTBUFRSV1)
2611
2612#define S_DBPTBUFRSV0 0
2613#define M_DBPTBUFRSV0 0x1ffU
2614#define V_DBPTBUFRSV0(x) ((x) << S_DBPTBUFRSV0)
2615#define G_DBPTBUFRSV0(x) (((x) >> S_DBPTBUFRSV0) & M_DBPTBUFRSV0)
2616
2617#define A_SGE_PC0_REQ_BIST_CMD 0x1180
2618#define A_SGE_PC0_REQ_BIST_ERROR_CNT 0x1184
2619#define A_SGE_PC1_REQ_BIST_CMD 0x1190
2620#define A_SGE_PC1_REQ_BIST_ERROR_CNT 0x1194
2621#define A_SGE_PC0_RSP_BIST_CMD 0x11a0
2622#define A_SGE_PC0_RSP_BIST_ERROR_CNT 0x11a4
2623#define A_SGE_PC1_RSP_BIST_CMD 0x11b0
2624#define A_SGE_PC1_RSP_BIST_ERROR_CNT 0x11b4
2625#define A_SGE_CTXT_CMD 0x11fc
2626
2627#define S_BUSY 31
2628#define V_BUSY(x) ((x) << S_BUSY)
2629#define F_BUSY V_BUSY(1U)
2630
2631#define S_CTXTOP 28
2632#define M_CTXTOP 0x3U
2633#define V_CTXTOP(x) ((x) << S_CTXTOP)
2634#define G_CTXTOP(x) (((x) >> S_CTXTOP) & M_CTXTOP)
2635
2636#define S_CTXTTYPE 24
2637#define M_CTXTTYPE 0x3U
2638#define V_CTXTTYPE(x) ((x) << S_CTXTTYPE)
2639#define G_CTXTTYPE(x) (((x) >> S_CTXTTYPE) & M_CTXTTYPE)
2640
2641#define S_CTXTQID 0
2642#define M_CTXTQID 0x1ffffU
2643#define V_CTXTQID(x) ((x) << S_CTXTQID)
2644#define G_CTXTQID(x) (((x) >> S_CTXTQID) & M_CTXTQID)
2645
2646#define A_SGE_CTXT_DATA0 0x1200
2647#define A_SGE_CTXT_DATA1 0x1204
2648#define A_SGE_CTXT_DATA2 0x1208
2649#define A_SGE_CTXT_DATA3 0x120c
2650#define A_SGE_CTXT_DATA4 0x1210
2651#define A_SGE_CTXT_DATA5 0x1214
2652#define A_SGE_CTXT_DATA6 0x1218
2653#define A_SGE_CTXT_DATA7 0x121c
2654#define A_SGE_CTXT_MASK0 0x1220
2655#define A_SGE_CTXT_MASK1 0x1224
2656#define A_SGE_CTXT_MASK2 0x1228
2657#define A_SGE_CTXT_MASK3 0x122c
2658#define A_SGE_CTXT_MASK4 0x1230
2659#define A_SGE_CTXT_MASK5 0x1234
2660#define A_SGE_CTXT_MASK6 0x1238
2661#define A_SGE_CTXT_MASK7 0x123c
2662#define A_SGE_QBASE_MAP0 0x1240
2663
2664#define S_EGRESS0_SIZE 24
2665#define M_EGRESS0_SIZE 0x1fU
2666#define V_EGRESS0_SIZE(x) ((x) << S_EGRESS0_SIZE)
2667#define G_EGRESS0_SIZE(x) (((x) >> S_EGRESS0_SIZE) & M_EGRESS0_SIZE)
2668
2669#define S_EGRESS1_SIZE 16
2670#define M_EGRESS1_SIZE 0x1fU
2671#define V_EGRESS1_SIZE(x) ((x) << S_EGRESS1_SIZE)
2672#define G_EGRESS1_SIZE(x) (((x) >> S_EGRESS1_SIZE) & M_EGRESS1_SIZE)
2673
2674#define S_INGRESS0_SIZE 8
2675#define M_INGRESS0_SIZE 0x1fU
2676#define V_INGRESS0_SIZE(x) ((x) << S_INGRESS0_SIZE)
2677#define G_INGRESS0_SIZE(x) (((x) >> S_INGRESS0_SIZE) & M_INGRESS0_SIZE)
2678
2679#define A_SGE_QBASE_MAP1 0x1244
2680
2681#define S_EGRESS0_BASE 0
2682#define M_EGRESS0_BASE 0x1ffffU
2683#define V_EGRESS0_BASE(x) ((x) << S_EGRESS0_BASE)
2684#define G_EGRESS0_BASE(x) (((x) >> S_EGRESS0_BASE) & M_EGRESS0_BASE)
2685
2686#define A_SGE_QBASE_MAP2 0x1248
2687
2688#define S_EGRESS1_BASE 0
2689#define M_EGRESS1_BASE 0x1ffffU
2690#define V_EGRESS1_BASE(x) ((x) << S_EGRESS1_BASE)
2691#define G_EGRESS1_BASE(x) (((x) >> S_EGRESS1_BASE) & M_EGRESS1_BASE)
2692
2693#define A_SGE_QBASE_MAP3 0x124c
2694
2695#define S_INGRESS1_BASE_256VF 16
2696#define M_INGRESS1_BASE_256VF 0xffffU
2697#define V_INGRESS1_BASE_256VF(x) ((x) << S_INGRESS1_BASE_256VF)
2698#define G_INGRESS1_BASE_256VF(x) (((x) >> S_INGRESS1_BASE_256VF) & M_INGRESS1_BASE_256VF)
2699
2700#define S_INGRESS0_BASE 0
2701#define M_INGRESS0_BASE 0xffffU
2702#define V_INGRESS0_BASE(x) ((x) << S_INGRESS0_BASE)
2703#define G_INGRESS0_BASE(x) (((x) >> S_INGRESS0_BASE) & M_INGRESS0_BASE)
2704
2705#define A_SGE_QBASE_INDEX 0x1250
2706
2707#define S_QIDX 0
2708#define M_QIDX 0x1ffU
2709#define V_QIDX(x) ((x) << S_QIDX)
2710#define G_QIDX(x) (((x) >> S_QIDX) & M_QIDX)
2711
2712#define A_SGE_CONM_CTRL2 0x1254
2713
2714#define S_FLMTHRESHPACK 8
2715#define M_FLMTHRESHPACK 0x7fU
2716#define V_FLMTHRESHPACK(x) ((x) << S_FLMTHRESHPACK)
2717#define G_FLMTHRESHPACK(x) (((x) >> S_FLMTHRESHPACK) & M_FLMTHRESHPACK)
2718
2719#define S_FLMTHRESH 0
2720#define M_FLMTHRESH 0x7fU
2721#define V_FLMTHRESH(x) ((x) << S_FLMTHRESH)
2722#define G_FLMTHRESH(x) (((x) >> S_FLMTHRESH) & M_FLMTHRESH)
2723
2724#define A_SGE_DEBUG_CONM 0x1258
2725
2726#define S_MPS_CH_CNG 16
2727#define M_MPS_CH_CNG 0xffffU
2728#define V_MPS_CH_CNG(x) ((x) << S_MPS_CH_CNG)
2729#define G_MPS_CH_CNG(x) (((x) >> S_MPS_CH_CNG) & M_MPS_CH_CNG)
2730
2731#define S_TP_CH_CNG 14
2732#define M_TP_CH_CNG 0x3U
2733#define V_TP_CH_CNG(x) ((x) << S_TP_CH_CNG)
2734#define G_TP_CH_CNG(x) (((x) >> S_TP_CH_CNG) & M_TP_CH_CNG)
2735
2736#define S_ST_CONG 12
2737#define M_ST_CONG 0x3U
2738#define V_ST_CONG(x) ((x) << S_ST_CONG)
2739#define G_ST_CONG(x) (((x) >> S_ST_CONG) & M_ST_CONG)
2740
2741#define S_LAST_XOFF 10
2742#define V_LAST_XOFF(x) ((x) << S_LAST_XOFF)
2743#define F_LAST_XOFF V_LAST_XOFF(1U)
2744
2745#define S_LAST_QID 0
2746#define M_LAST_QID 0x3ffU
2747#define V_LAST_QID(x) ((x) << S_LAST_QID)
2748#define G_LAST_QID(x) (((x) >> S_LAST_QID) & M_LAST_QID)
2749
2750#define A_SGE_DBG_QUEUE_STAT0_CTRL 0x125c
2751
2752#define S_IMSG_GTS_SEL 18
2753#define V_IMSG_GTS_SEL(x) ((x) << S_IMSG_GTS_SEL)
2754#define F_IMSG_GTS_SEL V_IMSG_GTS_SEL(1U)
2755
2756#define S_MGT_SEL 17
2757#define V_MGT_SEL(x) ((x) << S_MGT_SEL)
2758#define F_MGT_SEL V_MGT_SEL(1U)
2759
2760#define S_DB_GTS_QID 0
2761#define M_DB_GTS_QID 0x1ffffU
2762#define V_DB_GTS_QID(x) ((x) << S_DB_GTS_QID)
2763#define G_DB_GTS_QID(x) (((x) >> S_DB_GTS_QID) & M_DB_GTS_QID)
2764
2765#define A_SGE_DBG_QUEUE_STAT1_CTRL 0x1260
2766#define A_SGE_DBG_QUEUE_STAT0 0x1264
2767#define A_SGE_DBG_QUEUE_STAT1 0x1268
2768#define A_SGE_DBG_BAR2_PKT_CNT 0x126c
2769#define A_SGE_DBG_DB_PKT_CNT 0x1270
2770#define A_SGE_DBG_GTS_PKT_CNT 0x1274
2771#define A_SGE_DEBUG_DATA_HIGH_INDEX_0 0x1280
2772
2773#define S_CIM_WM 24
2774#define M_CIM_WM 0x3U
2775#define V_CIM_WM(x) ((x) << S_CIM_WM)
2776#define G_CIM_WM(x) (((x) >> S_CIM_WM) & M_CIM_WM)
2777
2778#define S_DEBUG_UP_SOP_CNT 20
2779#define M_DEBUG_UP_SOP_CNT 0xfU
2780#define V_DEBUG_UP_SOP_CNT(x) ((x) << S_DEBUG_UP_SOP_CNT)
2781#define G_DEBUG_UP_SOP_CNT(x) (((x) >> S_DEBUG_UP_SOP_CNT) & M_DEBUG_UP_SOP_CNT)
2782
2783#define S_DEBUG_UP_EOP_CNT 16
2784#define M_DEBUG_UP_EOP_CNT 0xfU
2785#define V_DEBUG_UP_EOP_CNT(x) ((x) << S_DEBUG_UP_EOP_CNT)
2786#define G_DEBUG_UP_EOP_CNT(x) (((x) >> S_DEBUG_UP_EOP_CNT) & M_DEBUG_UP_EOP_CNT)
2787
2788#define S_DEBUG_CIM_SOP1_CNT 12
2789#define M_DEBUG_CIM_SOP1_CNT 0xfU
2790#define V_DEBUG_CIM_SOP1_CNT(x) ((x) << S_DEBUG_CIM_SOP1_CNT)
2791#define G_DEBUG_CIM_SOP1_CNT(x) (((x) >> S_DEBUG_CIM_SOP1_CNT) & M_DEBUG_CIM_SOP1_CNT)
2792
2793#define S_DEBUG_CIM_EOP1_CNT 8
2794#define M_DEBUG_CIM_EOP1_CNT 0xfU
2795#define V_DEBUG_CIM_EOP1_CNT(x) ((x) << S_DEBUG_CIM_EOP1_CNT)
2796#define G_DEBUG_CIM_EOP1_CNT(x) (((x) >> S_DEBUG_CIM_EOP1_CNT) & M_DEBUG_CIM_EOP1_CNT)
2797
2798#define S_DEBUG_CIM_SOP0_CNT 4
2799#define M_DEBUG_CIM_SOP0_CNT 0xfU
2800#define V_DEBUG_CIM_SOP0_CNT(x) ((x) << S_DEBUG_CIM_SOP0_CNT)
2801#define G_DEBUG_CIM_SOP0_CNT(x) (((x) >> S_DEBUG_CIM_SOP0_CNT) & M_DEBUG_CIM_SOP0_CNT)
2802
2803#define S_DEBUG_CIM_EOP0_CNT 0
2804#define M_DEBUG_CIM_EOP0_CNT 0xfU
2805#define V_DEBUG_CIM_EOP0_CNT(x) ((x) << S_DEBUG_CIM_EOP0_CNT)
2806#define G_DEBUG_CIM_EOP0_CNT(x) (((x) >> S_DEBUG_CIM_EOP0_CNT) & M_DEBUG_CIM_EOP0_CNT)
2807
2808#define S_DEBUG_BAR2_SOP_CNT 28
2809#define M_DEBUG_BAR2_SOP_CNT 0xfU
2810#define V_DEBUG_BAR2_SOP_CNT(x) ((x) << S_DEBUG_BAR2_SOP_CNT)
2811#define G_DEBUG_BAR2_SOP_CNT(x) (((x) >> S_DEBUG_BAR2_SOP_CNT) & M_DEBUG_BAR2_SOP_CNT)
2812
2813#define S_DEBUG_BAR2_EOP_CNT 24
2814#define M_DEBUG_BAR2_EOP_CNT 0xfU
2815#define V_DEBUG_BAR2_EOP_CNT(x) ((x) << S_DEBUG_BAR2_EOP_CNT)
2816#define G_DEBUG_BAR2_EOP_CNT(x) (((x) >> S_DEBUG_BAR2_EOP_CNT) & M_DEBUG_BAR2_EOP_CNT)
2817
2818#define A_SGE_DEBUG_DATA_HIGH_INDEX_1 0x1284
2819
2820#define S_DEBUG_T_RX_SOP1_CNT 28
2821#define M_DEBUG_T_RX_SOP1_CNT 0xfU
2822#define V_DEBUG_T_RX_SOP1_CNT(x) ((x) << S_DEBUG_T_RX_SOP1_CNT)
2823#define G_DEBUG_T_RX_SOP1_CNT(x) (((x) >> S_DEBUG_T_RX_SOP1_CNT) & M_DEBUG_T_RX_SOP1_CNT)
2824
2825#define S_DEBUG_T_RX_EOP1_CNT 24
2826#define M_DEBUG_T_RX_EOP1_CNT 0xfU
2827#define V_DEBUG_T_RX_EOP1_CNT(x) ((x) << S_DEBUG_T_RX_EOP1_CNT)
2828#define G_DEBUG_T_RX_EOP1_CNT(x) (((x) >> S_DEBUG_T_RX_EOP1_CNT) & M_DEBUG_T_RX_EOP1_CNT)
2829
2830#define S_DEBUG_T_RX_SOP0_CNT 20
2831#define M_DEBUG_T_RX_SOP0_CNT 0xfU
2832#define V_DEBUG_T_RX_SOP0_CNT(x) ((x) << S_DEBUG_T_RX_SOP0_CNT)
2833#define G_DEBUG_T_RX_SOP0_CNT(x) (((x) >> S_DEBUG_T_RX_SOP0_CNT) & M_DEBUG_T_RX_SOP0_CNT)
2834
2835#define S_DEBUG_T_RX_EOP0_CNT 16
2836#define M_DEBUG_T_RX_EOP0_CNT 0xfU
2837#define V_DEBUG_T_RX_EOP0_CNT(x) ((x) << S_DEBUG_T_RX_EOP0_CNT)
2838#define G_DEBUG_T_RX_EOP0_CNT(x) (((x) >> S_DEBUG_T_RX_EOP0_CNT) & M_DEBUG_T_RX_EOP0_CNT)
2839
2840#define S_DEBUG_U_RX_SOP1_CNT 12
2841#define M_DEBUG_U_RX_SOP1_CNT 0xfU
2842#define V_DEBUG_U_RX_SOP1_CNT(x) ((x) << S_DEBUG_U_RX_SOP1_CNT)
2843#define G_DEBUG_U_RX_SOP1_CNT(x) (((x) >> S_DEBUG_U_RX_SOP1_CNT) & M_DEBUG_U_RX_SOP1_CNT)
2844
2845#define S_DEBUG_U_RX_EOP1_CNT 8
2846#define M_DEBUG_U_RX_EOP1_CNT 0xfU
2847#define V_DEBUG_U_RX_EOP1_CNT(x) ((x) << S_DEBUG_U_RX_EOP1_CNT)
2848#define G_DEBUG_U_RX_EOP1_CNT(x) (((x) >> S_DEBUG_U_RX_EOP1_CNT) & M_DEBUG_U_RX_EOP1_CNT)
2849
2850#define S_DEBUG_U_RX_SOP0_CNT 4
2851#define M_DEBUG_U_RX_SOP0_CNT 0xfU
2852#define V_DEBUG_U_RX_SOP0_CNT(x) ((x) << S_DEBUG_U_RX_SOP0_CNT)
2853#define G_DEBUG_U_RX_SOP0_CNT(x) (((x) >> S_DEBUG_U_RX_SOP0_CNT) & M_DEBUG_U_RX_SOP0_CNT)
2854
2855#define S_DEBUG_U_RX_EOP0_CNT 0
2856#define M_DEBUG_U_RX_EOP0_CNT 0xfU
2857#define V_DEBUG_U_RX_EOP0_CNT(x) ((x) << S_DEBUG_U_RX_EOP0_CNT)
2858#define G_DEBUG_U_RX_EOP0_CNT(x) (((x) >> S_DEBUG_U_RX_EOP0_CNT) & M_DEBUG_U_RX_EOP0_CNT)
2859
2860#define A_SGE_DEBUG_DATA_HIGH_INDEX_2 0x1288
2861
2862#define S_DEBUG_UD_RX_SOP3_CNT 28
2863#define M_DEBUG_UD_RX_SOP3_CNT 0xfU
2864#define V_DEBUG_UD_RX_SOP3_CNT(x) ((x) << S_DEBUG_UD_RX_SOP3_CNT)
2865#define G_DEBUG_UD_RX_SOP3_CNT(x) (((x) >> S_DEBUG_UD_RX_SOP3_CNT) & M_DEBUG_UD_RX_SOP3_CNT)
2866
2867#define S_DEBUG_UD_RX_EOP3_CNT 24
2868#define M_DEBUG_UD_RX_EOP3_CNT 0xfU
2869#define V_DEBUG_UD_RX_EOP3_CNT(x) ((x) << S_DEBUG_UD_RX_EOP3_CNT)
2870#define G_DEBUG_UD_RX_EOP3_CNT(x) (((x) >> S_DEBUG_UD_RX_EOP3_CNT) & M_DEBUG_UD_RX_EOP3_CNT)
2871
2872#define S_DEBUG_UD_RX_SOP2_CNT 20
2873#define M_DEBUG_UD_RX_SOP2_CNT 0xfU
2874#define V_DEBUG_UD_RX_SOP2_CNT(x) ((x) << S_DEBUG_UD_RX_SOP2_CNT)
2875#define G_DEBUG_UD_RX_SOP2_CNT(x) (((x) >> S_DEBUG_UD_RX_SOP2_CNT) & M_DEBUG_UD_RX_SOP2_CNT)
2876
2877#define S_DEBUG_UD_RX_EOP2_CNT 16
2878#define M_DEBUG_UD_RX_EOP2_CNT 0xfU
2879#define V_DEBUG_UD_RX_EOP2_CNT(x) ((x) << S_DEBUG_UD_RX_EOP2_CNT)
2880#define G_DEBUG_UD_RX_EOP2_CNT(x) (((x) >> S_DEBUG_UD_RX_EOP2_CNT) & M_DEBUG_UD_RX_EOP2_CNT)
2881
2882#define S_DEBUG_UD_RX_SOP1_CNT 12
2883#define M_DEBUG_UD_RX_SOP1_CNT 0xfU
2884#define V_DEBUG_UD_RX_SOP1_CNT(x) ((x) << S_DEBUG_UD_RX_SOP1_CNT)
2885#define G_DEBUG_UD_RX_SOP1_CNT(x) (((x) >> S_DEBUG_UD_RX_SOP1_CNT) & M_DEBUG_UD_RX_SOP1_CNT)
2886
2887#define S_DEBUG_UD_RX_EOP1_CNT 8
2888#define M_DEBUG_UD_RX_EOP1_CNT 0xfU
2889#define V_DEBUG_UD_RX_EOP1_CNT(x) ((x) << S_DEBUG_UD_RX_EOP1_CNT)
2890#define G_DEBUG_UD_RX_EOP1_CNT(x) (((x) >> S_DEBUG_UD_RX_EOP1_CNT) & M_DEBUG_UD_RX_EOP1_CNT)
2891
2892#define S_DEBUG_UD_RX_SOP0_CNT 4
2893#define M_DEBUG_UD_RX_SOP0_CNT 0xfU
2894#define V_DEBUG_UD_RX_SOP0_CNT(x) ((x) << S_DEBUG_UD_RX_SOP0_CNT)
2895#define G_DEBUG_UD_RX_SOP0_CNT(x) (((x) >> S_DEBUG_UD_RX_SOP0_CNT) & M_DEBUG_UD_RX_SOP0_CNT)
2896
2897#define S_DEBUG_UD_RX_EOP0_CNT 0
2898#define M_DEBUG_UD_RX_EOP0_CNT 0xfU
2899#define V_DEBUG_UD_RX_EOP0_CNT(x) ((x) << S_DEBUG_UD_RX_EOP0_CNT)
2900#define G_DEBUG_UD_RX_EOP0_CNT(x) (((x) >> S_DEBUG_UD_RX_EOP0_CNT) & M_DEBUG_UD_RX_EOP0_CNT)
2901
2902#define S_DBG_TBUF_USED1 9
2903#define M_DBG_TBUF_USED1 0x1ffU
2904#define V_DBG_TBUF_USED1(x) ((x) << S_DBG_TBUF_USED1)
2905#define G_DBG_TBUF_USED1(x) (((x) >> S_DBG_TBUF_USED1) & M_DBG_TBUF_USED1)
2906
2907#define S_DBG_TBUF_USED0 0
2908#define M_DBG_TBUF_USED0 0x1ffU
2909#define V_DBG_TBUF_USED0(x) ((x) << S_DBG_TBUF_USED0)
2910#define G_DBG_TBUF_USED0(x) (((x) >> S_DBG_TBUF_USED0) & M_DBG_TBUF_USED0)
2911
2912#define A_SGE_DEBUG_DATA_HIGH_INDEX_3 0x128c
2913
2914#define S_DEBUG_U_TX_SOP3_CNT 28
2915#define M_DEBUG_U_TX_SOP3_CNT 0xfU
2916#define V_DEBUG_U_TX_SOP3_CNT(x) ((x) << S_DEBUG_U_TX_SOP3_CNT)
2917#define G_DEBUG_U_TX_SOP3_CNT(x) (((x) >> S_DEBUG_U_TX_SOP3_CNT) & M_DEBUG_U_TX_SOP3_CNT)
2918
2919#define S_DEBUG_U_TX_EOP3_CNT 24
2920#define M_DEBUG_U_TX_EOP3_CNT 0xfU
2921#define V_DEBUG_U_TX_EOP3_CNT(x) ((x) << S_DEBUG_U_TX_EOP3_CNT)
2922#define G_DEBUG_U_TX_EOP3_CNT(x) (((x) >> S_DEBUG_U_TX_EOP3_CNT) & M_DEBUG_U_TX_EOP3_CNT)
2923
2924#define S_DEBUG_U_TX_SOP2_CNT 20
2925#define M_DEBUG_U_TX_SOP2_CNT 0xfU
2926#define V_DEBUG_U_TX_SOP2_CNT(x) ((x) << S_DEBUG_U_TX_SOP2_CNT)
2927#define G_DEBUG_U_TX_SOP2_CNT(x) (((x) >> S_DEBUG_U_TX_SOP2_CNT) & M_DEBUG_U_TX_SOP2_CNT)
2928
2929#define S_DEBUG_U_TX_EOP2_CNT 16
2930#define M_DEBUG_U_TX_EOP2_CNT 0xfU
2931#define V_DEBUG_U_TX_EOP2_CNT(x) ((x) << S_DEBUG_U_TX_EOP2_CNT)
2932#define G_DEBUG_U_TX_EOP2_CNT(x) (((x) >> S_DEBUG_U_TX_EOP2_CNT) & M_DEBUG_U_TX_EOP2_CNT)
2933
2934#define S_DEBUG_U_TX_SOP1_CNT 12
2935#define M_DEBUG_U_TX_SOP1_CNT 0xfU
2936#define V_DEBUG_U_TX_SOP1_CNT(x) ((x) << S_DEBUG_U_TX_SOP1_CNT)
2937#define G_DEBUG_U_TX_SOP1_CNT(x) (((x) >> S_DEBUG_U_TX_SOP1_CNT) & M_DEBUG_U_TX_SOP1_CNT)
2938
2939#define S_DEBUG_U_TX_EOP1_CNT 8
2940#define M_DEBUG_U_TX_EOP1_CNT 0xfU
2941#define V_DEBUG_U_TX_EOP1_CNT(x) ((x) << S_DEBUG_U_TX_EOP1_CNT)
2942#define G_DEBUG_U_TX_EOP1_CNT(x) (((x) >> S_DEBUG_U_TX_EOP1_CNT) & M_DEBUG_U_TX_EOP1_CNT)
2943
2944#define S_DEBUG_U_TX_SOP0_CNT 4
2945#define M_DEBUG_U_TX_SOP0_CNT 0xfU
2946#define V_DEBUG_U_TX_SOP0_CNT(x) ((x) << S_DEBUG_U_TX_SOP0_CNT)
2947#define G_DEBUG_U_TX_SOP0_CNT(x) (((x) >> S_DEBUG_U_TX_SOP0_CNT) & M_DEBUG_U_TX_SOP0_CNT)
2948
2949#define S_DEBUG_U_TX_EOP0_CNT 0
2950#define M_DEBUG_U_TX_EOP0_CNT 0xfU
2951#define V_DEBUG_U_TX_EOP0_CNT(x) ((x) << S_DEBUG_U_TX_EOP0_CNT)
2952#define G_DEBUG_U_TX_EOP0_CNT(x) (((x) >> S_DEBUG_U_TX_EOP0_CNT) & M_DEBUG_U_TX_EOP0_CNT)
2953
2954#define A_SGE_DEBUG1_DBP_THREAD 0x128c
2955
2956#define S_WR_DEQ_CNT 12
2957#define M_WR_DEQ_CNT 0xfU
2958#define V_WR_DEQ_CNT(x) ((x) << S_WR_DEQ_CNT)
2959#define G_WR_DEQ_CNT(x) (((x) >> S_WR_DEQ_CNT) & M_WR_DEQ_CNT)
2960
2961#define S_WR_ENQ_CNT 8
2962#define M_WR_ENQ_CNT 0xfU
2963#define V_WR_ENQ_CNT(x) ((x) << S_WR_ENQ_CNT)
2964#define G_WR_ENQ_CNT(x) (((x) >> S_WR_ENQ_CNT) & M_WR_ENQ_CNT)
2965
2966#define S_FL_DEQ_CNT 4
2967#define M_FL_DEQ_CNT 0xfU
2968#define V_FL_DEQ_CNT(x) ((x) << S_FL_DEQ_CNT)
2969#define G_FL_DEQ_CNT(x) (((x) >> S_FL_DEQ_CNT) & M_FL_DEQ_CNT)
2970
2971#define S_FL_ENQ_CNT 0
2972#define M_FL_ENQ_CNT 0xfU
2973#define V_FL_ENQ_CNT(x) ((x) << S_FL_ENQ_CNT)
2974#define G_FL_ENQ_CNT(x) (((x) >> S_FL_ENQ_CNT) & M_FL_ENQ_CNT)
2975
2976#define A_SGE_DEBUG_DATA_HIGH_INDEX_4 0x1290
2977
2978#define S_DEBUG_PC_RSP_SOP1_CNT 28
2979#define M_DEBUG_PC_RSP_SOP1_CNT 0xfU
2980#define V_DEBUG_PC_RSP_SOP1_CNT(x) ((x) << S_DEBUG_PC_RSP_SOP1_CNT)
2981#define G_DEBUG_PC_RSP_SOP1_CNT(x) (((x) >> S_DEBUG_PC_RSP_SOP1_CNT) & M_DEBUG_PC_RSP_SOP1_CNT)
2982
2983#define S_DEBUG_PC_RSP_EOP1_CNT 24
2984#define M_DEBUG_PC_RSP_EOP1_CNT 0xfU
2985#define V_DEBUG_PC_RSP_EOP1_CNT(x) ((x) << S_DEBUG_PC_RSP_EOP1_CNT)
2986#define G_DEBUG_PC_RSP_EOP1_CNT(x) (((x) >> S_DEBUG_PC_RSP_EOP1_CNT) & M_DEBUG_PC_RSP_EOP1_CNT)
2987
2988#define S_DEBUG_PC_RSP_SOP0_CNT 20
2989#define M_DEBUG_PC_RSP_SOP0_CNT 0xfU
2990#define V_DEBUG_PC_RSP_SOP0_CNT(x) ((x) << S_DEBUG_PC_RSP_SOP0_CNT)
2991#define G_DEBUG_PC_RSP_SOP0_CNT(x) (((x) >> S_DEBUG_PC_RSP_SOP0_CNT) & M_DEBUG_PC_RSP_SOP0_CNT)
2992
2993#define S_DEBUG_PC_RSP_EOP0_CNT 16
2994#define M_DEBUG_PC_RSP_EOP0_CNT 0xfU
2995#define V_DEBUG_PC_RSP_EOP0_CNT(x) ((x) << S_DEBUG_PC_RSP_EOP0_CNT)
2996#define G_DEBUG_PC_RSP_EOP0_CNT(x) (((x) >> S_DEBUG_PC_RSP_EOP0_CNT) & M_DEBUG_PC_RSP_EOP0_CNT)
2997
2998#define S_DEBUG_PC_REQ_SOP1_CNT 12
2999#define M_DEBUG_PC_REQ_SOP1_CNT 0xfU
3000#define V_DEBUG_PC_REQ_SOP1_CNT(x) ((x) << S_DEBUG_PC_REQ_SOP1_CNT)
3001#define G_DEBUG_PC_REQ_SOP1_CNT(x) (((x) >> S_DEBUG_PC_REQ_SOP1_CNT) & M_DEBUG_PC_REQ_SOP1_CNT)
3002
3003#define S_DEBUG_PC_REQ_EOP1_CNT 8
3004#define M_DEBUG_PC_REQ_EOP1_CNT 0xfU
3005#define V_DEBUG_PC_REQ_EOP1_CNT(x) ((x) << S_DEBUG_PC_REQ_EOP1_CNT)
3006#define G_DEBUG_PC_REQ_EOP1_CNT(x) (((x) >> S_DEBUG_PC_REQ_EOP1_CNT) & M_DEBUG_PC_REQ_EOP1_CNT)
3007
3008#define S_DEBUG_PC_REQ_SOP0_CNT 4
3009#define M_DEBUG_PC_REQ_SOP0_CNT 0xfU
3010#define V_DEBUG_PC_REQ_SOP0_CNT(x) ((x) << S_DEBUG_PC_REQ_SOP0_CNT)
3011#define G_DEBUG_PC_REQ_SOP0_CNT(x) (((x) >> S_DEBUG_PC_REQ_SOP0_CNT) & M_DEBUG_PC_REQ_SOP0_CNT)
3012
3013#define S_DEBUG_PC_REQ_EOP0_CNT 0
3014#define M_DEBUG_PC_REQ_EOP0_CNT 0xfU
3015#define V_DEBUG_PC_REQ_EOP0_CNT(x) ((x) << S_DEBUG_PC_REQ_EOP0_CNT)
3016#define G_DEBUG_PC_REQ_EOP0_CNT(x) (((x) >> S_DEBUG_PC_REQ_EOP0_CNT) & M_DEBUG_PC_REQ_EOP0_CNT)
3017
3018#define A_SGE_DEBUG_DATA_HIGH_INDEX_5 0x1294
3019
3020#define S_DEBUG_PD_RDREQ_SOP3_CNT 28
3021#define M_DEBUG_PD_RDREQ_SOP3_CNT 0xfU
3022#define V_DEBUG_PD_RDREQ_SOP3_CNT(x) ((x) << S_DEBUG_PD_RDREQ_SOP3_CNT)
3023#define G_DEBUG_PD_RDREQ_SOP3_CNT(x) (((x) >> S_DEBUG_PD_RDREQ_SOP3_CNT) & M_DEBUG_PD_RDREQ_SOP3_CNT)
3024
3025#define S_DEBUG_PD_RDREQ_EOP3_CNT 24
3026#define M_DEBUG_PD_RDREQ_EOP3_CNT 0xfU
3027#define V_DEBUG_PD_RDREQ_EOP3_CNT(x) ((x) << S_DEBUG_PD_RDREQ_EOP3_CNT)
3028#define G_DEBUG_PD_RDREQ_EOP3_CNT(x) (((x) >> S_DEBUG_PD_RDREQ_EOP3_CNT) & M_DEBUG_PD_RDREQ_EOP3_CNT)
3029
3030#define S_DEBUG_PD_RDREQ_SOP2_CNT 20
3031#define M_DEBUG_PD_RDREQ_SOP2_CNT 0xfU
3032#define V_DEBUG_PD_RDREQ_SOP2_CNT(x) ((x) << S_DEBUG_PD_RDREQ_SOP2_CNT)
3033#define G_DEBUG_PD_RDREQ_SOP2_CNT(x) (((x) >> S_DEBUG_PD_RDREQ_SOP2_CNT) & M_DEBUG_PD_RDREQ_SOP2_CNT)
3034
3035#define S_DEBUG_PD_RDREQ_EOP2_CNT 16
3036#define M_DEBUG_PD_RDREQ_EOP2_CNT 0xfU
3037#define V_DEBUG_PD_RDREQ_EOP2_CNT(x) ((x) << S_DEBUG_PD_RDREQ_EOP2_CNT)
3038#define G_DEBUG_PD_RDREQ_EOP2_CNT(x) (((x) >> S_DEBUG_PD_RDREQ_EOP2_CNT) & M_DEBUG_PD_RDREQ_EOP2_CNT)
3039
3040#define S_DEBUG_PD_RDREQ_SOP1_CNT 12
3041#define M_DEBUG_PD_RDREQ_SOP1_CNT 0xfU
3042#define V_DEBUG_PD_RDREQ_SOP1_CNT(x) ((x) << S_DEBUG_PD_RDREQ_SOP1_CNT)
3043#define G_DEBUG_PD_RDREQ_SOP1_CNT(x) (((x) >> S_DEBUG_PD_RDREQ_SOP1_CNT) & M_DEBUG_PD_RDREQ_SOP1_CNT)
3044
3045#define S_DEBUG_PD_RDREQ_EOP1_CNT 8
3046#define M_DEBUG_PD_RDREQ_EOP1_CNT 0xfU
3047#define V_DEBUG_PD_RDREQ_EOP1_CNT(x) ((x) << S_DEBUG_PD_RDREQ_EOP1_CNT)
3048#define G_DEBUG_PD_RDREQ_EOP1_CNT(x) (((x) >> S_DEBUG_PD_RDREQ_EOP1_CNT) & M_DEBUG_PD_RDREQ_EOP1_CNT)
3049
3050#define S_DEBUG_PD_RDREQ_SOP0_CNT 4
3051#define M_DEBUG_PD_RDREQ_SOP0_CNT 0xfU
3052#define V_DEBUG_PD_RDREQ_SOP0_CNT(x) ((x) << S_DEBUG_PD_RDREQ_SOP0_CNT)
3053#define G_DEBUG_PD_RDREQ_SOP0_CNT(x) (((x) >> S_DEBUG_PD_RDREQ_SOP0_CNT) & M_DEBUG_PD_RDREQ_SOP0_CNT)
3054
3055#define S_DEBUG_PD_RDREQ_EOP0_CNT 0
3056#define M_DEBUG_PD_RDREQ_EOP0_CNT 0xfU
3057#define V_DEBUG_PD_RDREQ_EOP0_CNT(x) ((x) << S_DEBUG_PD_RDREQ_EOP0_CNT)
3058#define G_DEBUG_PD_RDREQ_EOP0_CNT(x) (((x) >> S_DEBUG_PD_RDREQ_EOP0_CNT) & M_DEBUG_PD_RDREQ_EOP0_CNT)
3059
3060#define A_SGE_DEBUG_DATA_HIGH_INDEX_6 0x1298
3061
3062#define S_DEBUG_PD_RDRSP_SOP3_CNT 28
3063#define M_DEBUG_PD_RDRSP_SOP3_CNT 0xfU
3064#define V_DEBUG_PD_RDRSP_SOP3_CNT(x) ((x) << S_DEBUG_PD_RDRSP_SOP3_CNT)
3065#define G_DEBUG_PD_RDRSP_SOP3_CNT(x) (((x) >> S_DEBUG_PD_RDRSP_SOP3_CNT) & M_DEBUG_PD_RDRSP_SOP3_CNT)
3066
3067#define S_DEBUG_PD_RDRSP_EOP3_CNT 24
3068#define M_DEBUG_PD_RDRSP_EOP3_CNT 0xfU
3069#define V_DEBUG_PD_RDRSP_EOP3_CNT(x) ((x) << S_DEBUG_PD_RDRSP_EOP3_CNT)
3070#define G_DEBUG_PD_RDRSP_EOP3_CNT(x) (((x) >> S_DEBUG_PD_RDRSP_EOP3_CNT) & M_DEBUG_PD_RDRSP_EOP3_CNT)
3071
3072#define S_DEBUG_PD_RDRSP_SOP2_CNT 20
3073#define M_DEBUG_PD_RDRSP_SOP2_CNT 0xfU
3074#define V_DEBUG_PD_RDRSP_SOP2_CNT(x) ((x) << S_DEBUG_PD_RDRSP_SOP2_CNT)
3075#define G_DEBUG_PD_RDRSP_SOP2_CNT(x) (((x) >> S_DEBUG_PD_RDRSP_SOP2_CNT) & M_DEBUG_PD_RDRSP_SOP2_CNT)
3076
3077#define S_DEBUG_PD_RDRSP_EOP2_CNT 16
3078#define M_DEBUG_PD_RDRSP_EOP2_CNT 0xfU
3079#define V_DEBUG_PD_RDRSP_EOP2_CNT(x) ((x) << S_DEBUG_PD_RDRSP_EOP2_CNT)
3080#define G_DEBUG_PD_RDRSP_EOP2_CNT(x) (((x) >> S_DEBUG_PD_RDRSP_EOP2_CNT) & M_DEBUG_PD_RDRSP_EOP2_CNT)
3081
3082#define S_DEBUG_PD_RDRSP_SOP1_CNT 12
3083#define M_DEBUG_PD_RDRSP_SOP1_CNT 0xfU
3084#define V_DEBUG_PD_RDRSP_SOP1_CNT(x) ((x) << S_DEBUG_PD_RDRSP_SOP1_CNT)
3085#define G_DEBUG_PD_RDRSP_SOP1_CNT(x) (((x) >> S_DEBUG_PD_RDRSP_SOP1_CNT) & M_DEBUG_PD_RDRSP_SOP1_CNT)
3086
3087#define S_DEBUG_PD_RDRSP_EOP1_CNT 8
3088#define M_DEBUG_PD_RDRSP_EOP1_CNT 0xfU
3089#define V_DEBUG_PD_RDRSP_EOP1_CNT(x) ((x) << S_DEBUG_PD_RDRSP_EOP1_CNT)
3090#define G_DEBUG_PD_RDRSP_EOP1_CNT(x) (((x) >> S_DEBUG_PD_RDRSP_EOP1_CNT) & M_DEBUG_PD_RDRSP_EOP1_CNT)
3091
3092#define S_DEBUG_PD_RDRSP_SOP0_CNT 4
3093#define M_DEBUG_PD_RDRSP_SOP0_CNT 0xfU
3094#define V_DEBUG_PD_RDRSP_SOP0_CNT(x) ((x) << S_DEBUG_PD_RDRSP_SOP0_CNT)
3095#define G_DEBUG_PD_RDRSP_SOP0_CNT(x) (((x) >> S_DEBUG_PD_RDRSP_SOP0_CNT) & M_DEBUG_PD_RDRSP_SOP0_CNT)
3096
3097#define S_DEBUG_PD_RDRSP_EOP0_CNT 0
3098#define M_DEBUG_PD_RDRSP_EOP0_CNT 0xfU
3099#define V_DEBUG_PD_RDRSP_EOP0_CNT(x) ((x) << S_DEBUG_PD_RDRSP_EOP0_CNT)
3100#define G_DEBUG_PD_RDRSP_EOP0_CNT(x) (((x) >> S_DEBUG_PD_RDRSP_EOP0_CNT) & M_DEBUG_PD_RDRSP_EOP0_CNT)
3101
3102#define A_SGE_DEBUG_DATA_HIGH_INDEX_7 0x129c
3103
3104#define S_DEBUG_PD_WRREQ_SOP3_CNT 28
3105#define M_DEBUG_PD_WRREQ_SOP3_CNT 0xfU
3106#define V_DEBUG_PD_WRREQ_SOP3_CNT(x) ((x) << S_DEBUG_PD_WRREQ_SOP3_CNT)
3107#define G_DEBUG_PD_WRREQ_SOP3_CNT(x) (((x) >> S_DEBUG_PD_WRREQ_SOP3_CNT) & M_DEBUG_PD_WRREQ_SOP3_CNT)
3108
3109#define S_DEBUG_PD_WRREQ_EOP3_CNT 24
3110#define M_DEBUG_PD_WRREQ_EOP3_CNT 0xfU
3111#define V_DEBUG_PD_WRREQ_EOP3_CNT(x) ((x) << S_DEBUG_PD_WRREQ_EOP3_CNT)
3112#define G_DEBUG_PD_WRREQ_EOP3_CNT(x) (((x) >> S_DEBUG_PD_WRREQ_EOP3_CNT) & M_DEBUG_PD_WRREQ_EOP3_CNT)
3113
3114#define S_DEBUG_PD_WRREQ_SOP2_CNT 20
3115#define M_DEBUG_PD_WRREQ_SOP2_CNT 0xfU
3116#define V_DEBUG_PD_WRREQ_SOP2_CNT(x) ((x) << S_DEBUG_PD_WRREQ_SOP2_CNT)
3117#define G_DEBUG_PD_WRREQ_SOP2_CNT(x) (((x) >> S_DEBUG_PD_WRREQ_SOP2_CNT) & M_DEBUG_PD_WRREQ_SOP2_CNT)
3118
3119#define S_DEBUG_PD_WRREQ_EOP2_CNT 16
3120#define M_DEBUG_PD_WRREQ_EOP2_CNT 0xfU
3121#define V_DEBUG_PD_WRREQ_EOP2_CNT(x) ((x) << S_DEBUG_PD_WRREQ_EOP2_CNT)
3122#define G_DEBUG_PD_WRREQ_EOP2_CNT(x) (((x) >> S_DEBUG_PD_WRREQ_EOP2_CNT) & M_DEBUG_PD_WRREQ_EOP2_CNT)
3123
3124#define S_DEBUG_PD_WRREQ_SOP1_CNT 12
3125#define M_DEBUG_PD_WRREQ_SOP1_CNT 0xfU
3126#define V_DEBUG_PD_WRREQ_SOP1_CNT(x) ((x) << S_DEBUG_PD_WRREQ_SOP1_CNT)
3127#define G_DEBUG_PD_WRREQ_SOP1_CNT(x) (((x) >> S_DEBUG_PD_WRREQ_SOP1_CNT) & M_DEBUG_PD_WRREQ_SOP1_CNT)
3128
3129#define S_DEBUG_PD_WRREQ_EOP1_CNT 8
3130#define M_DEBUG_PD_WRREQ_EOP1_CNT 0xfU
3131#define V_DEBUG_PD_WRREQ_EOP1_CNT(x) ((x) << S_DEBUG_PD_WRREQ_EOP1_CNT)
3132#define G_DEBUG_PD_WRREQ_EOP1_CNT(x) (((x) >> S_DEBUG_PD_WRREQ_EOP1_CNT) & M_DEBUG_PD_WRREQ_EOP1_CNT)
3133
3134#define S_DEBUG_PD_WRREQ_SOP0_CNT 4
3135#define M_DEBUG_PD_WRREQ_SOP0_CNT 0xfU
3136#define V_DEBUG_PD_WRREQ_SOP0_CNT(x) ((x) << S_DEBUG_PD_WRREQ_SOP0_CNT)
3137#define G_DEBUG_PD_WRREQ_SOP0_CNT(x) (((x) >> S_DEBUG_PD_WRREQ_SOP0_CNT) & M_DEBUG_PD_WRREQ_SOP0_CNT)
3138
3139#define S_DEBUG_PD_WRREQ_EOP0_CNT 0
3140#define M_DEBUG_PD_WRREQ_EOP0_CNT 0xfU
3141#define V_DEBUG_PD_WRREQ_EOP0_CNT(x) ((x) << S_DEBUG_PD_WRREQ_EOP0_CNT)
3142#define G_DEBUG_PD_WRREQ_EOP0_CNT(x) (((x) >> S_DEBUG_PD_WRREQ_EOP0_CNT) & M_DEBUG_PD_WRREQ_EOP0_CNT)
3143
3144#define S_DEBUG_PC_RSP_SOP_CNT 28
3145#define M_DEBUG_PC_RSP_SOP_CNT 0xfU
3146#define V_DEBUG_PC_RSP_SOP_CNT(x) ((x) << S_DEBUG_PC_RSP_SOP_CNT)
3147#define G_DEBUG_PC_RSP_SOP_CNT(x) (((x) >> S_DEBUG_PC_RSP_SOP_CNT) & M_DEBUG_PC_RSP_SOP_CNT)
3148
3149#define S_DEBUG_PC_RSP_EOP_CNT 24
3150#define M_DEBUG_PC_RSP_EOP_CNT 0xfU
3151#define V_DEBUG_PC_RSP_EOP_CNT(x) ((x) << S_DEBUG_PC_RSP_EOP_CNT)
3152#define G_DEBUG_PC_RSP_EOP_CNT(x) (((x) >> S_DEBUG_PC_RSP_EOP_CNT) & M_DEBUG_PC_RSP_EOP_CNT)
3153
3154#define S_DEBUG_PC_REQ_SOP_CNT 20
3155#define M_DEBUG_PC_REQ_SOP_CNT 0xfU
3156#define V_DEBUG_PC_REQ_SOP_CNT(x) ((x) << S_DEBUG_PC_REQ_SOP_CNT)
3157#define G_DEBUG_PC_REQ_SOP_CNT(x) (((x) >> S_DEBUG_PC_REQ_SOP_CNT) & M_DEBUG_PC_REQ_SOP_CNT)
3158
3159#define S_DEBUG_PC_REQ_EOP_CNT 16
3160#define M_DEBUG_PC_REQ_EOP_CNT 0xfU
3161#define V_DEBUG_PC_REQ_EOP_CNT(x) ((x) << S_DEBUG_PC_REQ_EOP_CNT)
3162#define G_DEBUG_PC_REQ_EOP_CNT(x) (((x) >> S_DEBUG_PC_REQ_EOP_CNT) & M_DEBUG_PC_REQ_EOP_CNT)
3163
3164#define A_SGE_DEBUG_DATA_HIGH_INDEX_8 0x12a0
3165
3166#define S_GLOBALENABLE_OFF 29
3167#define V_GLOBALENABLE_OFF(x) ((x) << S_GLOBALENABLE_OFF)
3168#define F_GLOBALENABLE_OFF V_GLOBALENABLE_OFF(1U)
3169
3170#define S_DEBUG_CIM2SGE_RXAFULL_D 27
3171#define M_DEBUG_CIM2SGE_RXAFULL_D 0x3U
3172#define V_DEBUG_CIM2SGE_RXAFULL_D(x) ((x) << S_DEBUG_CIM2SGE_RXAFULL_D)
3173#define G_DEBUG_CIM2SGE_RXAFULL_D(x) (((x) >> S_DEBUG_CIM2SGE_RXAFULL_D) & M_DEBUG_CIM2SGE_RXAFULL_D)
3174
3175#define S_DEBUG_CPLSW_CIM_TXAFULL_D 25
3176#define M_DEBUG_CPLSW_CIM_TXAFULL_D 0x3U
3177#define V_DEBUG_CPLSW_CIM_TXAFULL_D(x) ((x) << S_DEBUG_CPLSW_CIM_TXAFULL_D)
3178#define G_DEBUG_CPLSW_CIM_TXAFULL_D(x) (((x) >> S_DEBUG_CPLSW_CIM_TXAFULL_D) & M_DEBUG_CPLSW_CIM_TXAFULL_D)
3179
3180#define S_DEBUG_UP_FULL 24
3181#define V_DEBUG_UP_FULL(x) ((x) << S_DEBUG_UP_FULL)
3182#define F_DEBUG_UP_FULL V_DEBUG_UP_FULL(1U)
3183
3184#define S_DEBUG_M_RD_REQ_OUTSTANDING_PC 23
3185#define V_DEBUG_M_RD_REQ_OUTSTANDING_PC(x) ((x) << S_DEBUG_M_RD_REQ_OUTSTANDING_PC)
3186#define F_DEBUG_M_RD_REQ_OUTSTANDING_PC V_DEBUG_M_RD_REQ_OUTSTANDING_PC(1U)
3187
3188#define S_DEBUG_M_RD_REQ_OUTSTANDING_VFIFO 22
3189#define V_DEBUG_M_RD_REQ_OUTSTANDING_VFIFO(x) ((x) << S_DEBUG_M_RD_REQ_OUTSTANDING_VFIFO)
3190#define F_DEBUG_M_RD_REQ_OUTSTANDING_VFIFO V_DEBUG_M_RD_REQ_OUTSTANDING_VFIFO(1U)
3191
3192#define S_DEBUG_M_RD_REQ_OUTSTANDING_IMSG 21
3193#define V_DEBUG_M_RD_REQ_OUTSTANDING_IMSG(x) ((x) << S_DEBUG_M_RD_REQ_OUTSTANDING_IMSG)
3194#define F_DEBUG_M_RD_REQ_OUTSTANDING_IMSG V_DEBUG_M_RD_REQ_OUTSTANDING_IMSG(1U)
3195
3196#define S_DEBUG_M_RD_REQ_OUTSTANDING_CMARB 20
3197#define V_DEBUG_M_RD_REQ_OUTSTANDING_CMARB(x) ((x) << S_DEBUG_M_RD_REQ_OUTSTANDING_CMARB)
3198#define F_DEBUG_M_RD_REQ_OUTSTANDING_CMARB V_DEBUG_M_RD_REQ_OUTSTANDING_CMARB(1U)
3199
3200#define S_DEBUG_M_RD_REQ_OUTSTANDING_FLM 19
3201#define V_DEBUG_M_RD_REQ_OUTSTANDING_FLM(x) ((x) << S_DEBUG_M_RD_REQ_OUTSTANDING_FLM)
3202#define F_DEBUG_M_RD_REQ_OUTSTANDING_FLM V_DEBUG_M_RD_REQ_OUTSTANDING_FLM(1U)
3203
3204#define S_DEBUG_M_REQVLD 18
3205#define V_DEBUG_M_REQVLD(x) ((x) << S_DEBUG_M_REQVLD)
3206#define F_DEBUG_M_REQVLD V_DEBUG_M_REQVLD(1U)
3207
3208#define S_DEBUG_M_REQRDY 17
3209#define V_DEBUG_M_REQRDY(x) ((x) << S_DEBUG_M_REQRDY)
3210#define F_DEBUG_M_REQRDY V_DEBUG_M_REQRDY(1U)
3211
3212#define S_DEBUG_M_RSPVLD 16
3213#define V_DEBUG_M_RSPVLD(x) ((x) << S_DEBUG_M_RSPVLD)
3214#define F_DEBUG_M_RSPVLD V_DEBUG_M_RSPVLD(1U)
3215
3216#define S_DEBUG_PD_WRREQ_INT3_CNT 12
3217#define M_DEBUG_PD_WRREQ_INT3_CNT 0xfU
3218#define V_DEBUG_PD_WRREQ_INT3_CNT(x) ((x) << S_DEBUG_PD_WRREQ_INT3_CNT)
3219#define G_DEBUG_PD_WRREQ_INT3_CNT(x) (((x) >> S_DEBUG_PD_WRREQ_INT3_CNT) & M_DEBUG_PD_WRREQ_INT3_CNT)
3220
3221#define S_DEBUG_PD_WRREQ_INT2_CNT 8
3222#define M_DEBUG_PD_WRREQ_INT2_CNT 0xfU
3223#define V_DEBUG_PD_WRREQ_INT2_CNT(x) ((x) << S_DEBUG_PD_WRREQ_INT2_CNT)
3224#define G_DEBUG_PD_WRREQ_INT2_CNT(x) (((x) >> S_DEBUG_PD_WRREQ_INT2_CNT) & M_DEBUG_PD_WRREQ_INT2_CNT)
3225
3226#define S_DEBUG_PD_WRREQ_INT1_CNT 4
3227#define M_DEBUG_PD_WRREQ_INT1_CNT 0xfU
3228#define V_DEBUG_PD_WRREQ_INT1_CNT(x) ((x) << S_DEBUG_PD_WRREQ_INT1_CNT)
3229#define G_DEBUG_PD_WRREQ_INT1_CNT(x) (((x) >> S_DEBUG_PD_WRREQ_INT1_CNT) & M_DEBUG_PD_WRREQ_INT1_CNT)
3230
3231#define S_DEBUG_PD_WRREQ_INT0_CNT 0
3232#define M_DEBUG_PD_WRREQ_INT0_CNT 0xfU
3233#define V_DEBUG_PD_WRREQ_INT0_CNT(x) ((x) << S_DEBUG_PD_WRREQ_INT0_CNT)
3234#define G_DEBUG_PD_WRREQ_INT0_CNT(x) (((x) >> S_DEBUG_PD_WRREQ_INT0_CNT) & M_DEBUG_PD_WRREQ_INT0_CNT)
3235
3236#define S_DEBUG_PL_BAR2_REQVLD 31
3237#define V_DEBUG_PL_BAR2_REQVLD(x) ((x) << S_DEBUG_PL_BAR2_REQVLD)
3238#define F_DEBUG_PL_BAR2_REQVLD V_DEBUG_PL_BAR2_REQVLD(1U)
3239
3240#define S_DEBUG_PL_BAR2_REQFULL 30
3241#define V_DEBUG_PL_BAR2_REQFULL(x) ((x) << S_DEBUG_PL_BAR2_REQFULL)
3242#define F_DEBUG_PL_BAR2_REQFULL V_DEBUG_PL_BAR2_REQFULL(1U)
3243
3244#define A_SGE_DEBUG_DATA_HIGH_INDEX_9 0x12a4
3245
3246#define S_DEBUG_CPLSW_TP_RX_SOP1_CNT 28
3247#define M_DEBUG_CPLSW_TP_RX_SOP1_CNT 0xfU
3248#define V_DEBUG_CPLSW_TP_RX_SOP1_CNT(x) ((x) << S_DEBUG_CPLSW_TP_RX_SOP1_CNT)
3249#define G_DEBUG_CPLSW_TP_RX_SOP1_CNT(x) (((x) >> S_DEBUG_CPLSW_TP_RX_SOP1_CNT) & M_DEBUG_CPLSW_TP_RX_SOP1_CNT)
3250
3251#define S_DEBUG_CPLSW_TP_RX_EOP1_CNT 24
3252#define M_DEBUG_CPLSW_TP_RX_EOP1_CNT 0xfU
3253#define V_DEBUG_CPLSW_TP_RX_EOP1_CNT(x) ((x) << S_DEBUG_CPLSW_TP_RX_EOP1_CNT)
3254#define G_DEBUG_CPLSW_TP_RX_EOP1_CNT(x) (((x) >> S_DEBUG_CPLSW_TP_RX_EOP1_CNT) & M_DEBUG_CPLSW_TP_RX_EOP1_CNT)
3255
3256#define S_DEBUG_CPLSW_TP_RX_SOP0_CNT 20
3257#define M_DEBUG_CPLSW_TP_RX_SOP0_CNT 0xfU
3258#define V_DEBUG_CPLSW_TP_RX_SOP0_CNT(x) ((x) << S_DEBUG_CPLSW_TP_RX_SOP0_CNT)
3259#define G_DEBUG_CPLSW_TP_RX_SOP0_CNT(x) (((x) >> S_DEBUG_CPLSW_TP_RX_SOP0_CNT) & M_DEBUG_CPLSW_TP_RX_SOP0_CNT)
3260
3261#define S_DEBUG_CPLSW_TP_RX_EOP0_CNT 16
3262#define M_DEBUG_CPLSW_TP_RX_EOP0_CNT 0xfU
3263#define V_DEBUG_CPLSW_TP_RX_EOP0_CNT(x) ((x) << S_DEBUG_CPLSW_TP_RX_EOP0_CNT)
3264#define G_DEBUG_CPLSW_TP_RX_EOP0_CNT(x) (((x) >> S_DEBUG_CPLSW_TP_RX_EOP0_CNT) & M_DEBUG_CPLSW_TP_RX_EOP0_CNT)
3265
3266#define S_DEBUG_CPLSW_CIM_SOP1_CNT 12
3267#define M_DEBUG_CPLSW_CIM_SOP1_CNT 0xfU
3268#define V_DEBUG_CPLSW_CIM_SOP1_CNT(x) ((x) << S_DEBUG_CPLSW_CIM_SOP1_CNT)
3269#define G_DEBUG_CPLSW_CIM_SOP1_CNT(x) (((x) >> S_DEBUG_CPLSW_CIM_SOP1_CNT) & M_DEBUG_CPLSW_CIM_SOP1_CNT)
3270
3271#define S_DEBUG_CPLSW_CIM_EOP1_CNT 8
3272#define M_DEBUG_CPLSW_CIM_EOP1_CNT 0xfU
3273#define V_DEBUG_CPLSW_CIM_EOP1_CNT(x) ((x) << S_DEBUG_CPLSW_CIM_EOP1_CNT)
3274#define G_DEBUG_CPLSW_CIM_EOP1_CNT(x) (((x) >> S_DEBUG_CPLSW_CIM_EOP1_CNT) & M_DEBUG_CPLSW_CIM_EOP1_CNT)
3275
3276#define S_DEBUG_CPLSW_CIM_SOP0_CNT 4
3277#define M_DEBUG_CPLSW_CIM_SOP0_CNT 0xfU
3278#define V_DEBUG_CPLSW_CIM_SOP0_CNT(x) ((x) << S_DEBUG_CPLSW_CIM_SOP0_CNT)
3279#define G_DEBUG_CPLSW_CIM_SOP0_CNT(x) (((x) >> S_DEBUG_CPLSW_CIM_SOP0_CNT) & M_DEBUG_CPLSW_CIM_SOP0_CNT)
3280
3281#define S_DEBUG_CPLSW_CIM_EOP0_CNT 0
3282#define M_DEBUG_CPLSW_CIM_EOP0_CNT 0xfU
3283#define V_DEBUG_CPLSW_CIM_EOP0_CNT(x) ((x) << S_DEBUG_CPLSW_CIM_EOP0_CNT)
3284#define G_DEBUG_CPLSW_CIM_EOP0_CNT(x) (((x) >> S_DEBUG_CPLSW_CIM_EOP0_CNT) & M_DEBUG_CPLSW_CIM_EOP0_CNT)
3285
3286#define A_SGE_DEBUG_DATA_HIGH_INDEX_10 0x12a8
3287
3288#define S_DEBUG_T_RXAFULL_D 30
3289#define M_DEBUG_T_RXAFULL_D 0x3U
3290#define V_DEBUG_T_RXAFULL_D(x) ((x) << S_DEBUG_T_RXAFULL_D)
3291#define G_DEBUG_T_RXAFULL_D(x) (((x) >> S_DEBUG_T_RXAFULL_D) & M_DEBUG_T_RXAFULL_D)
3292
3293#define S_DEBUG_PD_RDRSPAFULL_D 26
3294#define M_DEBUG_PD_RDRSPAFULL_D 0xfU
3295#define V_DEBUG_PD_RDRSPAFULL_D(x) ((x) << S_DEBUG_PD_RDRSPAFULL_D)
3296#define G_DEBUG_PD_RDRSPAFULL_D(x) (((x) >> S_DEBUG_PD_RDRSPAFULL_D) & M_DEBUG_PD_RDRSPAFULL_D)
3297
3298#define S_DEBUG_PD_RDREQAFULL_D 22
3299#define M_DEBUG_PD_RDREQAFULL_D 0xfU
3300#define V_DEBUG_PD_RDREQAFULL_D(x) ((x) << S_DEBUG_PD_RDREQAFULL_D)
3301#define G_DEBUG_PD_RDREQAFULL_D(x) (((x) >> S_DEBUG_PD_RDREQAFULL_D) & M_DEBUG_PD_RDREQAFULL_D)
3302
3303#define S_DEBUG_PD_WRREQAFULL_D 18
3304#define M_DEBUG_PD_WRREQAFULL_D 0xfU
3305#define V_DEBUG_PD_WRREQAFULL_D(x) ((x) << S_DEBUG_PD_WRREQAFULL_D)
3306#define G_DEBUG_PD_WRREQAFULL_D(x) (((x) >> S_DEBUG_PD_WRREQAFULL_D) & M_DEBUG_PD_WRREQAFULL_D)
3307
3308#define S_DEBUG_PC_RSPAFULL_D 15
3309#define M_DEBUG_PC_RSPAFULL_D 0x7U
3310#define V_DEBUG_PC_RSPAFULL_D(x) ((x) << S_DEBUG_PC_RSPAFULL_D)
3311#define G_DEBUG_PC_RSPAFULL_D(x) (((x) >> S_DEBUG_PC_RSPAFULL_D) & M_DEBUG_PC_RSPAFULL_D)
3312
3313#define S_DEBUG_PC_REQAFULL_D 12
3314#define M_DEBUG_PC_REQAFULL_D 0x7U
3315#define V_DEBUG_PC_REQAFULL_D(x) ((x) << S_DEBUG_PC_REQAFULL_D)
3316#define G_DEBUG_PC_REQAFULL_D(x) (((x) >> S_DEBUG_PC_REQAFULL_D) & M_DEBUG_PC_REQAFULL_D)
3317
3318#define S_DEBUG_U_TXAFULL_D 8
3319#define M_DEBUG_U_TXAFULL_D 0xfU
3320#define V_DEBUG_U_TXAFULL_D(x) ((x) << S_DEBUG_U_TXAFULL_D)
3321#define G_DEBUG_U_TXAFULL_D(x) (((x) >> S_DEBUG_U_TXAFULL_D) & M_DEBUG_U_TXAFULL_D)
3322
3323#define S_DEBUG_UD_RXAFULL_D 4
3324#define M_DEBUG_UD_RXAFULL_D 0xfU
3325#define V_DEBUG_UD_RXAFULL_D(x) ((x) << S_DEBUG_UD_RXAFULL_D)
3326#define G_DEBUG_UD_RXAFULL_D(x) (((x) >> S_DEBUG_UD_RXAFULL_D) & M_DEBUG_UD_RXAFULL_D)
3327
3328#define S_DEBUG_U_RXAFULL_D 2
3329#define M_DEBUG_U_RXAFULL_D 0x3U
3330#define V_DEBUG_U_RXAFULL_D(x) ((x) << S_DEBUG_U_RXAFULL_D)
3331#define G_DEBUG_U_RXAFULL_D(x) (((x) >> S_DEBUG_U_RXAFULL_D) & M_DEBUG_U_RXAFULL_D)
3332
3333#define S_DEBUG_CIM_AFULL_D 0
3334#define M_DEBUG_CIM_AFULL_D 0x3U
3335#define V_DEBUG_CIM_AFULL_D(x) ((x) << S_DEBUG_CIM_AFULL_D)
3336#define G_DEBUG_CIM_AFULL_D(x) (((x) >> S_DEBUG_CIM_AFULL_D) & M_DEBUG_CIM_AFULL_D)
3337
3338#define S_DEBUG_IDMA1_S_CPL_FLIT_REMAINING 28
3339#define M_DEBUG_IDMA1_S_CPL_FLIT_REMAINING 0xfU
3340#define V_DEBUG_IDMA1_S_CPL_FLIT_REMAINING(x) ((x) << S_DEBUG_IDMA1_S_CPL_FLIT_REMAINING)
3341#define G_DEBUG_IDMA1_S_CPL_FLIT_REMAINING(x) (((x) >> S_DEBUG_IDMA1_S_CPL_FLIT_REMAINING) & M_DEBUG_IDMA1_S_CPL_FLIT_REMAINING)
3342
3343#define S_DEBUG_IDMA1_IDMA2IMSG_CMP_OUT_SRDY 27
3344#define V_DEBUG_IDMA1_IDMA2IMSG_CMP_OUT_SRDY(x) ((x) << S_DEBUG_IDMA1_IDMA2IMSG_CMP_OUT_SRDY)
3345#define F_DEBUG_IDMA1_IDMA2IMSG_CMP_OUT_SRDY V_DEBUG_IDMA1_IDMA2IMSG_CMP_OUT_SRDY(1U)
3346
3347#define S_DEBUG_IDMA1_IDMA2IMSG_CMP_OUT_RSS 26
3348#define V_DEBUG_IDMA1_IDMA2IMSG_CMP_OUT_RSS(x) ((x) << S_DEBUG_IDMA1_IDMA2IMSG_CMP_OUT_RSS)
3349#define F_DEBUG_IDMA1_IDMA2IMSG_CMP_OUT_RSS V_DEBUG_IDMA1_IDMA2IMSG_CMP_OUT_RSS(1U)
3350
3351#define S_DEBUG_IDMA1_IDMA2IMSG_CMP_OUT_NOCPL 25
3352#define V_DEBUG_IDMA1_IDMA2IMSG_CMP_OUT_NOCPL(x) ((x) << S_DEBUG_IDMA1_IDMA2IMSG_CMP_OUT_NOCPL)
3353#define F_DEBUG_IDMA1_IDMA2IMSG_CMP_OUT_NOCPL V_DEBUG_IDMA1_IDMA2IMSG_CMP_OUT_NOCPL(1U)
3354
3355#define S_DEBUG_IDMA1_IDMA2IMSG_FULL 24
3356#define V_DEBUG_IDMA1_IDMA2IMSG_FULL(x) ((x) << S_DEBUG_IDMA1_IDMA2IMSG_FULL)
3357#define F_DEBUG_IDMA1_IDMA2IMSG_FULL V_DEBUG_IDMA1_IDMA2IMSG_FULL(1U)
3358
3359#define S_DEBUG_IDMA1_IDMA2IMSG_EOP 23
3360#define V_DEBUG_IDMA1_IDMA2IMSG_EOP(x) ((x) << S_DEBUG_IDMA1_IDMA2IMSG_EOP)
3361#define F_DEBUG_IDMA1_IDMA2IMSG_EOP V_DEBUG_IDMA1_IDMA2IMSG_EOP(1U)
3362
3363#define S_DEBUG_IDMA1_IDMA2IMSG_FIFO_IN_DRDY 22
3364#define V_DEBUG_IDMA1_IDMA2IMSG_FIFO_IN_DRDY(x) ((x) << S_DEBUG_IDMA1_IDMA2IMSG_FIFO_IN_DRDY)
3365#define F_DEBUG_IDMA1_IDMA2IMSG_FIFO_IN_DRDY V_DEBUG_IDMA1_IDMA2IMSG_FIFO_IN_DRDY(1U)
3366
3367#define S_DEBUG_IDMA1_IDMA2IMSG_CMP_IN_DRDY 21
3368#define V_DEBUG_IDMA1_IDMA2IMSG_CMP_IN_DRDY(x) ((x) << S_DEBUG_IDMA1_IDMA2IMSG_CMP_IN_DRDY)
3369#define F_DEBUG_IDMA1_IDMA2IMSG_CMP_IN_DRDY V_DEBUG_IDMA1_IDMA2IMSG_CMP_IN_DRDY(1U)
3370
3371#define S_DEBUG_IDMA0_S_CPL_FLIT_REMAINING 17
3372#define M_DEBUG_IDMA0_S_CPL_FLIT_REMAINING 0xfU
3373#define V_DEBUG_IDMA0_S_CPL_FLIT_REMAINING(x) ((x) << S_DEBUG_IDMA0_S_CPL_FLIT_REMAINING)
3374#define G_DEBUG_IDMA0_S_CPL_FLIT_REMAINING(x) (((x) >> S_DEBUG_IDMA0_S_CPL_FLIT_REMAINING) & M_DEBUG_IDMA0_S_CPL_FLIT_REMAINING)
3375
3376#define S_DEBUG_IDMA0_IDMA2IMSG_CMP_OUT_SRDY 16
3377#define V_DEBUG_IDMA0_IDMA2IMSG_CMP_OUT_SRDY(x) ((x) << S_DEBUG_IDMA0_IDMA2IMSG_CMP_OUT_SRDY)
3378#define F_DEBUG_IDMA0_IDMA2IMSG_CMP_OUT_SRDY V_DEBUG_IDMA0_IDMA2IMSG_CMP_OUT_SRDY(1U)
3379
3380#define S_DEBUG_IDMA0_IDMA2IMSG_CMP_OUT_RSS 15
3381#define V_DEBUG_IDMA0_IDMA2IMSG_CMP_OUT_RSS(x) ((x) << S_DEBUG_IDMA0_IDMA2IMSG_CMP_OUT_RSS)
3382#define F_DEBUG_IDMA0_IDMA2IMSG_CMP_OUT_RSS V_DEBUG_IDMA0_IDMA2IMSG_CMP_OUT_RSS(1U)
3383
3384#define S_DEBUG_IDMA0_IDMA2IMSG_CMP_OUT_NOCPL 14
3385#define V_DEBUG_IDMA0_IDMA2IMSG_CMP_OUT_NOCPL(x) ((x) << S_DEBUG_IDMA0_IDMA2IMSG_CMP_OUT_NOCPL)
3386#define F_DEBUG_IDMA0_IDMA2IMSG_CMP_OUT_NOCPL V_DEBUG_IDMA0_IDMA2IMSG_CMP_OUT_NOCPL(1U)
3387
3388#define S_DEBUG_IDMA0_IDMA2IMSG_FULL 13
3389#define V_DEBUG_IDMA0_IDMA2IMSG_FULL(x) ((x) << S_DEBUG_IDMA0_IDMA2IMSG_FULL)
3390#define F_DEBUG_IDMA0_IDMA2IMSG_FULL V_DEBUG_IDMA0_IDMA2IMSG_FULL(1U)
3391
3392#define S_DEBUG_IDMA0_IDMA2IMSG_EOP 12
3393#define V_DEBUG_IDMA0_IDMA2IMSG_EOP(x) ((x) << S_DEBUG_IDMA0_IDMA2IMSG_EOP)
3394#define F_DEBUG_IDMA0_IDMA2IMSG_EOP V_DEBUG_IDMA0_IDMA2IMSG_EOP(1U)
3395
3396#define S_DEBUG_IDMA0_IDMA2IMSG_CMP_IN_DRDY 11
3397#define V_DEBUG_IDMA0_IDMA2IMSG_CMP_IN_DRDY(x) ((x) << S_DEBUG_IDMA0_IDMA2IMSG_CMP_IN_DRDY)
3398#define F_DEBUG_IDMA0_IDMA2IMSG_CMP_IN_DRDY V_DEBUG_IDMA0_IDMA2IMSG_CMP_IN_DRDY(1U)
3399
3400#define S_DEBUG_IDMA0_IDMA2IMSG_FIFO_IN_DRDY 10
3401#define V_DEBUG_IDMA0_IDMA2IMSG_FIFO_IN_DRDY(x) ((x) << S_DEBUG_IDMA0_IDMA2IMSG_FIFO_IN_DRDY)
3402#define F_DEBUG_IDMA0_IDMA2IMSG_FIFO_IN_DRDY V_DEBUG_IDMA0_IDMA2IMSG_FIFO_IN_DRDY(1U)
3403
3404#define S_T6_DEBUG_T_RXAFULL_D 8
3405#define M_T6_DEBUG_T_RXAFULL_D 0x3U
3406#define V_T6_DEBUG_T_RXAFULL_D(x) ((x) << S_T6_DEBUG_T_RXAFULL_D)
3407#define G_T6_DEBUG_T_RXAFULL_D(x) (((x) >> S_T6_DEBUG_T_RXAFULL_D) & M_T6_DEBUG_T_RXAFULL_D)
3408
3409#define S_T6_DEBUG_PD_WRREQAFULL_D 6
3410#define M_T6_DEBUG_PD_WRREQAFULL_D 0x3U
3411#define V_T6_DEBUG_PD_WRREQAFULL_D(x) ((x) << S_T6_DEBUG_PD_WRREQAFULL_D)
3412#define G_T6_DEBUG_PD_WRREQAFULL_D(x) (((x) >> S_T6_DEBUG_PD_WRREQAFULL_D) & M_T6_DEBUG_PD_WRREQAFULL_D)
3413
3414#define S_T6_DEBUG_PC_RSPAFULL_D 5
3415#define V_T6_DEBUG_PC_RSPAFULL_D(x) ((x) << S_T6_DEBUG_PC_RSPAFULL_D)
3416#define F_T6_DEBUG_PC_RSPAFULL_D V_T6_DEBUG_PC_RSPAFULL_D(1U)
3417
3418#define S_T6_DEBUG_PC_REQAFULL_D 4
3419#define V_T6_DEBUG_PC_REQAFULL_D(x) ((x) << S_T6_DEBUG_PC_REQAFULL_D)
3420#define F_T6_DEBUG_PC_REQAFULL_D V_T6_DEBUG_PC_REQAFULL_D(1U)
3421
3422#define S_T6_DEBUG_CIM_AFULL_D 0
3423#define V_T6_DEBUG_CIM_AFULL_D(x) ((x) << S_T6_DEBUG_CIM_AFULL_D)
3424#define F_T6_DEBUG_CIM_AFULL_D V_T6_DEBUG_CIM_AFULL_D(1U)
3425
3426#define A_SGE_DEBUG_DATA_HIGH_INDEX_11 0x12ac
3427
3428#define S_DEBUG_FLM_IDMA1_CACHE_DATA_ACTIVE 24
3429#define V_DEBUG_FLM_IDMA1_CACHE_DATA_ACTIVE(x) ((x) << S_DEBUG_FLM_IDMA1_CACHE_DATA_ACTIVE)
3430#define F_DEBUG_FLM_IDMA1_CACHE_DATA_ACTIVE V_DEBUG_FLM_IDMA1_CACHE_DATA_ACTIVE(1U)
3431
3432#define S_DEBUG_FLM_IDMA1_CACHE_HDR_ACTIVE 23
3433#define V_DEBUG_FLM_IDMA1_CACHE_HDR_ACTIVE(x) ((x) << S_DEBUG_FLM_IDMA1_CACHE_HDR_ACTIVE)
3434#define F_DEBUG_FLM_IDMA1_CACHE_HDR_ACTIVE V_DEBUG_FLM_IDMA1_CACHE_HDR_ACTIVE(1U)
3435
3436#define S_DEBUG_FLM_IDMA1_CTXT_DATA_ACTIVE 22
3437#define V_DEBUG_FLM_IDMA1_CTXT_DATA_ACTIVE(x) ((x) << S_DEBUG_FLM_IDMA1_CTXT_DATA_ACTIVE)
3438#define F_DEBUG_FLM_IDMA1_CTXT_DATA_ACTIVE V_DEBUG_FLM_IDMA1_CTXT_DATA_ACTIVE(1U)
3439
3440#define S_DEBUG_FLM_IDMA1_CTXT_HDR_ACTIVE 21
3441#define V_DEBUG_FLM_IDMA1_CTXT_HDR_ACTIVE(x) ((x) << S_DEBUG_FLM_IDMA1_CTXT_HDR_ACTIVE)
3442#define F_DEBUG_FLM_IDMA1_CTXT_HDR_ACTIVE V_DEBUG_FLM_IDMA1_CTXT_HDR_ACTIVE(1U)
3443
3444#define S_DEBUG_ST_FLM_IDMA1_CACHE 19
3445#define M_DEBUG_ST_FLM_IDMA1_CACHE 0x3U
3446#define V_DEBUG_ST_FLM_IDMA1_CACHE(x) ((x) << S_DEBUG_ST_FLM_IDMA1_CACHE)
3447#define G_DEBUG_ST_FLM_IDMA1_CACHE(x) (((x) >> S_DEBUG_ST_FLM_IDMA1_CACHE) & M_DEBUG_ST_FLM_IDMA1_CACHE)
3448
3449#define S_DEBUG_ST_FLM_IDMA1_CTXT 16
3450#define M_DEBUG_ST_FLM_IDMA1_CTXT 0x7U
3451#define V_DEBUG_ST_FLM_IDMA1_CTXT(x) ((x) << S_DEBUG_ST_FLM_IDMA1_CTXT)
3452#define G_DEBUG_ST_FLM_IDMA1_CTXT(x) (((x) >> S_DEBUG_ST_FLM_IDMA1_CTXT) & M_DEBUG_ST_FLM_IDMA1_CTXT)
3453
3454#define S_DEBUG_FLM_IDMA0_CACHE_DATA_ACTIVE 8
3455#define V_DEBUG_FLM_IDMA0_CACHE_DATA_ACTIVE(x) ((x) << S_DEBUG_FLM_IDMA0_CACHE_DATA_ACTIVE)
3456#define F_DEBUG_FLM_IDMA0_CACHE_DATA_ACTIVE V_DEBUG_FLM_IDMA0_CACHE_DATA_ACTIVE(1U)
3457
3458#define S_DEBUG_FLM_IDMA0_CACHE_HDR_ACTIVE 7
3459#define V_DEBUG_FLM_IDMA0_CACHE_HDR_ACTIVE(x) ((x) << S_DEBUG_FLM_IDMA0_CACHE_HDR_ACTIVE)
3460#define F_DEBUG_FLM_IDMA0_CACHE_HDR_ACTIVE V_DEBUG_FLM_IDMA0_CACHE_HDR_ACTIVE(1U)
3461
3462#define S_DEBUG_FLM_IDMA0_CTXT_DATA_ACTIVE 6
3463#define V_DEBUG_FLM_IDMA0_CTXT_DATA_ACTIVE(x) ((x) << S_DEBUG_FLM_IDMA0_CTXT_DATA_ACTIVE)
3464#define F_DEBUG_FLM_IDMA0_CTXT_DATA_ACTIVE V_DEBUG_FLM_IDMA0_CTXT_DATA_ACTIVE(1U)
3465
3466#define S_DEBUG_FLM_IDMA0_CTXT_HDR_ACTIVE 5
3467#define V_DEBUG_FLM_IDMA0_CTXT_HDR_ACTIVE(x) ((x) << S_DEBUG_FLM_IDMA0_CTXT_HDR_ACTIVE)
3468#define F_DEBUG_FLM_IDMA0_CTXT_HDR_ACTIVE V_DEBUG_FLM_IDMA0_CTXT_HDR_ACTIVE(1U)
3469
3470#define S_DEBUG_ST_FLM_IDMA0_CACHE 3
3471#define M_DEBUG_ST_FLM_IDMA0_CACHE 0x3U
3472#define V_DEBUG_ST_FLM_IDMA0_CACHE(x) ((x) << S_DEBUG_ST_FLM_IDMA0_CACHE)
3473#define G_DEBUG_ST_FLM_IDMA0_CACHE(x) (((x) >> S_DEBUG_ST_FLM_IDMA0_CACHE) & M_DEBUG_ST_FLM_IDMA0_CACHE)
3474
3475#define S_DEBUG_ST_FLM_IDMA0_CTXT 0
3476#define M_DEBUG_ST_FLM_IDMA0_CTXT 0x7U
3477#define V_DEBUG_ST_FLM_IDMA0_CTXT(x) ((x) << S_DEBUG_ST_FLM_IDMA0_CTXT)
3478#define G_DEBUG_ST_FLM_IDMA0_CTXT(x) (((x) >> S_DEBUG_ST_FLM_IDMA0_CTXT) & M_DEBUG_ST_FLM_IDMA0_CTXT)
3479
3480#define A_SGE_DEBUG_DATA_HIGH_INDEX_12 0x12b0
3481
3482#define S_DEBUG_CPLSW_SOP1_CNT 28
3483#define M_DEBUG_CPLSW_SOP1_CNT 0xfU
3484#define V_DEBUG_CPLSW_SOP1_CNT(x) ((x) << S_DEBUG_CPLSW_SOP1_CNT)
3485#define G_DEBUG_CPLSW_SOP1_CNT(x) (((x) >> S_DEBUG_CPLSW_SOP1_CNT) & M_DEBUG_CPLSW_SOP1_CNT)
3486
3487#define S_DEBUG_CPLSW_EOP1_CNT 24
3488#define M_DEBUG_CPLSW_EOP1_CNT 0xfU
3489#define V_DEBUG_CPLSW_EOP1_CNT(x) ((x) << S_DEBUG_CPLSW_EOP1_CNT)
3490#define G_DEBUG_CPLSW_EOP1_CNT(x) (((x) >> S_DEBUG_CPLSW_EOP1_CNT) & M_DEBUG_CPLSW_EOP1_CNT)
3491
3492#define S_DEBUG_CPLSW_SOP0_CNT 20
3493#define M_DEBUG_CPLSW_SOP0_CNT 0xfU
3494#define V_DEBUG_CPLSW_SOP0_CNT(x) ((x) << S_DEBUG_CPLSW_SOP0_CNT)
3495#define G_DEBUG_CPLSW_SOP0_CNT(x) (((x) >> S_DEBUG_CPLSW_SOP0_CNT) & M_DEBUG_CPLSW_SOP0_CNT)
3496
3497#define S_DEBUG_CPLSW_EOP0_CNT 16
3498#define M_DEBUG_CPLSW_EOP0_CNT 0xfU
3499#define V_DEBUG_CPLSW_EOP0_CNT(x) ((x) << S_DEBUG_CPLSW_EOP0_CNT)
3500#define G_DEBUG_CPLSW_EOP0_CNT(x) (((x) >> S_DEBUG_CPLSW_EOP0_CNT) & M_DEBUG_CPLSW_EOP0_CNT)
3501
3502#define S_DEBUG_PC_RSP_SOP2_CNT 12
3503#define M_DEBUG_PC_RSP_SOP2_CNT 0xfU
3504#define V_DEBUG_PC_RSP_SOP2_CNT(x) ((x) << S_DEBUG_PC_RSP_SOP2_CNT)
3505#define G_DEBUG_PC_RSP_SOP2_CNT(x) (((x) >> S_DEBUG_PC_RSP_SOP2_CNT) & M_DEBUG_PC_RSP_SOP2_CNT)
3506
3507#define S_DEBUG_PC_RSP_EOP2_CNT 8
3508#define M_DEBUG_PC_RSP_EOP2_CNT 0xfU
3509#define V_DEBUG_PC_RSP_EOP2_CNT(x) ((x) << S_DEBUG_PC_RSP_EOP2_CNT)
3510#define G_DEBUG_PC_RSP_EOP2_CNT(x) (((x) >> S_DEBUG_PC_RSP_EOP2_CNT) & M_DEBUG_PC_RSP_EOP2_CNT)
3511
3512#define S_DEBUG_PC_REQ_SOP2_CNT 4
3513#define M_DEBUG_PC_REQ_SOP2_CNT 0xfU
3514#define V_DEBUG_PC_REQ_SOP2_CNT(x) ((x) << S_DEBUG_PC_REQ_SOP2_CNT)
3515#define G_DEBUG_PC_REQ_SOP2_CNT(x) (((x) >> S_DEBUG_PC_REQ_SOP2_CNT) & M_DEBUG_PC_REQ_SOP2_CNT)
3516
3517#define S_DEBUG_PC_REQ_EOP2_CNT 0
3518#define M_DEBUG_PC_REQ_EOP2_CNT 0xfU
3519#define V_DEBUG_PC_REQ_EOP2_CNT(x) ((x) << S_DEBUG_PC_REQ_EOP2_CNT)
3520#define G_DEBUG_PC_REQ_EOP2_CNT(x) (((x) >> S_DEBUG_PC_REQ_EOP2_CNT) & M_DEBUG_PC_REQ_EOP2_CNT)
3521
3522#define S_DEBUG_IDMA1_ISHIFT_TX_SIZE 8
3523#define M_DEBUG_IDMA1_ISHIFT_TX_SIZE 0x7fU
3524#define V_DEBUG_IDMA1_ISHIFT_TX_SIZE(x) ((x) << S_DEBUG_IDMA1_ISHIFT_TX_SIZE)
3525#define G_DEBUG_IDMA1_ISHIFT_TX_SIZE(x) (((x) >> S_DEBUG_IDMA1_ISHIFT_TX_SIZE) & M_DEBUG_IDMA1_ISHIFT_TX_SIZE)
3526
3527#define S_DEBUG_IDMA0_ISHIFT_TX_SIZE 0
3528#define M_DEBUG_IDMA0_ISHIFT_TX_SIZE 0x7fU
3529#define V_DEBUG_IDMA0_ISHIFT_TX_SIZE(x) ((x) << S_DEBUG_IDMA0_ISHIFT_TX_SIZE)
3530#define G_DEBUG_IDMA0_ISHIFT_TX_SIZE(x) (((x) >> S_DEBUG_IDMA0_ISHIFT_TX_SIZE) & M_DEBUG_IDMA0_ISHIFT_TX_SIZE)
3531
3532#define A_SGE_DEBUG_DATA_HIGH_INDEX_13 0x12b4
3533#define A_SGE_DEBUG_DATA_HIGH_INDEX_14 0x12b8
3534#define A_SGE_DEBUG_DATA_HIGH_INDEX_15 0x12bc
3535#define A_SGE_DEBUG_DATA_LOW_INDEX_0 0x12c0
3536
3537#define S_DEBUG_ST_IDMA1_FLM_REQ 29
3538#define M_DEBUG_ST_IDMA1_FLM_REQ 0x7U
3539#define V_DEBUG_ST_IDMA1_FLM_REQ(x) ((x) << S_DEBUG_ST_IDMA1_FLM_REQ)
3540#define G_DEBUG_ST_IDMA1_FLM_REQ(x) (((x) >> S_DEBUG_ST_IDMA1_FLM_REQ) & M_DEBUG_ST_IDMA1_FLM_REQ)
3541
3542#define S_DEBUG_ST_IDMA0_FLM_REQ 26
3543#define M_DEBUG_ST_IDMA0_FLM_REQ 0x7U
3544#define V_DEBUG_ST_IDMA0_FLM_REQ(x) ((x) << S_DEBUG_ST_IDMA0_FLM_REQ)
3545#define G_DEBUG_ST_IDMA0_FLM_REQ(x) (((x) >> S_DEBUG_ST_IDMA0_FLM_REQ) & M_DEBUG_ST_IDMA0_FLM_REQ)
3546
3547#define S_DEBUG_ST_IMSG_CTXT 23
3548#define M_DEBUG_ST_IMSG_CTXT 0x7U
3549#define V_DEBUG_ST_IMSG_CTXT(x) ((x) << S_DEBUG_ST_IMSG_CTXT)
3550#define G_DEBUG_ST_IMSG_CTXT(x) (((x) >> S_DEBUG_ST_IMSG_CTXT) & M_DEBUG_ST_IMSG_CTXT)
3551
3552#define S_DEBUG_ST_IMSG 18
3553#define M_DEBUG_ST_IMSG 0x1fU
3554#define V_DEBUG_ST_IMSG(x) ((x) << S_DEBUG_ST_IMSG)
3555#define G_DEBUG_ST_IMSG(x) (((x) >> S_DEBUG_ST_IMSG) & M_DEBUG_ST_IMSG)
3556
3557#define S_DEBUG_ST_IDMA1_IALN 16
3558#define M_DEBUG_ST_IDMA1_IALN 0x3U
3559#define V_DEBUG_ST_IDMA1_IALN(x) ((x) << S_DEBUG_ST_IDMA1_IALN)
3560#define G_DEBUG_ST_IDMA1_IALN(x) (((x) >> S_DEBUG_ST_IDMA1_IALN) & M_DEBUG_ST_IDMA1_IALN)
3561
3562#define S_DEBUG_ST_IDMA1_IDMA_SM 9
3563#define M_DEBUG_ST_IDMA1_IDMA_SM 0x3fU
3564#define V_DEBUG_ST_IDMA1_IDMA_SM(x) ((x) << S_DEBUG_ST_IDMA1_IDMA_SM)
3565#define G_DEBUG_ST_IDMA1_IDMA_SM(x) (((x) >> S_DEBUG_ST_IDMA1_IDMA_SM) & M_DEBUG_ST_IDMA1_IDMA_SM)
3566
3567#define S_DEBUG_ST_IDMA0_IALN 7
3568#define M_DEBUG_ST_IDMA0_IALN 0x3U
3569#define V_DEBUG_ST_IDMA0_IALN(x) ((x) << S_DEBUG_ST_IDMA0_IALN)
3570#define G_DEBUG_ST_IDMA0_IALN(x) (((x) >> S_DEBUG_ST_IDMA0_IALN) & M_DEBUG_ST_IDMA0_IALN)
3571
3572#define S_DEBUG_ST_IDMA0_IDMA_SM 0
3573#define M_DEBUG_ST_IDMA0_IDMA_SM 0x3fU
3574#define V_DEBUG_ST_IDMA0_IDMA_SM(x) ((x) << S_DEBUG_ST_IDMA0_IDMA_SM)
3575#define G_DEBUG_ST_IDMA0_IDMA_SM(x) (((x) >> S_DEBUG_ST_IDMA0_IDMA_SM) & M_DEBUG_ST_IDMA0_IDMA_SM)
3576
3577#define S_DEBUG_ST_IDMA1_IDMA2IMSG 15
3578#define V_DEBUG_ST_IDMA1_IDMA2IMSG(x) ((x) << S_DEBUG_ST_IDMA1_IDMA2IMSG)
3579#define F_DEBUG_ST_IDMA1_IDMA2IMSG V_DEBUG_ST_IDMA1_IDMA2IMSG(1U)
3580
3581#define S_DEBUG_ST_IDMA0_IDMA2IMSG 6
3582#define V_DEBUG_ST_IDMA0_IDMA2IMSG(x) ((x) << S_DEBUG_ST_IDMA0_IDMA2IMSG)
3583#define F_DEBUG_ST_IDMA0_IDMA2IMSG V_DEBUG_ST_IDMA0_IDMA2IMSG(1U)
3584
3585#define A_SGE_DEBUG_DATA_LOW_INDEX_1 0x12c4
3586
3587#define S_DEBUG_ITP_EMPTY 12
3588#define M_DEBUG_ITP_EMPTY 0x3fU
3589#define V_DEBUG_ITP_EMPTY(x) ((x) << S_DEBUG_ITP_EMPTY)
3590#define G_DEBUG_ITP_EMPTY(x) (((x) >> S_DEBUG_ITP_EMPTY) & M_DEBUG_ITP_EMPTY)
3591
3592#define S_DEBUG_ITP_EXPIRED 6
3593#define M_DEBUG_ITP_EXPIRED 0x3fU
3594#define V_DEBUG_ITP_EXPIRED(x) ((x) << S_DEBUG_ITP_EXPIRED)
3595#define G_DEBUG_ITP_EXPIRED(x) (((x) >> S_DEBUG_ITP_EXPIRED) & M_DEBUG_ITP_EXPIRED)
3596
3597#define S_DEBUG_ITP_PAUSE 5
3598#define V_DEBUG_ITP_PAUSE(x) ((x) << S_DEBUG_ITP_PAUSE)
3599#define F_DEBUG_ITP_PAUSE V_DEBUG_ITP_PAUSE(1U)
3600
3601#define S_DEBUG_ITP_DEL_DONE 4
3602#define V_DEBUG_ITP_DEL_DONE(x) ((x) << S_DEBUG_ITP_DEL_DONE)
3603#define F_DEBUG_ITP_DEL_DONE V_DEBUG_ITP_DEL_DONE(1U)
3604
3605#define S_DEBUG_ITP_ADD_DONE 3
3606#define V_DEBUG_ITP_ADD_DONE(x) ((x) << S_DEBUG_ITP_ADD_DONE)
3607#define F_DEBUG_ITP_ADD_DONE V_DEBUG_ITP_ADD_DONE(1U)
3608
3609#define S_DEBUG_ITP_EVR_STATE 0
3610#define M_DEBUG_ITP_EVR_STATE 0x7U
3611#define V_DEBUG_ITP_EVR_STATE(x) ((x) << S_DEBUG_ITP_EVR_STATE)
3612#define G_DEBUG_ITP_EVR_STATE(x) (((x) >> S_DEBUG_ITP_EVR_STATE) & M_DEBUG_ITP_EVR_STATE)
3613
3614#define A_SGE_DEBUG_DATA_LOW_INDEX_2 0x12c8
3615
3616#define S_DEBUG_ST_DBP_THREAD2_CIMFL 25
3617#define M_DEBUG_ST_DBP_THREAD2_CIMFL 0x1fU
3618#define V_DEBUG_ST_DBP_THREAD2_CIMFL(x) ((x) << S_DEBUG_ST_DBP_THREAD2_CIMFL)
3619#define G_DEBUG_ST_DBP_THREAD2_CIMFL(x) (((x) >> S_DEBUG_ST_DBP_THREAD2_CIMFL) & M_DEBUG_ST_DBP_THREAD2_CIMFL)
3620
3621#define S_DEBUG_ST_DBP_THREAD2_MAIN 20
3622#define M_DEBUG_ST_DBP_THREAD2_MAIN 0x1fU
3623#define V_DEBUG_ST_DBP_THREAD2_MAIN(x) ((x) << S_DEBUG_ST_DBP_THREAD2_MAIN)
3624#define G_DEBUG_ST_DBP_THREAD2_MAIN(x) (((x) >> S_DEBUG_ST_DBP_THREAD2_MAIN) & M_DEBUG_ST_DBP_THREAD2_MAIN)
3625
3626#define S_DEBUG_ST_DBP_THREAD1_CIMFL 15
3627#define M_DEBUG_ST_DBP_THREAD1_CIMFL 0x1fU
3628#define V_DEBUG_ST_DBP_THREAD1_CIMFL(x) ((x) << S_DEBUG_ST_DBP_THREAD1_CIMFL)
3629#define G_DEBUG_ST_DBP_THREAD1_CIMFL(x) (((x) >> S_DEBUG_ST_DBP_THREAD1_CIMFL) & M_DEBUG_ST_DBP_THREAD1_CIMFL)
3630
3631#define S_DEBUG_ST_DBP_THREAD1_MAIN 10
3632#define M_DEBUG_ST_DBP_THREAD1_MAIN 0x1fU
3633#define V_DEBUG_ST_DBP_THREAD1_MAIN(x) ((x) << S_DEBUG_ST_DBP_THREAD1_MAIN)
3634#define G_DEBUG_ST_DBP_THREAD1_MAIN(x) (((x) >> S_DEBUG_ST_DBP_THREAD1_MAIN) & M_DEBUG_ST_DBP_THREAD1_MAIN)
3635
3636#define S_DEBUG_ST_DBP_THREAD0_CIMFL 5
3637#define M_DEBUG_ST_DBP_THREAD0_CIMFL 0x1fU
3638#define V_DEBUG_ST_DBP_THREAD0_CIMFL(x) ((x) << S_DEBUG_ST_DBP_THREAD0_CIMFL)
3639#define G_DEBUG_ST_DBP_THREAD0_CIMFL(x) (((x) >> S_DEBUG_ST_DBP_THREAD0_CIMFL) & M_DEBUG_ST_DBP_THREAD0_CIMFL)
3640
3641#define S_DEBUG_ST_DBP_THREAD0_MAIN 0
3642#define M_DEBUG_ST_DBP_THREAD0_MAIN 0x1fU
3643#define V_DEBUG_ST_DBP_THREAD0_MAIN(x) ((x) << S_DEBUG_ST_DBP_THREAD0_MAIN)
3644#define G_DEBUG_ST_DBP_THREAD0_MAIN(x) (((x) >> S_DEBUG_ST_DBP_THREAD0_MAIN) & M_DEBUG_ST_DBP_THREAD0_MAIN)
3645
3646#define S_T6_DEBUG_ST_DBP_UPCP_MAIN 14
3647#define M_T6_DEBUG_ST_DBP_UPCP_MAIN 0x7U
3648#define V_T6_DEBUG_ST_DBP_UPCP_MAIN(x) ((x) << S_T6_DEBUG_ST_DBP_UPCP_MAIN)
3649#define G_T6_DEBUG_ST_DBP_UPCP_MAIN(x) (((x) >> S_T6_DEBUG_ST_DBP_UPCP_MAIN) & M_T6_DEBUG_ST_DBP_UPCP_MAIN)
3650
3651#define A_SGE_DEBUG_DATA_LOW_INDEX_3 0x12cc
3652
3653#define S_DEBUG_ST_DBP_UPCP_MAIN 14
3654#define M_DEBUG_ST_DBP_UPCP_MAIN 0x1fU
3655#define V_DEBUG_ST_DBP_UPCP_MAIN(x) ((x) << S_DEBUG_ST_DBP_UPCP_MAIN)
3656#define G_DEBUG_ST_DBP_UPCP_MAIN(x) (((x) >> S_DEBUG_ST_DBP_UPCP_MAIN) & M_DEBUG_ST_DBP_UPCP_MAIN)
3657
3658#define S_DEBUG_ST_DBP_DBFIFO_MAIN 13
3659#define V_DEBUG_ST_DBP_DBFIFO_MAIN(x) ((x) << S_DEBUG_ST_DBP_DBFIFO_MAIN)
3660#define F_DEBUG_ST_DBP_DBFIFO_MAIN V_DEBUG_ST_DBP_DBFIFO_MAIN(1U)
3661
3662#define S_DEBUG_ST_DBP_CTXT 10
3663#define M_DEBUG_ST_DBP_CTXT 0x7U
3664#define V_DEBUG_ST_DBP_CTXT(x) ((x) << S_DEBUG_ST_DBP_CTXT)
3665#define G_DEBUG_ST_DBP_CTXT(x) (((x) >> S_DEBUG_ST_DBP_CTXT) & M_DEBUG_ST_DBP_CTXT)
3666
3667#define S_DEBUG_ST_DBP_THREAD3_CIMFL 5
3668#define M_DEBUG_ST_DBP_THREAD3_CIMFL 0x1fU
3669#define V_DEBUG_ST_DBP_THREAD3_CIMFL(x) ((x) << S_DEBUG_ST_DBP_THREAD3_CIMFL)
3670#define G_DEBUG_ST_DBP_THREAD3_CIMFL(x) (((x) >> S_DEBUG_ST_DBP_THREAD3_CIMFL) & M_DEBUG_ST_DBP_THREAD3_CIMFL)
3671
3672#define S_DEBUG_ST_DBP_THREAD3_MAIN 0
3673#define M_DEBUG_ST_DBP_THREAD3_MAIN 0x1fU
3674#define V_DEBUG_ST_DBP_THREAD3_MAIN(x) ((x) << S_DEBUG_ST_DBP_THREAD3_MAIN)
3675#define G_DEBUG_ST_DBP_THREAD3_MAIN(x) (((x) >> S_DEBUG_ST_DBP_THREAD3_MAIN) & M_DEBUG_ST_DBP_THREAD3_MAIN)
3676
3677#define A_SGE_DEBUG_DATA_LOW_INDEX_4 0x12d0
3678
3679#define S_DEBUG_ST_EDMA3_ALIGN_SUB 29
3680#define M_DEBUG_ST_EDMA3_ALIGN_SUB 0x7U
3681#define V_DEBUG_ST_EDMA3_ALIGN_SUB(x) ((x) << S_DEBUG_ST_EDMA3_ALIGN_SUB)
3682#define G_DEBUG_ST_EDMA3_ALIGN_SUB(x) (((x) >> S_DEBUG_ST_EDMA3_ALIGN_SUB) & M_DEBUG_ST_EDMA3_ALIGN_SUB)
3683
3684#define S_DEBUG_ST_EDMA3_ALIGN 27
3685#define M_DEBUG_ST_EDMA3_ALIGN 0x3U
3686#define V_DEBUG_ST_EDMA3_ALIGN(x) ((x) << S_DEBUG_ST_EDMA3_ALIGN)
3687#define G_DEBUG_ST_EDMA3_ALIGN(x) (((x) >> S_DEBUG_ST_EDMA3_ALIGN) & M_DEBUG_ST_EDMA3_ALIGN)
3688
3689#define S_DEBUG_ST_EDMA3_REQ 24
3690#define M_DEBUG_ST_EDMA3_REQ 0x7U
3691#define V_DEBUG_ST_EDMA3_REQ(x) ((x) << S_DEBUG_ST_EDMA3_REQ)
3692#define G_DEBUG_ST_EDMA3_REQ(x) (((x) >> S_DEBUG_ST_EDMA3_REQ) & M_DEBUG_ST_EDMA3_REQ)
3693
3694#define S_DEBUG_ST_EDMA2_ALIGN_SUB 21
3695#define M_DEBUG_ST_EDMA2_ALIGN_SUB 0x7U
3696#define V_DEBUG_ST_EDMA2_ALIGN_SUB(x) ((x) << S_DEBUG_ST_EDMA2_ALIGN_SUB)
3697#define G_DEBUG_ST_EDMA2_ALIGN_SUB(x) (((x) >> S_DEBUG_ST_EDMA2_ALIGN_SUB) & M_DEBUG_ST_EDMA2_ALIGN_SUB)
3698
3699#define S_DEBUG_ST_EDMA2_ALIGN 19
3700#define M_DEBUG_ST_EDMA2_ALIGN 0x3U
3701#define V_DEBUG_ST_EDMA2_ALIGN(x) ((x) << S_DEBUG_ST_EDMA2_ALIGN)
3702#define G_DEBUG_ST_EDMA2_ALIGN(x) (((x) >> S_DEBUG_ST_EDMA2_ALIGN) & M_DEBUG_ST_EDMA2_ALIGN)
3703
3704#define S_DEBUG_ST_EDMA2_REQ 16
3705#define M_DEBUG_ST_EDMA2_REQ 0x7U
3706#define V_DEBUG_ST_EDMA2_REQ(x) ((x) << S_DEBUG_ST_EDMA2_REQ)
3707#define G_DEBUG_ST_EDMA2_REQ(x) (((x) >> S_DEBUG_ST_EDMA2_REQ) & M_DEBUG_ST_EDMA2_REQ)
3708
3709#define S_DEBUG_ST_EDMA1_ALIGN_SUB 13
3710#define M_DEBUG_ST_EDMA1_ALIGN_SUB 0x7U
3711#define V_DEBUG_ST_EDMA1_ALIGN_SUB(x) ((x) << S_DEBUG_ST_EDMA1_ALIGN_SUB)
3712#define G_DEBUG_ST_EDMA1_ALIGN_SUB(x) (((x) >> S_DEBUG_ST_EDMA1_ALIGN_SUB) & M_DEBUG_ST_EDMA1_ALIGN_SUB)
3713
3714#define S_DEBUG_ST_EDMA1_ALIGN 11
3715#define M_DEBUG_ST_EDMA1_ALIGN 0x3U
3716#define V_DEBUG_ST_EDMA1_ALIGN(x) ((x) << S_DEBUG_ST_EDMA1_ALIGN)
3717#define G_DEBUG_ST_EDMA1_ALIGN(x) (((x) >> S_DEBUG_ST_EDMA1_ALIGN) & M_DEBUG_ST_EDMA1_ALIGN)
3718
3719#define S_DEBUG_ST_EDMA1_REQ 8
3720#define M_DEBUG_ST_EDMA1_REQ 0x7U
3721#define V_DEBUG_ST_EDMA1_REQ(x) ((x) << S_DEBUG_ST_EDMA1_REQ)
3722#define G_DEBUG_ST_EDMA1_REQ(x) (((x) >> S_DEBUG_ST_EDMA1_REQ) & M_DEBUG_ST_EDMA1_REQ)
3723
3724#define S_DEBUG_ST_EDMA0_ALIGN_SUB 5
3725#define M_DEBUG_ST_EDMA0_ALIGN_SUB 0x7U
3726#define V_DEBUG_ST_EDMA0_ALIGN_SUB(x) ((x) << S_DEBUG_ST_EDMA0_ALIGN_SUB)
3727#define G_DEBUG_ST_EDMA0_ALIGN_SUB(x) (((x) >> S_DEBUG_ST_EDMA0_ALIGN_SUB) & M_DEBUG_ST_EDMA0_ALIGN_SUB)
3728
3729#define S_DEBUG_ST_EDMA0_ALIGN 3
3730#define M_DEBUG_ST_EDMA0_ALIGN 0x3U
3731#define V_DEBUG_ST_EDMA0_ALIGN(x) ((x) << S_DEBUG_ST_EDMA0_ALIGN)
3732#define G_DEBUG_ST_EDMA0_ALIGN(x) (((x) >> S_DEBUG_ST_EDMA0_ALIGN) & M_DEBUG_ST_EDMA0_ALIGN)
3733
3734#define S_DEBUG_ST_EDMA0_REQ 0
3735#define M_DEBUG_ST_EDMA0_REQ 0x7U
3736#define V_DEBUG_ST_EDMA0_REQ(x) ((x) << S_DEBUG_ST_EDMA0_REQ)
3737#define G_DEBUG_ST_EDMA0_REQ(x) (((x) >> S_DEBUG_ST_EDMA0_REQ) & M_DEBUG_ST_EDMA0_REQ)
3738
3739#define A_SGE_DEBUG_DATA_LOW_INDEX_5 0x12d4
3740
3741#define S_DEBUG_ST_FLM_DBPTR 30
3742#define M_DEBUG_ST_FLM_DBPTR 0x3U
3743#define V_DEBUG_ST_FLM_DBPTR(x) ((x) << S_DEBUG_ST_FLM_DBPTR)
3744#define G_DEBUG_ST_FLM_DBPTR(x) (((x) >> S_DEBUG_ST_FLM_DBPTR) & M_DEBUG_ST_FLM_DBPTR)
3745
3746#define S_DEBUG_FLM_CACHE_LOCKED_COUNT 23
3747#define M_DEBUG_FLM_CACHE_LOCKED_COUNT 0x7fU
3748#define V_DEBUG_FLM_CACHE_LOCKED_COUNT(x) ((x) << S_DEBUG_FLM_CACHE_LOCKED_COUNT)
3749#define G_DEBUG_FLM_CACHE_LOCKED_COUNT(x) (((x) >> S_DEBUG_FLM_CACHE_LOCKED_COUNT) & M_DEBUG_FLM_CACHE_LOCKED_COUNT)
3750
3751#define S_DEBUG_FLM_CACHE_AGENT 20
3752#define M_DEBUG_FLM_CACHE_AGENT 0x7U
3753#define V_DEBUG_FLM_CACHE_AGENT(x) ((x) << S_DEBUG_FLM_CACHE_AGENT)
3754#define G_DEBUG_FLM_CACHE_AGENT(x) (((x) >> S_DEBUG_FLM_CACHE_AGENT) & M_DEBUG_FLM_CACHE_AGENT)
3755
3756#define S_DEBUG_ST_FLM_CACHE 16
3757#define M_DEBUG_ST_FLM_CACHE 0xfU
3758#define V_DEBUG_ST_FLM_CACHE(x) ((x) << S_DEBUG_ST_FLM_CACHE)
3759#define G_DEBUG_ST_FLM_CACHE(x) (((x) >> S_DEBUG_ST_FLM_CACHE) & M_DEBUG_ST_FLM_CACHE)
3760
3761#define S_DEBUG_FLM_DBPTR_CIDX_STALL 12
3762#define V_DEBUG_FLM_DBPTR_CIDX_STALL(x) ((x) << S_DEBUG_FLM_DBPTR_CIDX_STALL)
3763#define F_DEBUG_FLM_DBPTR_CIDX_STALL V_DEBUG_FLM_DBPTR_CIDX_STALL(1U)
3764
3765#define S_DEBUG_FLM_DBPTR_QID 0
3766#define M_DEBUG_FLM_DBPTR_QID 0xfffU
3767#define V_DEBUG_FLM_DBPTR_QID(x) ((x) << S_DEBUG_FLM_DBPTR_QID)
3768#define G_DEBUG_FLM_DBPTR_QID(x) (((x) >> S_DEBUG_FLM_DBPTR_QID) & M_DEBUG_FLM_DBPTR_QID)
3769
3770#define A_SGE_DEBUG0_DBP_THREAD 0x12d4
3771
3772#define S_THREAD_ST_MAIN 25
3773#define M_THREAD_ST_MAIN 0x3fU
3774#define V_THREAD_ST_MAIN(x) ((x) << S_THREAD_ST_MAIN)
3775#define G_THREAD_ST_MAIN(x) (((x) >> S_THREAD_ST_MAIN) & M_THREAD_ST_MAIN)
3776
3777#define S_THREAD_ST_CIMFL 21
3778#define M_THREAD_ST_CIMFL 0xfU
3779#define V_THREAD_ST_CIMFL(x) ((x) << S_THREAD_ST_CIMFL)
3780#define G_THREAD_ST_CIMFL(x) (((x) >> S_THREAD_ST_CIMFL) & M_THREAD_ST_CIMFL)
3781
3782#define S_THREAD_CMDOP 17
3783#define M_THREAD_CMDOP 0xfU
3784#define V_THREAD_CMDOP(x) ((x) << S_THREAD_CMDOP)
3785#define G_THREAD_CMDOP(x) (((x) >> S_THREAD_CMDOP) & M_THREAD_CMDOP)
3786
3787#define S_THREAD_QID 0
3788#define M_THREAD_QID 0x1ffffU
3789#define V_THREAD_QID(x) ((x) << S_THREAD_QID)
3790#define G_THREAD_QID(x) (((x) >> S_THREAD_QID) & M_THREAD_QID)
3791
3792#define A_SGE_DEBUG_DATA_LOW_INDEX_6 0x12d8
3793
3794#define S_DEBUG_DBP_THREAD0_QID 0
3795#define M_DEBUG_DBP_THREAD0_QID 0x1ffffU
3796#define V_DEBUG_DBP_THREAD0_QID(x) ((x) << S_DEBUG_DBP_THREAD0_QID)
3797#define G_DEBUG_DBP_THREAD0_QID(x) (((x) >> S_DEBUG_DBP_THREAD0_QID) & M_DEBUG_DBP_THREAD0_QID)
3798
3799#define A_SGE_DEBUG_DATA_LOW_INDEX_7 0x12dc
3800
3801#define S_DEBUG_DBP_THREAD1_QID 0
3802#define M_DEBUG_DBP_THREAD1_QID 0x1ffffU
3803#define V_DEBUG_DBP_THREAD1_QID(x) ((x) << S_DEBUG_DBP_THREAD1_QID)
3804#define G_DEBUG_DBP_THREAD1_QID(x) (((x) >> S_DEBUG_DBP_THREAD1_QID) & M_DEBUG_DBP_THREAD1_QID)
3805
3806#define A_SGE_DEBUG_DATA_LOW_INDEX_8 0x12e0
3807
3808#define S_DEBUG_DBP_THREAD2_QID 0
3809#define M_DEBUG_DBP_THREAD2_QID 0x1ffffU
3810#define V_DEBUG_DBP_THREAD2_QID(x) ((x) << S_DEBUG_DBP_THREAD2_QID)
3811#define G_DEBUG_DBP_THREAD2_QID(x) (((x) >> S_DEBUG_DBP_THREAD2_QID) & M_DEBUG_DBP_THREAD2_QID)
3812
3813#define A_SGE_DEBUG_DATA_LOW_INDEX_9 0x12e4
3814
3815#define S_DEBUG_DBP_THREAD3_QID 0
3816#define M_DEBUG_DBP_THREAD3_QID 0x1ffffU
3817#define V_DEBUG_DBP_THREAD3_QID(x) ((x) << S_DEBUG_DBP_THREAD3_QID)
3818#define G_DEBUG_DBP_THREAD3_QID(x) (((x) >> S_DEBUG_DBP_THREAD3_QID) & M_DEBUG_DBP_THREAD3_QID)
3819
3820#define A_SGE_DEBUG_DATA_LOW_INDEX_10 0x12e8
3821
3822#define S_DEBUG_IMSG_CPL 16
3823#define M_DEBUG_IMSG_CPL 0xffU
3824#define V_DEBUG_IMSG_CPL(x) ((x) << S_DEBUG_IMSG_CPL)
3825#define G_DEBUG_IMSG_CPL(x) (((x) >> S_DEBUG_IMSG_CPL) & M_DEBUG_IMSG_CPL)
3826
3827#define S_DEBUG_IMSG_QID 0
3828#define M_DEBUG_IMSG_QID 0xffffU
3829#define V_DEBUG_IMSG_QID(x) ((x) << S_DEBUG_IMSG_QID)
3830#define G_DEBUG_IMSG_QID(x) (((x) >> S_DEBUG_IMSG_QID) & M_DEBUG_IMSG_QID)
3831
3832#define A_SGE_DEBUG_DATA_LOW_INDEX_11 0x12ec
3833
3834#define S_DEBUG_IDMA1_QID 16
3835#define M_DEBUG_IDMA1_QID 0xffffU
3836#define V_DEBUG_IDMA1_QID(x) ((x) << S_DEBUG_IDMA1_QID)
3837#define G_DEBUG_IDMA1_QID(x) (((x) >> S_DEBUG_IDMA1_QID) & M_DEBUG_IDMA1_QID)
3838
3839#define S_DEBUG_IDMA0_QID 0
3840#define M_DEBUG_IDMA0_QID 0xffffU
3841#define V_DEBUG_IDMA0_QID(x) ((x) << S_DEBUG_IDMA0_QID)
3842#define G_DEBUG_IDMA0_QID(x) (((x) >> S_DEBUG_IDMA0_QID) & M_DEBUG_IDMA0_QID)
3843
3844#define A_SGE_DEBUG_DATA_LOW_INDEX_12 0x12f0
3845
3846#define S_DEBUG_IDMA1_FLM_REQ_QID 16
3847#define M_DEBUG_IDMA1_FLM_REQ_QID 0xffffU
3848#define V_DEBUG_IDMA1_FLM_REQ_QID(x) ((x) << S_DEBUG_IDMA1_FLM_REQ_QID)
3849#define G_DEBUG_IDMA1_FLM_REQ_QID(x) (((x) >> S_DEBUG_IDMA1_FLM_REQ_QID) & M_DEBUG_IDMA1_FLM_REQ_QID)
3850
3851#define S_DEBUG_IDMA0_FLM_REQ_QID 0
3852#define M_DEBUG_IDMA0_FLM_REQ_QID 0xffffU
3853#define V_DEBUG_IDMA0_FLM_REQ_QID(x) ((x) << S_DEBUG_IDMA0_FLM_REQ_QID)
3854#define G_DEBUG_IDMA0_FLM_REQ_QID(x) (((x) >> S_DEBUG_IDMA0_FLM_REQ_QID) & M_DEBUG_IDMA0_FLM_REQ_QID)
3855
3856#define A_SGE_DEBUG_DATA_LOW_INDEX_13 0x12f4
3857#define A_SGE_DEBUG_DATA_LOW_INDEX_14 0x12f8
3858#define A_SGE_DEBUG_DATA_LOW_INDEX_15 0x12fc
3859#define A_SGE_QUEUE_BASE_MAP_HIGH 0x1300
3860
3861#define S_EGRESS_LOG2SIZE 27
3862#define M_EGRESS_LOG2SIZE 0x1fU
3863#define V_EGRESS_LOG2SIZE(x) ((x) << S_EGRESS_LOG2SIZE)
3864#define G_EGRESS_LOG2SIZE(x) (((x) >> S_EGRESS_LOG2SIZE) & M_EGRESS_LOG2SIZE)
3865
3866#define S_EGRESS_BASE 10
3867#define M_EGRESS_BASE 0x1ffffU
3868#define V_EGRESS_BASE(x) ((x) << S_EGRESS_BASE)
3869#define G_EGRESS_BASE(x) (((x) >> S_EGRESS_BASE) & M_EGRESS_BASE)
3870
3871#define S_INGRESS2_LOG2SIZE 5
3872#define M_INGRESS2_LOG2SIZE 0x1fU
3873#define V_INGRESS2_LOG2SIZE(x) ((x) << S_INGRESS2_LOG2SIZE)
3874#define G_INGRESS2_LOG2SIZE(x) (((x) >> S_INGRESS2_LOG2SIZE) & M_INGRESS2_LOG2SIZE)
3875
3876#define S_INGRESS1_LOG2SIZE 0
3877#define M_INGRESS1_LOG2SIZE 0x1fU
3878#define V_INGRESS1_LOG2SIZE(x) ((x) << S_INGRESS1_LOG2SIZE)
3879#define G_INGRESS1_LOG2SIZE(x) (((x) >> S_INGRESS1_LOG2SIZE) & M_INGRESS1_LOG2SIZE)
3880
3881#define S_EGRESS_SIZE 27
3882#define M_EGRESS_SIZE 0x1fU
3883#define V_EGRESS_SIZE(x) ((x) << S_EGRESS_SIZE)
3884#define G_EGRESS_SIZE(x) (((x) >> S_EGRESS_SIZE) & M_EGRESS_SIZE)
3885
3886#define S_INGRESS2_SIZE 5
3887#define M_INGRESS2_SIZE 0x1fU
3888#define V_INGRESS2_SIZE(x) ((x) << S_INGRESS2_SIZE)
3889#define G_INGRESS2_SIZE(x) (((x) >> S_INGRESS2_SIZE) & M_INGRESS2_SIZE)
3890
3891#define S_INGRESS1_SIZE 0
3892#define M_INGRESS1_SIZE 0x1fU
3893#define V_INGRESS1_SIZE(x) ((x) << S_INGRESS1_SIZE)
3894#define G_INGRESS1_SIZE(x) (((x) >> S_INGRESS1_SIZE) & M_INGRESS1_SIZE)
3895
3896#define A_SGE_WC_EGRS_BAR2_OFF_PF 0x1300
3897
3898#define S_PFIQSPERPAGE 28
3899#define M_PFIQSPERPAGE 0xfU
3900#define V_PFIQSPERPAGE(x) ((x) << S_PFIQSPERPAGE)
3901#define G_PFIQSPERPAGE(x) (((x) >> S_PFIQSPERPAGE) & M_PFIQSPERPAGE)
3902
3903#define S_PFEQSPERPAGE 24
3904#define M_PFEQSPERPAGE 0xfU
3905#define V_PFEQSPERPAGE(x) ((x) << S_PFEQSPERPAGE)
3906#define G_PFEQSPERPAGE(x) (((x) >> S_PFEQSPERPAGE) & M_PFEQSPERPAGE)
3907
3908#define S_PFWCQSPERPAGE 20
3909#define M_PFWCQSPERPAGE 0xfU
3910#define V_PFWCQSPERPAGE(x) ((x) << S_PFWCQSPERPAGE)
3911#define G_PFWCQSPERPAGE(x) (((x) >> S_PFWCQSPERPAGE) & M_PFWCQSPERPAGE)
3912
3913#define S_PFWCOFFEN 19
3914#define V_PFWCOFFEN(x) ((x) << S_PFWCOFFEN)
3915#define F_PFWCOFFEN V_PFWCOFFEN(1U)
3916
3917#define S_PFMAXWCSIZE 17
3918#define M_PFMAXWCSIZE 0x3U
3919#define V_PFMAXWCSIZE(x) ((x) << S_PFMAXWCSIZE)
3920#define G_PFMAXWCSIZE(x) (((x) >> S_PFMAXWCSIZE) & M_PFMAXWCSIZE)
3921
3922#define S_PFWCOFFSET 0
3923#define M_PFWCOFFSET 0x1ffffU
3924#define V_PFWCOFFSET(x) ((x) << S_PFWCOFFSET)
3925#define G_PFWCOFFSET(x) (((x) >> S_PFWCOFFSET) & M_PFWCOFFSET)
3926
3927#define A_SGE_QUEUE_BASE_MAP_LOW 0x1304
3928
3929#define S_INGRESS2_BASE 16
3930#define M_INGRESS2_BASE 0xffffU
3931#define V_INGRESS2_BASE(x) ((x) << S_INGRESS2_BASE)
3932#define G_INGRESS2_BASE(x) (((x) >> S_INGRESS2_BASE) & M_INGRESS2_BASE)
3933
3934#define S_INGRESS1_BASE 0
3935#define M_INGRESS1_BASE 0xffffU
3936#define V_INGRESS1_BASE(x) ((x) << S_INGRESS1_BASE)
3937#define G_INGRESS1_BASE(x) (((x) >> S_INGRESS1_BASE) & M_INGRESS1_BASE)
3938
3939#define A_SGE_WC_EGRS_BAR2_OFF_VF 0x1320
3940
3941#define S_VFIQSPERPAGE 28
3942#define M_VFIQSPERPAGE 0xfU
3943#define V_VFIQSPERPAGE(x) ((x) << S_VFIQSPERPAGE)
3944#define G_VFIQSPERPAGE(x) (((x) >> S_VFIQSPERPAGE) & M_VFIQSPERPAGE)
3945
3946#define S_VFEQSPERPAGE 24
3947#define M_VFEQSPERPAGE 0xfU
3948#define V_VFEQSPERPAGE(x) ((x) << S_VFEQSPERPAGE)
3949#define G_VFEQSPERPAGE(x) (((x) >> S_VFEQSPERPAGE) & M_VFEQSPERPAGE)
3950
3951#define S_VFWCQSPERPAGE 20
3952#define M_VFWCQSPERPAGE 0xfU
3953#define V_VFWCQSPERPAGE(x) ((x) << S_VFWCQSPERPAGE)
3954#define G_VFWCQSPERPAGE(x) (((x) >> S_VFWCQSPERPAGE) & M_VFWCQSPERPAGE)
3955
3956#define S_VFWCOFFEN 19
3957#define V_VFWCOFFEN(x) ((x) << S_VFWCOFFEN)
3958#define F_VFWCOFFEN V_VFWCOFFEN(1U)
3959
3960#define S_VFMAXWCSIZE 17
3961#define M_VFMAXWCSIZE 0x3U
3962#define V_VFMAXWCSIZE(x) ((x) << S_VFMAXWCSIZE)
3963#define G_VFMAXWCSIZE(x) (((x) >> S_VFMAXWCSIZE) & M_VFMAXWCSIZE)
3964
3965#define S_VFWCOFFSET 0
3966#define M_VFWCOFFSET 0x1ffffU
3967#define V_VFWCOFFSET(x) ((x) << S_VFWCOFFSET)
3968#define G_VFWCOFFSET(x) (((x) >> S_VFWCOFFSET) & M_VFWCOFFSET)
3969
3970#define A_SGE_LA_RDPTR_0 0x1800
3971#define A_SGE_LA_RDDATA_0 0x1804
3972#define A_SGE_LA_WRPTR_0 0x1808
3973#define A_SGE_LA_RESERVED_0 0x180c
3974#define A_SGE_LA_RDPTR_1 0x1810
3975#define A_SGE_LA_RDDATA_1 0x1814
3976#define A_SGE_LA_WRPTR_1 0x1818
3977#define A_SGE_LA_RESERVED_1 0x181c
3978#define A_SGE_LA_RDPTR_2 0x1820
3979#define A_SGE_LA_RDDATA_2 0x1824
3980#define A_SGE_LA_WRPTR_2 0x1828
3981#define A_SGE_LA_RESERVED_2 0x182c
3982#define A_SGE_LA_RDPTR_3 0x1830
3983#define A_SGE_LA_RDDATA_3 0x1834
3984#define A_SGE_LA_WRPTR_3 0x1838
3985#define A_SGE_LA_RESERVED_3 0x183c
3986#define A_SGE_LA_RDPTR_4 0x1840
3987#define A_SGE_LA_RDDATA_4 0x1844
3988#define A_SGE_LA_WRPTR_4 0x1848
3989#define A_SGE_LA_RESERVED_4 0x184c
3990#define A_SGE_LA_RDPTR_5 0x1850
3991#define A_SGE_LA_RDDATA_5 0x1854
3992#define A_SGE_LA_WRPTR_5 0x1858
3993#define A_SGE_LA_RESERVED_5 0x185c
3994#define A_SGE_LA_RDPTR_6 0x1860
3995#define A_SGE_LA_RDDATA_6 0x1864
3996#define A_SGE_LA_WRPTR_6 0x1868
3997#define A_SGE_LA_RESERVED_6 0x186c
3998#define A_SGE_LA_RDPTR_7 0x1870
3999#define A_SGE_LA_RDDATA_7 0x1874
4000#define A_SGE_LA_WRPTR_7 0x1878
4001#define A_SGE_LA_RESERVED_7 0x187c
4002#define A_SGE_LA_RDPTR_8 0x1880
4003#define A_SGE_LA_RDDATA_8 0x1884
4004#define A_SGE_LA_WRPTR_8 0x1888
4005#define A_SGE_LA_RESERVED_8 0x188c
4006#define A_SGE_LA_RDPTR_9 0x1890
4007#define A_SGE_LA_RDDATA_9 0x1894
4008#define A_SGE_LA_WRPTR_9 0x1898
4009#define A_SGE_LA_RESERVED_9 0x189c
4010#define A_SGE_LA_RDPTR_10 0x18a0
4011#define A_SGE_LA_RDDATA_10 0x18a4
4012#define A_SGE_LA_WRPTR_10 0x18a8
4013#define A_SGE_LA_RESERVED_10 0x18ac
4014#define A_SGE_LA_RDPTR_11 0x18b0
4015#define A_SGE_LA_RDDATA_11 0x18b4
4016#define A_SGE_LA_WRPTR_11 0x18b8
4017#define A_SGE_LA_RESERVED_11 0x18bc
4018#define A_SGE_LA_RDPTR_12 0x18c0
4019#define A_SGE_LA_RDDATA_12 0x18c4
4020#define A_SGE_LA_WRPTR_12 0x18c8
4021#define A_SGE_LA_RESERVED_12 0x18cc
4022#define A_SGE_LA_RDPTR_13 0x18d0
4023#define A_SGE_LA_RDDATA_13 0x18d4
4024#define A_SGE_LA_WRPTR_13 0x18d8
4025#define A_SGE_LA_RESERVED_13 0x18dc
4026#define A_SGE_LA_RDPTR_14 0x18e0
4027#define A_SGE_LA_RDDATA_14 0x18e4
4028#define A_SGE_LA_WRPTR_14 0x18e8
4029#define A_SGE_LA_RESERVED_14 0x18ec
4030#define A_SGE_LA_RDPTR_15 0x18f0
4031#define A_SGE_LA_RDDATA_15 0x18f4
4032#define A_SGE_LA_WRPTR_15 0x18f8
4033#define A_SGE_LA_RESERVED_15 0x18fc
4034
4035/* registers for module PCIE */
4036#define PCIE_BASE_ADDR 0x3000
4037
4038#define A_PCIE_PF_CFG 0x40
4039
4040#define S_INTXSTAT 16
4041#define V_INTXSTAT(x) ((x) << S_INTXSTAT)
4042#define F_INTXSTAT V_INTXSTAT(1U)
4043
4044#define S_AUXPWRPMEN 15
4045#define V_AUXPWRPMEN(x) ((x) << S_AUXPWRPMEN)
4046#define F_AUXPWRPMEN V_AUXPWRPMEN(1U)
4047
4048#define S_NOSOFTRESET 14
4049#define V_NOSOFTRESET(x) ((x) << S_NOSOFTRESET)
4050#define F_NOSOFTRESET V_NOSOFTRESET(1U)
4051
4052#define S_AIVEC 4
4053#define M_AIVEC 0x3ffU
4054#define V_AIVEC(x) ((x) << S_AIVEC)
4055#define G_AIVEC(x) (((x) >> S_AIVEC) & M_AIVEC)
4056
4057#define S_INTXTYPE 2
4058#define M_INTXTYPE 0x3U
4059#define V_INTXTYPE(x) ((x) << S_INTXTYPE)
4060#define G_INTXTYPE(x) (((x) >> S_INTXTYPE) & M_INTXTYPE)
4061
4062#define S_D3HOTEN 1
4063#define V_D3HOTEN(x) ((x) << S_D3HOTEN)
4064#define F_D3HOTEN V_D3HOTEN(1U)
4065
4066#define S_CLIDECEN 0
4067#define V_CLIDECEN(x) ((x) << S_CLIDECEN)
4068#define F_CLIDECEN V_CLIDECEN(1U)
4069
4070#define A_PCIE_PF_CLI 0x44
4071#define A_PCIE_PF_GEN_MSG 0x48
4072
4073#define S_MSGTYPE 0
4074#define M_MSGTYPE 0xffU
4075#define V_MSGTYPE(x) ((x) << S_MSGTYPE)
4076#define G_MSGTYPE(x) (((x) >> S_MSGTYPE) & M_MSGTYPE)
4077
4078#define A_PCIE_PF_EXPROM_OFST 0x4c
4079
4080#define S_OFFSET 10
4081#define M_OFFSET 0x3fffU
4082#define V_OFFSET(x) ((x) << S_OFFSET)
4083#define G_OFFSET(x) (((x) >> S_OFFSET) & M_OFFSET)
4084
4085#define A_PCIE_INT_ENABLE 0x3000
4086
4087#define S_NONFATALERR 30
4088#define V_NONFATALERR(x) ((x) << S_NONFATALERR)
4089#define F_NONFATALERR V_NONFATALERR(1U)
4090
4091#define S_UNXSPLCPLERR 29
4092#define V_UNXSPLCPLERR(x) ((x) << S_UNXSPLCPLERR)
4093#define F_UNXSPLCPLERR V_UNXSPLCPLERR(1U)
4094
4095#define S_PCIEPINT 28
4096#define V_PCIEPINT(x) ((x) << S_PCIEPINT)
4097#define F_PCIEPINT V_PCIEPINT(1U)
4098
4099#define S_PCIESINT 27
4100#define V_PCIESINT(x) ((x) << S_PCIESINT)
4101#define F_PCIESINT V_PCIESINT(1U)
4102
4103#define S_RPLPERR 26
4104#define V_RPLPERR(x) ((x) << S_RPLPERR)
4105#define F_RPLPERR V_RPLPERR(1U)
4106
4107#define S_RXWRPERR 25
4108#define V_RXWRPERR(x) ((x) << S_RXWRPERR)
4109#define F_RXWRPERR V_RXWRPERR(1U)
4110
4111#define S_RXCPLPERR 24
4112#define V_RXCPLPERR(x) ((x) << S_RXCPLPERR)
4113#define F_RXCPLPERR V_RXCPLPERR(1U)
4114
4115#define S_PIOTAGPERR 23
4116#define V_PIOTAGPERR(x) ((x) << S_PIOTAGPERR)
4117#define F_PIOTAGPERR V_PIOTAGPERR(1U)
4118
4119#define S_MATAGPERR 22
4120#define V_MATAGPERR(x) ((x) << S_MATAGPERR)
4121#define F_MATAGPERR V_MATAGPERR(1U)
4122
4123#define S_INTXCLRPERR 21
4124#define V_INTXCLRPERR(x) ((x) << S_INTXCLRPERR)
4125#define F_INTXCLRPERR V_INTXCLRPERR(1U)
4126
4127#define S_FIDPERR 20
4128#define V_FIDPERR(x) ((x) << S_FIDPERR)
4129#define F_FIDPERR V_FIDPERR(1U)
4130
4131#define S_CFGSNPPERR 19
4132#define V_CFGSNPPERR(x) ((x) << S_CFGSNPPERR)
4133#define F_CFGSNPPERR V_CFGSNPPERR(1U)
4134
4135#define S_HRSPPERR 18
4136#define V_HRSPPERR(x) ((x) << S_HRSPPERR)
4137#define F_HRSPPERR V_HRSPPERR(1U)
4138
4139#define S_HREQPERR 17
4140#define V_HREQPERR(x) ((x) << S_HREQPERR)
4141#define F_HREQPERR V_HREQPERR(1U)
4142
4143#define S_HCNTPERR 16
4144#define V_HCNTPERR(x) ((x) << S_HCNTPERR)
4145#define F_HCNTPERR V_HCNTPERR(1U)
4146
4147#define S_DRSPPERR 15
4148#define V_DRSPPERR(x) ((x) << S_DRSPPERR)
4149#define F_DRSPPERR V_DRSPPERR(1U)
4150
4151#define S_DREQPERR 14
4152#define V_DREQPERR(x) ((x) << S_DREQPERR)
4153#define F_DREQPERR V_DREQPERR(1U)
4154
4155#define S_DCNTPERR 13
4156#define V_DCNTPERR(x) ((x) << S_DCNTPERR)
4157#define F_DCNTPERR V_DCNTPERR(1U)
4158
4159#define S_CRSPPERR 12
4160#define V_CRSPPERR(x) ((x) << S_CRSPPERR)
4161#define F_CRSPPERR V_CRSPPERR(1U)
4162
4163#define S_CREQPERR 11
4164#define V_CREQPERR(x) ((x) << S_CREQPERR)
4165#define F_CREQPERR V_CREQPERR(1U)
4166
4167#define S_CCNTPERR 10
4168#define V_CCNTPERR(x) ((x) << S_CCNTPERR)
4169#define F_CCNTPERR V_CCNTPERR(1U)
4170
4171#define S_TARTAGPERR 9
4172#define V_TARTAGPERR(x) ((x) << S_TARTAGPERR)
4173#define F_TARTAGPERR V_TARTAGPERR(1U)
4174
4175#define S_PIOREQPERR 8
4176#define V_PIOREQPERR(x) ((x) << S_PIOREQPERR)
4177#define F_PIOREQPERR V_PIOREQPERR(1U)
4178
4179#define S_PIOCPLPERR 7
4180#define V_PIOCPLPERR(x) ((x) << S_PIOCPLPERR)
4181#define F_PIOCPLPERR V_PIOCPLPERR(1U)
4182
4183#define S_MSIXDIPERR 6
4184#define V_MSIXDIPERR(x) ((x) << S_MSIXDIPERR)
4185#define F_MSIXDIPERR V_MSIXDIPERR(1U)
4186
4187#define S_MSIXDATAPERR 5
4188#define V_MSIXDATAPERR(x) ((x) << S_MSIXDATAPERR)
4189#define F_MSIXDATAPERR V_MSIXDATAPERR(1U)
4190
4191#define S_MSIXADDRHPERR 4
4192#define V_MSIXADDRHPERR(x) ((x) << S_MSIXADDRHPERR)
4193#define F_MSIXADDRHPERR V_MSIXADDRHPERR(1U)
4194
4195#define S_MSIXADDRLPERR 3
4196#define V_MSIXADDRLPERR(x) ((x) << S_MSIXADDRLPERR)
4197#define F_MSIXADDRLPERR V_MSIXADDRLPERR(1U)
4198
4199#define S_MSIDATAPERR 2
4200#define V_MSIDATAPERR(x) ((x) << S_MSIDATAPERR)
4201#define F_MSIDATAPERR V_MSIDATAPERR(1U)
4202
4203#define S_MSIADDRHPERR 1
4204#define V_MSIADDRHPERR(x) ((x) << S_MSIADDRHPERR)
4205#define F_MSIADDRHPERR V_MSIADDRHPERR(1U)
4206
4207#define S_MSIADDRLPERR 0
4208#define V_MSIADDRLPERR(x) ((x) << S_MSIADDRLPERR)
4209#define F_MSIADDRLPERR V_MSIADDRLPERR(1U)
4210
4211#define S_IPGRPPERR 31
4212#define V_IPGRPPERR(x) ((x) << S_IPGRPPERR)
4213#define F_IPGRPPERR V_IPGRPPERR(1U)
4214
4215#define S_READRSPERR 29
4216#define V_READRSPERR(x) ((x) << S_READRSPERR)
4217#define F_READRSPERR V_READRSPERR(1U)
4218
4219#define S_TRGT1GRPPERR 28
4220#define V_TRGT1GRPPERR(x) ((x) << S_TRGT1GRPPERR)
4221#define F_TRGT1GRPPERR V_TRGT1GRPPERR(1U)
4222
4223#define S_IPSOTPERR 27
4224#define V_IPSOTPERR(x) ((x) << S_IPSOTPERR)
4225#define F_IPSOTPERR V_IPSOTPERR(1U)
4226
4227#define S_IPRETRYPERR 26
4228#define V_IPRETRYPERR(x) ((x) << S_IPRETRYPERR)
4229#define F_IPRETRYPERR V_IPRETRYPERR(1U)
4230
4231#define S_IPRXDATAGRPPERR 25
4232#define V_IPRXDATAGRPPERR(x) ((x) << S_IPRXDATAGRPPERR)
4233#define F_IPRXDATAGRPPERR V_IPRXDATAGRPPERR(1U)
4234
4235#define S_IPRXHDRGRPPERR 24
4236#define V_IPRXHDRGRPPERR(x) ((x) << S_IPRXHDRGRPPERR)
4237#define F_IPRXHDRGRPPERR V_IPRXHDRGRPPERR(1U)
4238
4239#define S_PIOTAGQPERR 23
4240#define V_PIOTAGQPERR(x) ((x) << S_PIOTAGQPERR)
4241#define F_PIOTAGQPERR V_PIOTAGQPERR(1U)
4242
4243#define S_MAGRPPERR 22
4244#define V_MAGRPPERR(x) ((x) << S_MAGRPPERR)
4245#define F_MAGRPPERR V_MAGRPPERR(1U)
4246
4247#define S_VFIDPERR 21
4248#define V_VFIDPERR(x) ((x) << S_VFIDPERR)
4249#define F_VFIDPERR V_VFIDPERR(1U)
4250
4251#define S_HREQRDPERR 17
4252#define V_HREQRDPERR(x) ((x) << S_HREQRDPERR)
4253#define F_HREQRDPERR V_HREQRDPERR(1U)
4254
4255#define S_HREQWRPERR 16
4256#define V_HREQWRPERR(x) ((x) << S_HREQWRPERR)
4257#define F_HREQWRPERR V_HREQWRPERR(1U)
4258
4259#define S_DREQRDPERR 14
4260#define V_DREQRDPERR(x) ((x) << S_DREQRDPERR)
4261#define F_DREQRDPERR V_DREQRDPERR(1U)
4262
4263#define S_DREQWRPERR 13
4264#define V_DREQWRPERR(x) ((x) << S_DREQWRPERR)
4265#define F_DREQWRPERR V_DREQWRPERR(1U)
4266
4267#define S_CREQRDPERR 11
4268#define V_CREQRDPERR(x) ((x) << S_CREQRDPERR)
4269#define F_CREQRDPERR V_CREQRDPERR(1U)
4270
4271#define S_MSTTAGQPERR 10
4272#define V_MSTTAGQPERR(x) ((x) << S_MSTTAGQPERR)
4273#define F_MSTTAGQPERR V_MSTTAGQPERR(1U)
4274
4275#define S_TGTTAGQPERR 9
4276#define V_TGTTAGQPERR(x) ((x) << S_TGTTAGQPERR)
4277#define F_TGTTAGQPERR V_TGTTAGQPERR(1U)
4278
4279#define S_PIOREQGRPPERR 8
4280#define V_PIOREQGRPPERR(x) ((x) << S_PIOREQGRPPERR)
4281#define F_PIOREQGRPPERR V_PIOREQGRPPERR(1U)
4282
4283#define S_PIOCPLGRPPERR 7
4284#define V_PIOCPLGRPPERR(x) ((x) << S_PIOCPLGRPPERR)
4285#define F_PIOCPLGRPPERR V_PIOCPLGRPPERR(1U)
4286
4287#define S_MSIXSTIPERR 2
4288#define V_MSIXSTIPERR(x) ((x) << S_MSIXSTIPERR)
4289#define F_MSIXSTIPERR V_MSIXSTIPERR(1U)
4290
4291#define S_MSTTIMEOUTPERR 1
4292#define V_MSTTIMEOUTPERR(x) ((x) << S_MSTTIMEOUTPERR)
4293#define F_MSTTIMEOUTPERR V_MSTTIMEOUTPERR(1U)
4294
4295#define S_MSTGRPPERR 0
4296#define V_MSTGRPPERR(x) ((x) << S_MSTGRPPERR)
4297#define F_MSTGRPPERR V_MSTGRPPERR(1U)
4298
4299#define A_PCIE_INT_CAUSE 0x3004
4300#define A_PCIE_PERR_ENABLE 0x3008
4301#define A_PCIE_PERR_INJECT 0x300c
4302
4303#define S_IDE 0
4304#define V_IDE(x) ((x) << S_IDE)
4305#define F_IDE V_IDE(1U)
4306
4307#define S_MEMSEL_PCIE 1
4308#define M_MEMSEL_PCIE 0x1fU
4309#define V_MEMSEL_PCIE(x) ((x) << S_MEMSEL_PCIE)
4310#define G_MEMSEL_PCIE(x) (((x) >> S_MEMSEL_PCIE) & M_MEMSEL_PCIE)
4311
4312#define A_PCIE_NONFAT_ERR 0x3010
4313
4314#define S_RDRSPERR 9
4315#define V_RDRSPERR(x) ((x) << S_RDRSPERR)
4316#define F_RDRSPERR V_RDRSPERR(1U)
4317
4318#define S_VPDRSPERR 8
4319#define V_VPDRSPERR(x) ((x) << S_VPDRSPERR)
4320#define F_VPDRSPERR V_VPDRSPERR(1U)
4321
4322#define S_POPD 7
4323#define V_POPD(x) ((x) << S_POPD)
4324#define F_POPD V_POPD(1U)
4325
4326#define S_POPH 6
4327#define V_POPH(x) ((x) << S_POPH)
4328#define F_POPH V_POPH(1U)
4329
4330#define S_POPC 5
4331#define V_POPC(x) ((x) << S_POPC)
4332#define F_POPC V_POPC(1U)
4333
4334#define S_MEMREQ 4
4335#define V_MEMREQ(x) ((x) << S_MEMREQ)
4336#define F_MEMREQ V_MEMREQ(1U)
4337
4338#define S_PIOREQ 3
4339#define V_PIOREQ(x) ((x) << S_PIOREQ)
4340#define F_PIOREQ V_PIOREQ(1U)
4341
4342#define S_TAGDROP 2
4343#define V_TAGDROP(x) ((x) << S_TAGDROP)
4344#define F_TAGDROP V_TAGDROP(1U)
4345
4346#define S_TAGCPL 1
4347#define V_TAGCPL(x) ((x) << S_TAGCPL)
4348#define F_TAGCPL V_TAGCPL(1U)
4349
4350#define S_CFGSNP 0
4351#define V_CFGSNP(x) ((x) << S_CFGSNP)
4352#define F_CFGSNP V_CFGSNP(1U)
4353
4354#define S_MAREQTIMEOUT 29
4355#define V_MAREQTIMEOUT(x) ((x) << S_MAREQTIMEOUT)
4356#define F_MAREQTIMEOUT V_MAREQTIMEOUT(1U)
4357
4358#define S_TRGT1BARTYPEERR 28
4359#define V_TRGT1BARTYPEERR(x) ((x) << S_TRGT1BARTYPEERR)
4360#define F_TRGT1BARTYPEERR V_TRGT1BARTYPEERR(1U)
4361
4362#define S_MAEXTRARSPERR 27
4363#define V_MAEXTRARSPERR(x) ((x) << S_MAEXTRARSPERR)
4364#define F_MAEXTRARSPERR V_MAEXTRARSPERR(1U)
4365
4366#define S_MARSPTIMEOUT 26
4367#define V_MARSPTIMEOUT(x) ((x) << S_MARSPTIMEOUT)
4368#define F_MARSPTIMEOUT V_MARSPTIMEOUT(1U)
4369
4370#define S_INTVFALLMSIDISERR 25
4371#define V_INTVFALLMSIDISERR(x) ((x) << S_INTVFALLMSIDISERR)
4372#define F_INTVFALLMSIDISERR V_INTVFALLMSIDISERR(1U)
4373
4374#define S_INTVFRANGEERR 24
4375#define V_INTVFRANGEERR(x) ((x) << S_INTVFRANGEERR)
4376#define F_INTVFRANGEERR V_INTVFRANGEERR(1U)
4377
4378#define S_INTPLIRSPERR 23
4379#define V_INTPLIRSPERR(x) ((x) << S_INTPLIRSPERR)
4380#define F_INTPLIRSPERR V_INTPLIRSPERR(1U)
4381
4382#define S_MEMREQRDTAGERR 22
4383#define V_MEMREQRDTAGERR(x) ((x) << S_MEMREQRDTAGERR)
4384#define F_MEMREQRDTAGERR V_MEMREQRDTAGERR(1U)
4385
4386#define S_CFGINITDONEERR 21
4387#define V_CFGINITDONEERR(x) ((x) << S_CFGINITDONEERR)
4388#define F_CFGINITDONEERR V_CFGINITDONEERR(1U)
4389
4390#define S_BAR2TIMEOUT 20
4391#define V_BAR2TIMEOUT(x) ((x) << S_BAR2TIMEOUT)
4392#define F_BAR2TIMEOUT V_BAR2TIMEOUT(1U)
4393
4394#define S_VPDTIMEOUT 19
4395#define V_VPDTIMEOUT(x) ((x) << S_VPDTIMEOUT)
4396#define F_VPDTIMEOUT V_VPDTIMEOUT(1U)
4397
4398#define S_MEMRSPRDTAGERR 18
4399#define V_MEMRSPRDTAGERR(x) ((x) << S_MEMRSPRDTAGERR)
4400#define F_MEMRSPRDTAGERR V_MEMRSPRDTAGERR(1U)
4401
4402#define S_MEMRSPWRTAGERR 17
4403#define V_MEMRSPWRTAGERR(x) ((x) << S_MEMRSPWRTAGERR)
4404#define F_MEMRSPWRTAGERR V_MEMRSPWRTAGERR(1U)
4405
4406#define S_PIORSPRDTAGERR 16
4407#define V_PIORSPRDTAGERR(x) ((x) << S_PIORSPRDTAGERR)
4408#define F_PIORSPRDTAGERR V_PIORSPRDTAGERR(1U)
4409
4410#define S_PIORSPWRTAGERR 15
4411#define V_PIORSPWRTAGERR(x) ((x) << S_PIORSPWRTAGERR)
4412#define F_PIORSPWRTAGERR V_PIORSPWRTAGERR(1U)
4413
4414#define S_DBITIMEOUT 14
4415#define V_DBITIMEOUT(x) ((x) << S_DBITIMEOUT)
4416#define F_DBITIMEOUT V_DBITIMEOUT(1U)
4417
4418#define S_PIOUNALINDWR 13
4419#define V_PIOUNALINDWR(x) ((x) << S_PIOUNALINDWR)
4420#define F_PIOUNALINDWR V_PIOUNALINDWR(1U)
4421
4422#define S_BAR2RDERR 12
4423#define V_BAR2RDERR(x) ((x) << S_BAR2RDERR)
4424#define F_BAR2RDERR V_BAR2RDERR(1U)
4425
4426#define S_MAWREOPERR 11
4427#define V_MAWREOPERR(x) ((x) << S_MAWREOPERR)
4428#define F_MAWREOPERR V_MAWREOPERR(1U)
4429
4430#define S_MARDEOPERR 10
4431#define V_MARDEOPERR(x) ((x) << S_MARDEOPERR)
4432#define F_MARDEOPERR V_MARDEOPERR(1U)
4433
4434#define S_BAR2REQ 2
4435#define V_BAR2REQ(x) ((x) << S_BAR2REQ)
4436#define F_BAR2REQ V_BAR2REQ(1U)
4437
4438#define S_MARSPUE 30
4439#define V_MARSPUE(x) ((x) << S_MARSPUE)
4440#define F_MARSPUE V_MARSPUE(1U)
4441
4442#define S_KDBEOPERR 7
4443#define V_KDBEOPERR(x) ((x) << S_KDBEOPERR)
4444#define F_KDBEOPERR V_KDBEOPERR(1U)
4445
4446#define A_PCIE_CFG 0x3014
4447
4448#define S_CFGDMAXPYLDSZRX 26
4449#define M_CFGDMAXPYLDSZRX 0x7U
4450#define V_CFGDMAXPYLDSZRX(x) ((x) << S_CFGDMAXPYLDSZRX)
4451#define G_CFGDMAXPYLDSZRX(x) (((x) >> S_CFGDMAXPYLDSZRX) & M_CFGDMAXPYLDSZRX)
4452
4453#define S_CFGDMAXPYLDSZTX 23
4454#define M_CFGDMAXPYLDSZTX 0x7U
4455#define V_CFGDMAXPYLDSZTX(x) ((x) << S_CFGDMAXPYLDSZTX)
4456#define G_CFGDMAXPYLDSZTX(x) (((x) >> S_CFGDMAXPYLDSZTX) & M_CFGDMAXPYLDSZTX)
4457
4458#define S_CFGDMAXRDREQSZ 20
4459#define M_CFGDMAXRDREQSZ 0x7U
4460#define V_CFGDMAXRDREQSZ(x) ((x) << S_CFGDMAXRDREQSZ)
4461#define G_CFGDMAXRDREQSZ(x) (((x) >> S_CFGDMAXRDREQSZ) & M_CFGDMAXRDREQSZ)
4462
4463#define S_MASYNCEN 19
4464#define V_MASYNCEN(x) ((x) << S_MASYNCEN)
4465#define F_MASYNCEN V_MASYNCEN(1U)
4466
4467#define S_DCAENDMA 18
4468#define V_DCAENDMA(x) ((x) << S_DCAENDMA)
4469#define F_DCAENDMA V_DCAENDMA(1U)
4470
4471#define S_DCAENCMD 17
4472#define V_DCAENCMD(x) ((x) << S_DCAENCMD)
4473#define F_DCAENCMD V_DCAENCMD(1U)
4474
4475#define S_VFMSIPNDEN 16
4476#define V_VFMSIPNDEN(x) ((x) << S_VFMSIPNDEN)
4477#define F_VFMSIPNDEN V_VFMSIPNDEN(1U)
4478
4479#define S_FORCETXERROR 15
4480#define V_FORCETXERROR(x) ((x) << S_FORCETXERROR)
4481#define F_FORCETXERROR V_FORCETXERROR(1U)
4482
4483#define S_VPDREQPROTECT 14
4484#define V_VPDREQPROTECT(x) ((x) << S_VPDREQPROTECT)
4485#define F_VPDREQPROTECT V_VPDREQPROTECT(1U)
4486
4487#define S_FIDTABLEINVALID 13
4488#define V_FIDTABLEINVALID(x) ((x) << S_FIDTABLEINVALID)
4489#define F_FIDTABLEINVALID V_FIDTABLEINVALID(1U)
4490
4491#define S_BYPASSMSIXCACHE 12
4492#define V_BYPASSMSIXCACHE(x) ((x) << S_BYPASSMSIXCACHE)
4493#define F_BYPASSMSIXCACHE V_BYPASSMSIXCACHE(1U)
4494
4495#define S_BYPASSMSICACHE 11
4496#define V_BYPASSMSICACHE(x) ((x) << S_BYPASSMSICACHE)
4497#define F_BYPASSMSICACHE V_BYPASSMSICACHE(1U)
4498
4499#define S_SIMSPEED 10
4500#define V_SIMSPEED(x) ((x) << S_SIMSPEED)
4501#define F_SIMSPEED V_SIMSPEED(1U)
4502
4503#define S_TC0_STAMP 9
4504#define V_TC0_STAMP(x) ((x) << S_TC0_STAMP)
4505#define F_TC0_STAMP V_TC0_STAMP(1U)
4506
4507#define S_AI_TCVAL 6
4508#define M_AI_TCVAL 0x7U
4509#define V_AI_TCVAL(x) ((x) << S_AI_TCVAL)
4510#define G_AI_TCVAL(x) (((x) >> S_AI_TCVAL) & M_AI_TCVAL)
4511
4512#define S_DMASTOPEN 5
4513#define V_DMASTOPEN(x) ((x) << S_DMASTOPEN)
4514#define F_DMASTOPEN V_DMASTOPEN(1U)
4515
4516#define S_DEVSTATERSTMODE 4
4517#define V_DEVSTATERSTMODE(x) ((x) << S_DEVSTATERSTMODE)
4518#define F_DEVSTATERSTMODE V_DEVSTATERSTMODE(1U)
4519
4520#define S_HOTRSTPCIECRSTMODE 3
4521#define V_HOTRSTPCIECRSTMODE(x) ((x) << S_HOTRSTPCIECRSTMODE)
4522#define F_HOTRSTPCIECRSTMODE V_HOTRSTPCIECRSTMODE(1U)
4523
4524#define S_DLDNPCIECRSTMODE 2
4525#define V_DLDNPCIECRSTMODE(x) ((x) << S_DLDNPCIECRSTMODE)
4526#define F_DLDNPCIECRSTMODE V_DLDNPCIECRSTMODE(1U)
4527
4528#define S_DLDNPCIEPRECRSTMODE 1
4529#define V_DLDNPCIEPRECRSTMODE(x) ((x) << S_DLDNPCIEPRECRSTMODE)
4530#define F_DLDNPCIEPRECRSTMODE V_DLDNPCIEPRECRSTMODE(1U)
4531
4532#define S_LINKDNRSTEN 0
4533#define V_LINKDNRSTEN(x) ((x) << S_LINKDNRSTEN)
4534#define F_LINKDNRSTEN V_LINKDNRSTEN(1U)
4535
4536#define S_T5_PIOSTOPEN 31
4537#define V_T5_PIOSTOPEN(x) ((x) << S_T5_PIOSTOPEN)
4538#define F_T5_PIOSTOPEN V_T5_PIOSTOPEN(1U)
4539
4540#define S_DIAGCTRLBUS 28
4541#define M_DIAGCTRLBUS 0x7U
4542#define V_DIAGCTRLBUS(x) ((x) << S_DIAGCTRLBUS)
4543#define G_DIAGCTRLBUS(x) (((x) >> S_DIAGCTRLBUS) & M_DIAGCTRLBUS)
4544
4545#define S_IPPERREN 27
4546#define V_IPPERREN(x) ((x) << S_IPPERREN)
4547#define F_IPPERREN V_IPPERREN(1U)
4548
4549#define S_CFGDEXTTAGEN 26
4550#define V_CFGDEXTTAGEN(x) ((x) << S_CFGDEXTTAGEN)
4551#define F_CFGDEXTTAGEN V_CFGDEXTTAGEN(1U)
4552
4553#define S_CFGDMAXPYLDSZ 23
4554#define M_CFGDMAXPYLDSZ 0x7U
4555#define V_CFGDMAXPYLDSZ(x) ((x) << S_CFGDMAXPYLDSZ)
4556#define G_CFGDMAXPYLDSZ(x) (((x) >> S_CFGDMAXPYLDSZ) & M_CFGDMAXPYLDSZ)
4557
4558#define S_DCAEN 17
4559#define V_DCAEN(x) ((x) << S_DCAEN)
4560#define F_DCAEN V_DCAEN(1U)
4561
4562#define S_T5CMDREQPRIORITY 16
4563#define V_T5CMDREQPRIORITY(x) ((x) << S_T5CMDREQPRIORITY)
4564#define F_T5CMDREQPRIORITY V_T5CMDREQPRIORITY(1U)
4565
4566#define S_T5VPDREQPROTECT 14
4567#define M_T5VPDREQPROTECT 0x3U
4568#define V_T5VPDREQPROTECT(x) ((x) << S_T5VPDREQPROTECT)
4569#define G_T5VPDREQPROTECT(x) (((x) >> S_T5VPDREQPROTECT) & M_T5VPDREQPROTECT)
4570
4571#define S_DROPPEDRDRSPDATA 12
4572#define V_DROPPEDRDRSPDATA(x) ((x) << S_DROPPEDRDRSPDATA)
4573#define F_DROPPEDRDRSPDATA V_DROPPEDRDRSPDATA(1U)
4574
4575#define S_AI_INTX_REASSERTEN 11
4576#define V_AI_INTX_REASSERTEN(x) ((x) << S_AI_INTX_REASSERTEN)
4577#define F_AI_INTX_REASSERTEN V_AI_INTX_REASSERTEN(1U)
4578
4579#define S_AUTOTXNDISABLE 10
4580#define V_AUTOTXNDISABLE(x) ((x) << S_AUTOTXNDISABLE)
4581#define F_AUTOTXNDISABLE V_AUTOTXNDISABLE(1U)
4582
4583#define S_LINKREQRSTPCIECRSTMODE 3
4584#define V_LINKREQRSTPCIECRSTMODE(x) ((x) << S_LINKREQRSTPCIECRSTMODE)
4585#define F_LINKREQRSTPCIECRSTMODE V_LINKREQRSTPCIECRSTMODE(1U)
4586
4587#define S_T6_PIOSTOPEN 31
4588#define V_T6_PIOSTOPEN(x) ((x) << S_T6_PIOSTOPEN)
4589#define F_T6_PIOSTOPEN V_T6_PIOSTOPEN(1U)
4590
4591#define A_PCIE_DMA_CTRL 0x3018
4592
4593#define S_LITTLEENDIAN 7
4594#define V_LITTLEENDIAN(x) ((x) << S_LITTLEENDIAN)
4595#define F_LITTLEENDIAN V_LITTLEENDIAN(1U)
4596
4597#define A_PCIE_CFG2 0x3018
4598
4599#define S_VPDTIMER 16
4600#define M_VPDTIMER 0xffffU
4601#define V_VPDTIMER(x) ((x) << S_VPDTIMER)
4602#define G_VPDTIMER(x) (((x) >> S_VPDTIMER) & M_VPDTIMER)
4603
4604#define S_BAR2TIMER 4
4605#define M_BAR2TIMER 0xfffU
4606#define V_BAR2TIMER(x) ((x) << S_BAR2TIMER)
4607#define G_BAR2TIMER(x) (((x) >> S_BAR2TIMER) & M_BAR2TIMER)
4608
4609#define S_MSTREQRDRRASIMPLE 3
4610#define V_MSTREQRDRRASIMPLE(x) ((x) << S_MSTREQRDRRASIMPLE)
4611#define F_MSTREQRDRRASIMPLE V_MSTREQRDRRASIMPLE(1U)
4612
4613#define S_TOTMAXTAG 0
4614#define M_TOTMAXTAG 0x3U
4615#define V_TOTMAXTAG(x) ((x) << S_TOTMAXTAG)
4616#define G_TOTMAXTAG(x) (((x) >> S_TOTMAXTAG) & M_TOTMAXTAG)
4617
4618#define S_T6_TOTMAXTAG 0
4619#define M_T6_TOTMAXTAG 0x7U
4620#define V_T6_TOTMAXTAG(x) ((x) << S_T6_TOTMAXTAG)
4621#define G_T6_TOTMAXTAG(x) (((x) >> S_T6_TOTMAXTAG) & M_T6_TOTMAXTAG)
4622
4623#define A_PCIE_DMA_CFG 0x301c
4624
4625#define S_MAXPYLDSIZE 28
4626#define M_MAXPYLDSIZE 0x7U
4627#define V_MAXPYLDSIZE(x) ((x) << S_MAXPYLDSIZE)
4628#define G_MAXPYLDSIZE(x) (((x) >> S_MAXPYLDSIZE) & M_MAXPYLDSIZE)
4629
4630#define S_MAXRDREQSIZE 25
4631#define M_MAXRDREQSIZE 0x7U
4632#define V_MAXRDREQSIZE(x) ((x) << S_MAXRDREQSIZE)
4633#define G_MAXRDREQSIZE(x) (((x) >> S_MAXRDREQSIZE) & M_MAXRDREQSIZE)
4634
4635#define S_DMA_MAXRSPCNT 16
4636#define M_DMA_MAXRSPCNT 0x1ffU
4637#define V_DMA_MAXRSPCNT(x) ((x) << S_DMA_MAXRSPCNT)
4638#define G_DMA_MAXRSPCNT(x) (((x) >> S_DMA_MAXRSPCNT) & M_DMA_MAXRSPCNT)
4639
4640#define S_DMA_MAXREQCNT 8
4641#define M_DMA_MAXREQCNT 0xffU
4642#define V_DMA_MAXREQCNT(x) ((x) << S_DMA_MAXREQCNT)
4643#define G_DMA_MAXREQCNT(x) (((x) >> S_DMA_MAXREQCNT) & M_DMA_MAXREQCNT)
4644
4645#define S_MAXTAG 0
4646#define M_MAXTAG 0x7fU
4647#define V_MAXTAG(x) ((x) << S_MAXTAG)
4648#define G_MAXTAG(x) (((x) >> S_MAXTAG) & M_MAXTAG)
4649
4650#define A_PCIE_CFG3 0x301c
4651
4652#define S_AUTOPIOCOOKIEMATCH 6
4653#define V_AUTOPIOCOOKIEMATCH(x) ((x) << S_AUTOPIOCOOKIEMATCH)
4654#define F_AUTOPIOCOOKIEMATCH V_AUTOPIOCOOKIEMATCH(1U)
4655
4656#define S_FLRPNDCPLMODE 4
4657#define M_FLRPNDCPLMODE 0x3U
4658#define V_FLRPNDCPLMODE(x) ((x) << S_FLRPNDCPLMODE)
4659#define G_FLRPNDCPLMODE(x) (((x) >> S_FLRPNDCPLMODE) & M_FLRPNDCPLMODE)
4660
4661#define S_HMADCASTFIRSTONLY 2
4662#define V_HMADCASTFIRSTONLY(x) ((x) << S_HMADCASTFIRSTONLY)
4663#define F_HMADCASTFIRSTONLY V_HMADCASTFIRSTONLY(1U)
4664
4665#define S_CMDDCASTFIRSTONLY 1
4666#define V_CMDDCASTFIRSTONLY(x) ((x) << S_CMDDCASTFIRSTONLY)
4667#define F_CMDDCASTFIRSTONLY V_CMDDCASTFIRSTONLY(1U)
4668
4669#define S_DMADCASTFIRSTONLY 0
4670#define V_DMADCASTFIRSTONLY(x) ((x) << S_DMADCASTFIRSTONLY)
4671#define F_DMADCASTFIRSTONLY V_DMADCASTFIRSTONLY(1U)
4672
4673#define A_PCIE_DMA_STAT 0x3020
4674
4675#define S_STATEREQ 28
4676#define M_STATEREQ 0xfU
4677#define V_STATEREQ(x) ((x) << S_STATEREQ)
4678#define G_STATEREQ(x) (((x) >> S_STATEREQ) & M_STATEREQ)
4679
4680#define S_DMA_RSPCNT 16
4681#define M_DMA_RSPCNT 0xfffU
4682#define V_DMA_RSPCNT(x) ((x) << S_DMA_RSPCNT)
4683#define G_DMA_RSPCNT(x) (((x) >> S_DMA_RSPCNT) & M_DMA_RSPCNT)
4684
4685#define S_STATEAREQ 13
4686#define M_STATEAREQ 0x7U
4687#define V_STATEAREQ(x) ((x) << S_STATEAREQ)
4688#define G_STATEAREQ(x) (((x) >> S_STATEAREQ) & M_STATEAREQ)
4689
4690#define S_TAGFREE 12
4691#define V_TAGFREE(x) ((x) << S_TAGFREE)
4692#define F_TAGFREE V_TAGFREE(1U)
4693
4694#define S_DMA_REQCNT 0
4695#define M_DMA_REQCNT 0x7ffU
4696#define V_DMA_REQCNT(x) ((x) << S_DMA_REQCNT)
4697#define G_DMA_REQCNT(x) (((x) >> S_DMA_REQCNT) & M_DMA_REQCNT)
4698
4699#define A_PCIE_CFG4 0x3020
4700
4701#define S_L1CLKREMOVALEN 17
4702#define V_L1CLKREMOVALEN(x) ((x) << S_L1CLKREMOVALEN)
4703#define F_L1CLKREMOVALEN V_L1CLKREMOVALEN(1U)
4704
4705#define S_READYENTERL23 16
4706#define V_READYENTERL23(x) ((x) << S_READYENTERL23)
4707#define F_READYENTERL23 V_READYENTERL23(1U)
4708
4709#define S_EXITL1 12
4710#define V_EXITL1(x) ((x) << S_EXITL1)
4711#define F_EXITL1 V_EXITL1(1U)
4712
4713#define S_ENTERL1 8
4714#define V_ENTERL1(x) ((x) << S_ENTERL1)
4715#define F_ENTERL1 V_ENTERL1(1U)
4716
4717#define S_GENPME 0
4718#define M_GENPME 0xffU
4719#define V_GENPME(x) ((x) << S_GENPME)
4720#define G_GENPME(x) (((x) >> S_GENPME) & M_GENPME)
4721
4722#define A_PCIE_CFG5 0x3024
4723
4724#define S_ENABLESKPPARITYFIX 2
4725#define V_ENABLESKPPARITYFIX(x) ((x) << S_ENABLESKPPARITYFIX)
4726#define F_ENABLESKPPARITYFIX V_ENABLESKPPARITYFIX(1U)
4727
4728#define S_ENABLEL2ENTRYINL1 1
4729#define V_ENABLEL2ENTRYINL1(x) ((x) << S_ENABLEL2ENTRYINL1)
4730#define F_ENABLEL2ENTRYINL1 V_ENABLEL2ENTRYINL1(1U)
4731
4732#define S_HOLDCPLENTERINGL1 0
4733#define V_HOLDCPLENTERINGL1(x) ((x) << S_HOLDCPLENTERINGL1)
4734#define F_HOLDCPLENTERINGL1 V_HOLDCPLENTERINGL1(1U)
4735
4736#define A_PCIE_CFG6 0x3028
4737
4738#define S_PERSTTIMERCOUNT 12
4739#define M_PERSTTIMERCOUNT 0x3fffU
4740#define V_PERSTTIMERCOUNT(x) ((x) << S_PERSTTIMERCOUNT)
4741#define G_PERSTTIMERCOUNT(x) (((x) >> S_PERSTTIMERCOUNT) & M_PERSTTIMERCOUNT)
4742
4743#define S_PERSTTIMEOUT 8
4744#define V_PERSTTIMEOUT(x) ((x) << S_PERSTTIMEOUT)
4745#define F_PERSTTIMEOUT V_PERSTTIMEOUT(1U)
4746
4747#define S_PERSTTIMER 0
4748#define M_PERSTTIMER 0xfU
4749#define V_PERSTTIMER(x) ((x) << S_PERSTTIMER)
4750#define G_PERSTTIMER(x) (((x) >> S_PERSTTIMER) & M_PERSTTIMER)
4751
4752#define A_PCIE_CFG7 0x302c
4753#define A_PCIE_CMD_CTRL 0x303c
4754#define A_PCIE_CMD_CFG 0x3040
4755
4756#define S_MAXRSPCNT 16
4757#define M_MAXRSPCNT 0xfU
4758#define V_MAXRSPCNT(x) ((x) << S_MAXRSPCNT)
4759#define G_MAXRSPCNT(x) (((x) >> S_MAXRSPCNT) & M_MAXRSPCNT)
4760
4761#define S_MAXREQCNT 8
4762#define M_MAXREQCNT 0x1fU
4763#define V_MAXREQCNT(x) ((x) << S_MAXREQCNT)
4764#define G_MAXREQCNT(x) (((x) >> S_MAXREQCNT) & M_MAXREQCNT)
4765
4766#define A_PCIE_CMD_STAT 0x3044
4767
4768#define S_RSPCNT 16
4769#define M_RSPCNT 0x7fU
4770#define V_RSPCNT(x) ((x) << S_RSPCNT)
4771#define G_RSPCNT(x) (((x) >> S_RSPCNT) & M_RSPCNT)
4772
4773#define S_REQCNT 0
4774#define M_REQCNT 0xffU
4775#define V_REQCNT(x) ((x) << S_REQCNT)
4776#define G_REQCNT(x) (((x) >> S_REQCNT) & M_REQCNT)
4777
4778#define A_PCIE_HMA_CTRL 0x3050
4779
4780#define S_IPLTSSM 12
4781#define M_IPLTSSM 0xfU
4782#define V_IPLTSSM(x) ((x) << S_IPLTSSM)
4783#define G_IPLTSSM(x) (((x) >> S_IPLTSSM) & M_IPLTSSM)
4784
4785#define S_IPCONFIGDOWN 8
4786#define M_IPCONFIGDOWN 0x7U
4787#define V_IPCONFIGDOWN(x) ((x) << S_IPCONFIGDOWN)
4788#define G_IPCONFIGDOWN(x) (((x) >> S_IPCONFIGDOWN) & M_IPCONFIGDOWN)
4789
4790#define A_PCIE_HMA_CFG 0x3054
4791
4792#define S_HMA_MAXRSPCNT 16
4793#define M_HMA_MAXRSPCNT 0x1fU
4794#define V_HMA_MAXRSPCNT(x) ((x) << S_HMA_MAXRSPCNT)
4795#define G_HMA_MAXRSPCNT(x) (((x) >> S_HMA_MAXRSPCNT) & M_HMA_MAXRSPCNT)
4796
4797#define A_PCIE_HMA_STAT 0x3058
4798
4799#define S_HMA_RSPCNT 16
4800#define M_HMA_RSPCNT 0xffU
4801#define V_HMA_RSPCNT(x) ((x) << S_HMA_RSPCNT)
4802#define G_HMA_RSPCNT(x) (((x) >> S_HMA_RSPCNT) & M_HMA_RSPCNT)
4803
4804#define A_PCIE_PIO_FIFO_CFG 0x305c
4805
4806#define S_CPLCONFIG 16
4807#define M_CPLCONFIG 0xffffU
4808#define V_CPLCONFIG(x) ((x) << S_CPLCONFIG)
4809#define G_CPLCONFIG(x) (((x) >> S_CPLCONFIG) & M_CPLCONFIG)
4810
4811#define S_PIOSTOPEN 12
4812#define V_PIOSTOPEN(x) ((x) << S_PIOSTOPEN)
4813#define F_PIOSTOPEN V_PIOSTOPEN(1U)
4814
4815#define S_IPLANESWAP 11
4816#define V_IPLANESWAP(x) ((x) << S_IPLANESWAP)
4817#define F_IPLANESWAP V_IPLANESWAP(1U)
4818
4819#define S_FORCESTRICTTS1 10
4820#define V_FORCESTRICTTS1(x) ((x) << S_FORCESTRICTTS1)
4821#define F_FORCESTRICTTS1 V_FORCESTRICTTS1(1U)
4822
4823#define S_FORCEPROGRESSCNT 0
4824#define M_FORCEPROGRESSCNT 0x3ffU
4825#define V_FORCEPROGRESSCNT(x) ((x) << S_FORCEPROGRESSCNT)
4826#define G_FORCEPROGRESSCNT(x) (((x) >> S_FORCEPROGRESSCNT) & M_FORCEPROGRESSCNT)
4827
4828#define A_PCIE_CFG_SPACE_REQ 0x3060
4829
4830#define S_ENABLE 30
4831#define V_ENABLE(x) ((x) << S_ENABLE)
4832#define F_ENABLE V_ENABLE(1U)
4833
4834#define S_AI 29
4835#define V_AI(x) ((x) << S_AI)
4836#define F_AI V_AI(1U)
4837
4838#define S_LOCALCFG 28
4839#define V_LOCALCFG(x) ((x) << S_LOCALCFG)
4840#define F_LOCALCFG V_LOCALCFG(1U)
4841
4842#define S_BUS 20
4843#define M_BUS 0xffU
4844#define V_BUS(x) ((x) << S_BUS)
4845#define G_BUS(x) (((x) >> S_BUS) & M_BUS)
4846
4847#define S_DEVICE 15
4848#define M_DEVICE 0x1fU
4849#define V_DEVICE(x) ((x) << S_DEVICE)
4850#define G_DEVICE(x) (((x) >> S_DEVICE) & M_DEVICE)
4851
4852#define S_FUNCTION 12
4853#define M_FUNCTION 0x7U
4854#define V_FUNCTION(x) ((x) << S_FUNCTION)
4855#define G_FUNCTION(x) (((x) >> S_FUNCTION) & M_FUNCTION)
4856
4857#define S_EXTREGISTER 8
4858#define M_EXTREGISTER 0xfU
4859#define V_EXTREGISTER(x) ((x) << S_EXTREGISTER)
4860#define G_EXTREGISTER(x) (((x) >> S_EXTREGISTER) & M_EXTREGISTER)
4861
4862#define S_REGISTER 0
4863#define M_REGISTER 0xffU
4864#define V_REGISTER(x) ((x) << S_REGISTER)
4865#define G_REGISTER(x) (((x) >> S_REGISTER) & M_REGISTER)
4866
4867#define S_CS2 28
4868#define V_CS2(x) ((x) << S_CS2)
4869#define F_CS2 V_CS2(1U)
4870
4871#define S_WRBE 24
4872#define M_WRBE 0xfU
4873#define V_WRBE(x) ((x) << S_WRBE)
4874#define G_WRBE(x) (((x) >> S_WRBE) & M_WRBE)
4875
4876#define S_CFG_SPACE_VFVLD 23
4877#define V_CFG_SPACE_VFVLD(x) ((x) << S_CFG_SPACE_VFVLD)
4878#define F_CFG_SPACE_VFVLD V_CFG_SPACE_VFVLD(1U)
4879
4880#define S_CFG_SPACE_RVF 16
4881#define M_CFG_SPACE_RVF 0x7fU
4882#define V_CFG_SPACE_RVF(x) ((x) << S_CFG_SPACE_RVF)
4883#define G_CFG_SPACE_RVF(x) (((x) >> S_CFG_SPACE_RVF) & M_CFG_SPACE_RVF)
4884
4885#define S_CFG_SPACE_PF 12
4886#define M_CFG_SPACE_PF 0x7U
4887#define V_CFG_SPACE_PF(x) ((x) << S_CFG_SPACE_PF)
4888#define G_CFG_SPACE_PF(x) (((x) >> S_CFG_SPACE_PF) & M_CFG_SPACE_PF)
4889
4890#define S_T6_ENABLE 31
4891#define V_T6_ENABLE(x) ((x) << S_T6_ENABLE)
4892#define F_T6_ENABLE V_T6_ENABLE(1U)
4893
4894#define S_T6_AI 30
4895#define V_T6_AI(x) ((x) << S_T6_AI)
4896#define F_T6_AI V_T6_AI(1U)
4897
4898#define S_T6_CS2 29
4899#define V_T6_CS2(x) ((x) << S_T6_CS2)
4900#define F_T6_CS2 V_T6_CS2(1U)
4901
4902#define S_T6_WRBE 25
4903#define M_T6_WRBE 0xfU
4904#define V_T6_WRBE(x) ((x) << S_T6_WRBE)
4905#define G_T6_WRBE(x) (((x) >> S_T6_WRBE) & M_T6_WRBE)
4906
4907#define S_T6_CFG_SPACE_VFVLD 24
4908#define V_T6_CFG_SPACE_VFVLD(x) ((x) << S_T6_CFG_SPACE_VFVLD)
4909#define F_T6_CFG_SPACE_VFVLD V_T6_CFG_SPACE_VFVLD(1U)
4910
4911#define S_T6_CFG_SPACE_RVF 16
4912#define M_T6_CFG_SPACE_RVF 0xffU
4913#define V_T6_CFG_SPACE_RVF(x) ((x) << S_T6_CFG_SPACE_RVF)
4914#define G_T6_CFG_SPACE_RVF(x) (((x) >> S_T6_CFG_SPACE_RVF) & M_T6_CFG_SPACE_RVF)
4915
4916#define A_PCIE_CFG_SPACE_DATA 0x3064
4917#define A_PCIE_MEM_ACCESS_BASE_WIN 0x3068
4918
4919#define S_PCIEOFST 10
4920#define M_PCIEOFST 0x3fffffU
4921#define V_PCIEOFST(x) ((x) << S_PCIEOFST)
4922#define G_PCIEOFST(x) (((x) >> S_PCIEOFST) & M_PCIEOFST)
4923
4924#define S_BIR 8
4925#define M_BIR 0x3U
4926#define V_BIR(x) ((x) << S_BIR)
4927#define G_BIR(x) (((x) >> S_BIR) & M_BIR)
4928
4929#define S_WINDOW 0
4930#define M_WINDOW 0xffU
4931#define V_WINDOW(x) ((x) << S_WINDOW)
4932#define G_WINDOW(x) (((x) >> S_WINDOW) & M_WINDOW)
4933
4934#define A_PCIE_MEM_ACCESS_OFFSET 0x306c
4935
4936#define S_MEMOFST 7
4937#define M_MEMOFST 0x1ffffffU
4938#define V_MEMOFST(x) ((x) << S_MEMOFST)
4939#define G_MEMOFST(x) (((x) >> S_MEMOFST) & M_MEMOFST)
4940
4941#define A_PCIE_MAILBOX_BASE_WIN 0x30a8
4942
4943#define S_MBOXPCIEOFST 6
4944#define M_MBOXPCIEOFST 0x3ffffffU
4945#define V_MBOXPCIEOFST(x) ((x) << S_MBOXPCIEOFST)
4946#define G_MBOXPCIEOFST(x) (((x) >> S_MBOXPCIEOFST) & M_MBOXPCIEOFST)
4947
4948#define S_MBOXBIR 4
4949#define M_MBOXBIR 0x3U
4950#define V_MBOXBIR(x) ((x) << S_MBOXBIR)
4951#define G_MBOXBIR(x) (((x) >> S_MBOXBIR) & M_MBOXBIR)
4952
4953#define S_MBOXWIN 0
4954#define M_MBOXWIN 0x3U
4955#define V_MBOXWIN(x) ((x) << S_MBOXWIN)
4956#define G_MBOXWIN(x) (((x) >> S_MBOXWIN) & M_MBOXWIN)
4957
4958#define A_PCIE_MAILBOX_OFFSET 0x30ac
4959#define A_PCIE_MA_CTRL 0x30b0
4960
4961#define S_MA_TAGFREE 29
4962#define V_MA_TAGFREE(x) ((x) << S_MA_TAGFREE)
4963#define F_MA_TAGFREE V_MA_TAGFREE(1U)
4964
4965#define S_MA_MAXRSPCNT 24
4966#define M_MA_MAXRSPCNT 0x1fU
4967#define V_MA_MAXRSPCNT(x) ((x) << S_MA_MAXRSPCNT)
4968#define G_MA_MAXRSPCNT(x) (((x) >> S_MA_MAXRSPCNT) & M_MA_MAXRSPCNT)
4969
4970#define S_MA_MAXREQCNT 16
4971#define M_MA_MAXREQCNT 0x1fU
4972#define V_MA_MAXREQCNT(x) ((x) << S_MA_MAXREQCNT)
4973#define G_MA_MAXREQCNT(x) (((x) >> S_MA_MAXREQCNT) & M_MA_MAXREQCNT)
4974
4975#define S_MA_LE 15
4976#define V_MA_LE(x) ((x) << S_MA_LE)
4977#define F_MA_LE V_MA_LE(1U)
4978
4979#define S_MA_MAXPYLDSIZE 12
4980#define M_MA_MAXPYLDSIZE 0x7U
4981#define V_MA_MAXPYLDSIZE(x) ((x) << S_MA_MAXPYLDSIZE)
4982#define G_MA_MAXPYLDSIZE(x) (((x) >> S_MA_MAXPYLDSIZE) & M_MA_MAXPYLDSIZE)
4983
4984#define S_MA_MAXRDREQSIZE 8
4985#define M_MA_MAXRDREQSIZE 0x7U
4986#define V_MA_MAXRDREQSIZE(x) ((x) << S_MA_MAXRDREQSIZE)
4987#define G_MA_MAXRDREQSIZE(x) (((x) >> S_MA_MAXRDREQSIZE) & M_MA_MAXRDREQSIZE)
4988
4989#define S_MA_MAXTAG 0
4990#define M_MA_MAXTAG 0x1fU
4991#define V_MA_MAXTAG(x) ((x) << S_MA_MAXTAG)
4992#define G_MA_MAXTAG(x) (((x) >> S_MA_MAXTAG) & M_MA_MAXTAG)
4993
4994#define S_T5_MA_MAXREQCNT 16
4995#define M_T5_MA_MAXREQCNT 0x7fU
4996#define V_T5_MA_MAXREQCNT(x) ((x) << S_T5_MA_MAXREQCNT)
4997#define G_T5_MA_MAXREQCNT(x) (((x) >> S_T5_MA_MAXREQCNT) & M_T5_MA_MAXREQCNT)
4998
4999#define S_MA_MAXREQSIZE 8
5000#define M_MA_MAXREQSIZE 0x7U
5001#define V_MA_MAXREQSIZE(x) ((x) << S_MA_MAXREQSIZE)
5002#define G_MA_MAXREQSIZE(x) (((x) >> S_MA_MAXREQSIZE) & M_MA_MAXREQSIZE)
5003
5004#define A_PCIE_MA_SYNC 0x30b4
5005#define A_PCIE_FW 0x30b8
5006#define A_PCIE_FW_PF 0x30bc
5007#define A_PCIE_PIO_PAUSE 0x30dc
5008
5009#define S_PIOPAUSEDONE 31
5010#define V_PIOPAUSEDONE(x) ((x) << S_PIOPAUSEDONE)
5011#define F_PIOPAUSEDONE V_PIOPAUSEDONE(1U)
5012
5013#define S_PIOPAUSETIME 4
5014#define M_PIOPAUSETIME 0xffffffU
5015#define V_PIOPAUSETIME(x) ((x) << S_PIOPAUSETIME)
5016#define G_PIOPAUSETIME(x) (((x) >> S_PIOPAUSETIME) & M_PIOPAUSETIME)
5017
5018#define S_PIOPAUSE 0
5019#define V_PIOPAUSE(x) ((x) << S_PIOPAUSE)
5020#define F_PIOPAUSE V_PIOPAUSE(1U)
5021
5022#define S_MSTPAUSEDONE 30
5023#define V_MSTPAUSEDONE(x) ((x) << S_MSTPAUSEDONE)
5024#define F_MSTPAUSEDONE V_MSTPAUSEDONE(1U)
5025
5026#define S_MSTPAUSE 1
5027#define V_MSTPAUSE(x) ((x) << S_MSTPAUSE)
5028#define F_MSTPAUSE V_MSTPAUSE(1U)
5029
5030#define A_PCIE_SYS_CFG_READY 0x30e0
5031#define A_PCIE_MA_STAT 0x30e0
5032#define A_PCIE_STATIC_CFG1 0x30e4
5033
5034#define S_LINKDOWN_RESET_EN 26
5035#define V_LINKDOWN_RESET_EN(x) ((x) << S_LINKDOWN_RESET_EN)
5036#define F_LINKDOWN_RESET_EN V_LINKDOWN_RESET_EN(1U)
5037
5038#define S_IN_WR_DISCONTIG 25
5039#define V_IN_WR_DISCONTIG(x) ((x) << S_IN_WR_DISCONTIG)
5040#define F_IN_WR_DISCONTIG V_IN_WR_DISCONTIG(1U)
5041
5042#define S_IN_RD_CPLSIZE 22
5043#define M_IN_RD_CPLSIZE 0x7U
5044#define V_IN_RD_CPLSIZE(x) ((x) << S_IN_RD_CPLSIZE)
5045#define G_IN_RD_CPLSIZE(x) (((x) >> S_IN_RD_CPLSIZE) & M_IN_RD_CPLSIZE)
5046
5047#define S_IN_RD_BUFMODE 20
5048#define M_IN_RD_BUFMODE 0x3U
5049#define V_IN_RD_BUFMODE(x) ((x) << S_IN_RD_BUFMODE)
5050#define G_IN_RD_BUFMODE(x) (((x) >> S_IN_RD_BUFMODE) & M_IN_RD_BUFMODE)
5051
5052#define S_GBIF_NPTRANS_TOT 18
5053#define M_GBIF_NPTRANS_TOT 0x3U
5054#define V_GBIF_NPTRANS_TOT(x) ((x) << S_GBIF_NPTRANS_TOT)
5055#define G_GBIF_NPTRANS_TOT(x) (((x) >> S_GBIF_NPTRANS_TOT) & M_GBIF_NPTRANS_TOT)
5056
5057#define S_IN_PDAT_TOT 15
5058#define M_IN_PDAT_TOT 0x7U
5059#define V_IN_PDAT_TOT(x) ((x) << S_IN_PDAT_TOT)
5060#define G_IN_PDAT_TOT(x) (((x) >> S_IN_PDAT_TOT) & M_IN_PDAT_TOT)
5061
5062#define S_PCIE_NPTRANS_TOT 12
5063#define M_PCIE_NPTRANS_TOT 0x7U
5064#define V_PCIE_NPTRANS_TOT(x) ((x) << S_PCIE_NPTRANS_TOT)
5065#define G_PCIE_NPTRANS_TOT(x) (((x) >> S_PCIE_NPTRANS_TOT) & M_PCIE_NPTRANS_TOT)
5066
5067#define S_OUT_PDAT_TOT 9
5068#define M_OUT_PDAT_TOT 0x7U
5069#define V_OUT_PDAT_TOT(x) ((x) << S_OUT_PDAT_TOT)
5070#define G_OUT_PDAT_TOT(x) (((x) >> S_OUT_PDAT_TOT) & M_OUT_PDAT_TOT)
5071
5072#define S_GBIF_MAX_WRSIZE 6
5073#define M_GBIF_MAX_WRSIZE 0x7U
5074#define V_GBIF_MAX_WRSIZE(x) ((x) << S_GBIF_MAX_WRSIZE)
5075#define G_GBIF_MAX_WRSIZE(x) (((x) >> S_GBIF_MAX_WRSIZE) & M_GBIF_MAX_WRSIZE)
5076
5077#define S_GBIF_MAX_RDSIZE 3
5078#define M_GBIF_MAX_RDSIZE 0x7U
5079#define V_GBIF_MAX_RDSIZE(x) ((x) << S_GBIF_MAX_RDSIZE)
5080#define G_GBIF_MAX_RDSIZE(x) (((x) >> S_GBIF_MAX_RDSIZE) & M_GBIF_MAX_RDSIZE)
5081
5082#define S_PCIE_MAX_RDSIZE 0
5083#define M_PCIE_MAX_RDSIZE 0x7U
5084#define V_PCIE_MAX_RDSIZE(x) ((x) << S_PCIE_MAX_RDSIZE)
5085#define G_PCIE_MAX_RDSIZE(x) (((x) >> S_PCIE_MAX_RDSIZE) & M_PCIE_MAX_RDSIZE)
5086
5087#define S_AUXPOWER_DETECTED 27
5088#define V_AUXPOWER_DETECTED(x) ((x) << S_AUXPOWER_DETECTED)
5089#define F_AUXPOWER_DETECTED V_AUXPOWER_DETECTED(1U)
5090
5091#define A_PCIE_STATIC_CFG2 0x30e8
5092
5093#define S_PL_CONTROL 16
5094#define M_PL_CONTROL 0xffffU
5095#define V_PL_CONTROL(x) ((x) << S_PL_CONTROL)
5096#define G_PL_CONTROL(x) (((x) >> S_PL_CONTROL) & M_PL_CONTROL)
5097
5098#define S_STATIC_SPARE3 0
5099#define M_STATIC_SPARE3 0x3fffU
5100#define V_STATIC_SPARE3(x) ((x) << S_STATIC_SPARE3)
5101#define G_STATIC_SPARE3(x) (((x) >> S_STATIC_SPARE3) & M_STATIC_SPARE3)
5102
5103#define A_PCIE_DBG_INDIR_REQ 0x30ec
5104
5105#define S_DBGENABLE 31
5106#define V_DBGENABLE(x) ((x) << S_DBGENABLE)
5107#define F_DBGENABLE V_DBGENABLE(1U)
5108
5109#define S_DBGAUTOINC 30
5110#define V_DBGAUTOINC(x) ((x) << S_DBGAUTOINC)
5111#define F_DBGAUTOINC V_DBGAUTOINC(1U)
5112
5113#define S_POINTER 8
5114#define M_POINTER 0xffffU
5115#define V_POINTER(x) ((x) << S_POINTER)
5116#define G_POINTER(x) (((x) >> S_POINTER) & M_POINTER)
5117
5118#define S_SELECT 0
5119#define M_SELECT 0xfU
5120#define V_SELECT(x) ((x) << S_SELECT)
5121#define G_SELECT(x) (((x) >> S_SELECT) & M_SELECT)
5122
5123#define A_PCIE_DBG_INDIR_DATA_0 0x30f0
5124#define A_PCIE_DBG_INDIR_DATA_1 0x30f4
5125#define A_PCIE_DBG_INDIR_DATA_2 0x30f8
5126#define A_PCIE_DBG_INDIR_DATA_3 0x30fc
5127#define A_PCIE_FUNC_INT_CFG 0x3100
5128
5129#define S_PBAOFST 28
5130#define M_PBAOFST 0xfU
5131#define V_PBAOFST(x) ((x) << S_PBAOFST)
5132#define G_PBAOFST(x) (((x) >> S_PBAOFST) & M_PBAOFST)
5133
5134#define S_TABOFST 24
5135#define M_TABOFST 0xfU
5136#define V_TABOFST(x) ((x) << S_TABOFST)
5137#define G_TABOFST(x) (((x) >> S_TABOFST) & M_TABOFST)
5138
5139#define S_VECNUM 12
5140#define M_VECNUM 0x3ffU
5141#define V_VECNUM(x) ((x) << S_VECNUM)
5142#define G_VECNUM(x) (((x) >> S_VECNUM) & M_VECNUM)
5143
5144#define S_VECBASE 0
5145#define M_VECBASE 0x7ffU
5146#define V_VECBASE(x) ((x) << S_VECBASE)
5147#define G_VECBASE(x) (((x) >> S_VECBASE) & M_VECBASE)
5148
5149#define A_PCIE_FUNC_CTL_STAT 0x3104
5150
5151#define S_SENDFLRRSP 31
5152#define V_SENDFLRRSP(x) ((x) << S_SENDFLRRSP)
5153#define F_SENDFLRRSP V_SENDFLRRSP(1U)
5154
5155#define S_IMMFLRRSP 24
5156#define V_IMMFLRRSP(x) ((x) << S_IMMFLRRSP)
5157#define F_IMMFLRRSP V_IMMFLRRSP(1U)
5158
5159#define S_TXNDISABLE 20
5160#define V_TXNDISABLE(x) ((x) << S_TXNDISABLE)
5161#define F_TXNDISABLE V_TXNDISABLE(1U)
5162
5163#define S_PNDTXNS 8
5164#define M_PNDTXNS 0x3ffU
5165#define V_PNDTXNS(x) ((x) << S_PNDTXNS)
5166#define G_PNDTXNS(x) (((x) >> S_PNDTXNS) & M_PNDTXNS)
5167
5168#define S_VFVLD 3
5169#define V_VFVLD(x) ((x) << S_VFVLD)
5170#define F_VFVLD V_VFVLD(1U)
5171
5172#define S_PFNUM 0
5173#define M_PFNUM 0x7U
5174#define V_PFNUM(x) ((x) << S_PFNUM)
5175#define G_PFNUM(x) (((x) >> S_PFNUM) & M_PFNUM)
5176
5177#define A_PCIE_PF_INT_CFG 0x3140
5178#define A_PCIE_PF_INT_CFG2 0x3144
5179#define A_PCIE_VF_INT_CFG 0x3180
5180#define A_PCIE_VF_INT_CFG2 0x3184
5181#define A_PCIE_PF_MSI_EN 0x35a8
5182
5183#define S_PFMSIEN_7_0 0
5184#define M_PFMSIEN_7_0 0xffU
5185#define V_PFMSIEN_7_0(x) ((x) << S_PFMSIEN_7_0)
5186#define G_PFMSIEN_7_0(x) (((x) >> S_PFMSIEN_7_0) & M_PFMSIEN_7_0)
5187
5188#define A_PCIE_VF_MSI_EN_0 0x35ac
5189#define A_PCIE_VF_MSI_EN_1 0x35b0
5190#define A_PCIE_VF_MSI_EN_2 0x35b4
5191#define A_PCIE_VF_MSI_EN_3 0x35b8
5192#define A_PCIE_PF_MSIX_EN 0x35bc
5193
5194#define S_PFMSIXEN_7_0 0
5195#define M_PFMSIXEN_7_0 0xffU
5196#define V_PFMSIXEN_7_0(x) ((x) << S_PFMSIXEN_7_0)
5197#define G_PFMSIXEN_7_0(x) (((x) >> S_PFMSIXEN_7_0) & M_PFMSIXEN_7_0)
5198
5199#define A_PCIE_VF_MSIX_EN_0 0x35c0
5200#define A_PCIE_VF_MSIX_EN_1 0x35c4
5201#define A_PCIE_VF_MSIX_EN_2 0x35c8
5202#define A_PCIE_VF_MSIX_EN_3 0x35cc
5203#define A_PCIE_FID_VFID_SEL 0x35ec
5204
5205#define S_FID_VFID_SEL_SELECT 0
5206#define M_FID_VFID_SEL_SELECT 0x3U
5207#define V_FID_VFID_SEL_SELECT(x) ((x) << S_FID_VFID_SEL_SELECT)
5208#define G_FID_VFID_SEL_SELECT(x) (((x) >> S_FID_VFID_SEL_SELECT) & M_FID_VFID_SEL_SELECT)
5209
5210#define A_PCIE_FID_VFID 0x3600
5211
5212#define S_FID_VFID_SELECT 30
5213#define M_FID_VFID_SELECT 0x3U
5214#define V_FID_VFID_SELECT(x) ((x) << S_FID_VFID_SELECT)
5215#define G_FID_VFID_SELECT(x) (((x) >> S_FID_VFID_SELECT) & M_FID_VFID_SELECT)
5216
5217#define S_IDO 24
5218#define V_IDO(x) ((x) << S_IDO)
5219#define F_IDO V_IDO(1U)
5220
5221#define S_FID_VFID_VFID 16
5222#define M_FID_VFID_VFID 0xffU
5223#define V_FID_VFID_VFID(x) ((x) << S_FID_VFID_VFID)
5224#define G_FID_VFID_VFID(x) (((x) >> S_FID_VFID_VFID) & M_FID_VFID_VFID)
5225
5226#define S_FID_VFID_TC 11
5227#define M_FID_VFID_TC 0x7U
5228#define V_FID_VFID_TC(x) ((x) << S_FID_VFID_TC)
5229#define G_FID_VFID_TC(x) (((x) >> S_FID_VFID_TC) & M_FID_VFID_TC)
5230
5231#define S_FID_VFID_VFVLD 10
5232#define V_FID_VFID_VFVLD(x) ((x) << S_FID_VFID_VFVLD)
5233#define F_FID_VFID_VFVLD V_FID_VFID_VFVLD(1U)
5234
5235#define S_FID_VFID_PF 7
5236#define M_FID_VFID_PF 0x7U
5237#define V_FID_VFID_PF(x) ((x) << S_FID_VFID_PF)
5238#define G_FID_VFID_PF(x) (((x) >> S_FID_VFID_PF) & M_FID_VFID_PF)
5239
5240#define S_FID_VFID_RVF 0
5241#define M_FID_VFID_RVF 0x7fU
5242#define V_FID_VFID_RVF(x) ((x) << S_FID_VFID_RVF)
5243#define G_FID_VFID_RVF(x) (((x) >> S_FID_VFID_RVF) & M_FID_VFID_RVF)
5244
5245#define S_T6_FID_VFID_VFID 15
5246#define M_T6_FID_VFID_VFID 0x1ffU
5247#define V_T6_FID_VFID_VFID(x) ((x) << S_T6_FID_VFID_VFID)
5248#define G_T6_FID_VFID_VFID(x) (((x) >> S_T6_FID_VFID_VFID) & M_T6_FID_VFID_VFID)
5249
5250#define S_T6_FID_VFID_TC 12
5251#define M_T6_FID_VFID_TC 0x7U
5252#define V_T6_FID_VFID_TC(x) ((x) << S_T6_FID_VFID_TC)
5253#define G_T6_FID_VFID_TC(x) (((x) >> S_T6_FID_VFID_TC) & M_T6_FID_VFID_TC)
5254
5255#define S_T6_FID_VFID_VFVLD 11
5256#define V_T6_FID_VFID_VFVLD(x) ((x) << S_T6_FID_VFID_VFVLD)
5257#define F_T6_FID_VFID_VFVLD V_T6_FID_VFID_VFVLD(1U)
5258
5259#define S_T6_FID_VFID_PF 8
5260#define M_T6_FID_VFID_PF 0x7U
5261#define V_T6_FID_VFID_PF(x) ((x) << S_T6_FID_VFID_PF)
5262#define G_T6_FID_VFID_PF(x) (((x) >> S_T6_FID_VFID_PF) & M_T6_FID_VFID_PF)
5263
5264#define S_T6_FID_VFID_RVF 0
5265#define M_T6_FID_VFID_RVF 0xffU
5266#define V_T6_FID_VFID_RVF(x) ((x) << S_T6_FID_VFID_RVF)
5267#define G_T6_FID_VFID_RVF(x) (((x) >> S_T6_FID_VFID_RVF) & M_T6_FID_VFID_RVF)
5268
5269#define A_PCIE_FID 0x3900
5270
5271#define S_PAD 11
5272#define V_PAD(x) ((x) << S_PAD)
5273#define F_PAD V_PAD(1U)
5274
5275#define S_TC 8
5276#define M_TC 0x7U
5277#define V_TC(x) ((x) << S_TC)
5278#define G_TC(x) (((x) >> S_TC) & M_TC)
5279
5280#define S_FUNC 0
5281#define M_FUNC 0xffU
5282#define V_FUNC(x) ((x) << S_FUNC)
5283#define G_FUNC(x) (((x) >> S_FUNC) & M_FUNC)
5284
5285#define A_PCIE_COOKIE_STAT 0x5600
5286
5287#define S_COOKIEB 16
5288#define M_COOKIEB 0x3ffU
5289#define V_COOKIEB(x) ((x) << S_COOKIEB)
5290#define G_COOKIEB(x) (((x) >> S_COOKIEB) & M_COOKIEB)
5291
5292#define S_COOKIEA 0
5293#define M_COOKIEA 0x3ffU
5294#define V_COOKIEA(x) ((x) << S_COOKIEA)
5295#define G_COOKIEA(x) (((x) >> S_COOKIEA) & M_COOKIEA)
5296
5297#define A_PCIE_FLR_PIO 0x5620
5298
5299#define S_RCVDBAR2COOKIE 24
5300#define M_RCVDBAR2COOKIE 0xffU
5301#define V_RCVDBAR2COOKIE(x) ((x) << S_RCVDBAR2COOKIE)
5302#define G_RCVDBAR2COOKIE(x) (((x) >> S_RCVDBAR2COOKIE) & M_RCVDBAR2COOKIE)
5303
5304#define S_RCVDMARSPCOOKIE 16
5305#define M_RCVDMARSPCOOKIE 0xffU
5306#define V_RCVDMARSPCOOKIE(x) ((x) << S_RCVDMARSPCOOKIE)
5307#define G_RCVDMARSPCOOKIE(x) (((x) >> S_RCVDMARSPCOOKIE) & M_RCVDMARSPCOOKIE)
5308
5309#define S_RCVDPIORSPCOOKIE 8
5310#define M_RCVDPIORSPCOOKIE 0xffU
5311#define V_RCVDPIORSPCOOKIE(x) ((x) << S_RCVDPIORSPCOOKIE)
5312#define G_RCVDPIORSPCOOKIE(x) (((x) >> S_RCVDPIORSPCOOKIE) & M_RCVDPIORSPCOOKIE)
5313
5314#define S_EXPDCOOKIE 0
5315#define M_EXPDCOOKIE 0xffU
5316#define V_EXPDCOOKIE(x) ((x) << S_EXPDCOOKIE)
5317#define G_EXPDCOOKIE(x) (((x) >> S_EXPDCOOKIE) & M_EXPDCOOKIE)
5318
5319#define A_PCIE_FLR_PIO2 0x5624
5320
5321#define S_RCVDMAREQCOOKIE 16
5322#define M_RCVDMAREQCOOKIE 0xffU
5323#define V_RCVDMAREQCOOKIE(x) ((x) << S_RCVDMAREQCOOKIE)
5324#define G_RCVDMAREQCOOKIE(x) (((x) >> S_RCVDMAREQCOOKIE) & M_RCVDMAREQCOOKIE)
5325
5326#define S_RCVDPIOREQCOOKIE 8
5327#define M_RCVDPIOREQCOOKIE 0xffU
5328#define V_RCVDPIOREQCOOKIE(x) ((x) << S_RCVDPIOREQCOOKIE)
5329#define G_RCVDPIOREQCOOKIE(x) (((x) >> S_RCVDPIOREQCOOKIE) & M_RCVDPIOREQCOOKIE)
5330
5331#define S_RCVDVDMRXCOOKIE 24
5332#define M_RCVDVDMRXCOOKIE 0xffU
5333#define V_RCVDVDMRXCOOKIE(x) ((x) << S_RCVDVDMRXCOOKIE)
5334#define G_RCVDVDMRXCOOKIE(x) (((x) >> S_RCVDVDMRXCOOKIE) & M_RCVDVDMRXCOOKIE)
5335
5336#define S_RCVDVDMTXCOOKIE 16
5337#define M_RCVDVDMTXCOOKIE 0xffU
5338#define V_RCVDVDMTXCOOKIE(x) ((x) << S_RCVDVDMTXCOOKIE)
5339#define G_RCVDVDMTXCOOKIE(x) (((x) >> S_RCVDVDMTXCOOKIE) & M_RCVDVDMTXCOOKIE)
5340
5341#define S_T6_RCVDMAREQCOOKIE 8
5342#define M_T6_RCVDMAREQCOOKIE 0xffU
5343#define V_T6_RCVDMAREQCOOKIE(x) ((x) << S_T6_RCVDMAREQCOOKIE)
5344#define G_T6_RCVDMAREQCOOKIE(x) (((x) >> S_T6_RCVDMAREQCOOKIE) & M_T6_RCVDMAREQCOOKIE)
5345
5346#define S_T6_RCVDPIOREQCOOKIE 0
5347#define M_T6_RCVDPIOREQCOOKIE 0xffU
5348#define V_T6_RCVDPIOREQCOOKIE(x) ((x) << S_T6_RCVDPIOREQCOOKIE)
5349#define G_T6_RCVDPIOREQCOOKIE(x) (((x) >> S_T6_RCVDPIOREQCOOKIE) & M_T6_RCVDPIOREQCOOKIE)
5350
5351#define A_PCIE_VC0_CDTS0 0x56cc
5352
5353#define S_CPLD0 20
5354#define M_CPLD0 0xfffU
5355#define V_CPLD0(x) ((x) << S_CPLD0)
5356#define G_CPLD0(x) (((x) >> S_CPLD0) & M_CPLD0)
5357
5358#define S_PH0 12
5359#define M_PH0 0xffU
5360#define V_PH0(x) ((x) << S_PH0)
5361#define G_PH0(x) (((x) >> S_PH0) & M_PH0)
5362
5363#define S_PD0 0
5364#define M_PD0 0xfffU
5365#define V_PD0(x) ((x) << S_PD0)
5366#define G_PD0(x) (((x) >> S_PD0) & M_PD0)
5367
5368#define A_PCIE_VC0_CDTS1 0x56d0
5369
5370#define S_CPLH0 20
5371#define M_CPLH0 0xffU
5372#define V_CPLH0(x) ((x) << S_CPLH0)
5373#define G_CPLH0(x) (((x) >> S_CPLH0) & M_CPLH0)
5374
5375#define S_NPH0 12
5376#define M_NPH0 0xffU
5377#define V_NPH0(x) ((x) << S_NPH0)
5378#define G_NPH0(x) (((x) >> S_NPH0) & M_NPH0)
5379
5380#define S_NPD0 0
5381#define M_NPD0 0xfffU
5382#define V_NPD0(x) ((x) << S_NPD0)
5383#define G_NPD0(x) (((x) >> S_NPD0) & M_NPD0)
5384
5385#define A_PCIE_VC1_CDTS0 0x56d4
5386
5387#define S_CPLD1 20
5388#define M_CPLD1 0xfffU
5389#define V_CPLD1(x) ((x) << S_CPLD1)
5390#define G_CPLD1(x) (((x) >> S_CPLD1) & M_CPLD1)
5391
5392#define S_PH1 12
5393#define M_PH1 0xffU
5394#define V_PH1(x) ((x) << S_PH1)
5395#define G_PH1(x) (((x) >> S_PH1) & M_PH1)
5396
5397#define S_PD1 0
5398#define M_PD1 0xfffU
5399#define V_PD1(x) ((x) << S_PD1)
5400#define G_PD1(x) (((x) >> S_PD1) & M_PD1)
5401
5402#define A_PCIE_VC1_CDTS1 0x56d8
5403
5404#define S_CPLH1 20
5405#define M_CPLH1 0xffU
5406#define V_CPLH1(x) ((x) << S_CPLH1)
5407#define G_CPLH1(x) (((x) >> S_CPLH1) & M_CPLH1)
5408
5409#define S_NPH1 12
5410#define M_NPH1 0xffU
5411#define V_NPH1(x) ((x) << S_NPH1)
5412#define G_NPH1(x) (((x) >> S_NPH1) & M_NPH1)
5413
5414#define S_NPD1 0
5415#define M_NPD1 0xfffU
5416#define V_NPD1(x) ((x) << S_NPD1)
5417#define G_NPD1(x) (((x) >> S_NPD1) & M_NPD1)
5418
5419#define A_PCIE_FLR_PF_STATUS 0x56dc
5420#define A_PCIE_FLR_VF0_STATUS 0x56e0
5421#define A_PCIE_FLR_VF1_STATUS 0x56e4
5422#define A_PCIE_FLR_VF2_STATUS 0x56e8
5423#define A_PCIE_FLR_VF3_STATUS 0x56ec
5424#define A_PCIE_STAT 0x56f4
5425
5426#define S_PM_STATUS 24
5427#define M_PM_STATUS 0xffU
5428#define V_PM_STATUS(x) ((x) << S_PM_STATUS)
5429#define G_PM_STATUS(x) (((x) >> S_PM_STATUS) & M_PM_STATUS)
5430
5431#define S_PM_CURRENTSTATE 20
5432#define M_PM_CURRENTSTATE 0x7U
5433#define V_PM_CURRENTSTATE(x) ((x) << S_PM_CURRENTSTATE)
5434#define G_PM_CURRENTSTATE(x) (((x) >> S_PM_CURRENTSTATE) & M_PM_CURRENTSTATE)
5435
5436#define S_LTSSMENABLE 12
5437#define V_LTSSMENABLE(x) ((x) << S_LTSSMENABLE)
5438#define F_LTSSMENABLE V_LTSSMENABLE(1U)
5439
5440#define S_STATECFGINITF 4
5441#define M_STATECFGINITF 0x7fU
5442#define V_STATECFGINITF(x) ((x) << S_STATECFGINITF)
5443#define G_STATECFGINITF(x) (((x) >> S_STATECFGINITF) & M_STATECFGINITF)
5444
5445#define S_STATECFGINIT 0
5446#define M_STATECFGINIT 0xfU
5447#define V_STATECFGINIT(x) ((x) << S_STATECFGINIT)
5448#define G_STATECFGINIT(x) (((x) >> S_STATECFGINIT) & M_STATECFGINIT)
5449
5450#define S_LTSSMENABLE_PCIE 12
5451#define V_LTSSMENABLE_PCIE(x) ((x) << S_LTSSMENABLE_PCIE)
5452#define F_LTSSMENABLE_PCIE V_LTSSMENABLE_PCIE(1U)
5453
5454#define S_STATECFGINITF_PCIE 4
5455#define M_STATECFGINITF_PCIE 0xffU
5456#define V_STATECFGINITF_PCIE(x) ((x) << S_STATECFGINITF_PCIE)
5457#define G_STATECFGINITF_PCIE(x) (((x) >> S_STATECFGINITF_PCIE) & M_STATECFGINITF_PCIE)
5458
5459#define S_STATECFGINIT_PCIE 0
5460#define M_STATECFGINIT_PCIE 0xfU
5461#define V_STATECFGINIT_PCIE(x) ((x) << S_STATECFGINIT_PCIE)
5462#define G_STATECFGINIT_PCIE(x) (((x) >> S_STATECFGINIT_PCIE) & M_STATECFGINIT_PCIE)
5463
5464#define A_PCIE_CRS 0x56f8
5465
5466#define S_CRS_ENABLE 0
5467#define V_CRS_ENABLE(x) ((x) << S_CRS_ENABLE)
5468#define F_CRS_ENABLE V_CRS_ENABLE(1U)
5469
5470#define A_PCIE_LTSSM 0x56fc
5471
5472#define S_LTSSM_ENABLE 0
5473#define V_LTSSM_ENABLE(x) ((x) << S_LTSSM_ENABLE)
5474#define F_LTSSM_ENABLE V_LTSSM_ENABLE(1U)
5475
5476#define S_LTSSM_STALL_DISABLE 1
5477#define V_LTSSM_STALL_DISABLE(x) ((x) << S_LTSSM_STALL_DISABLE)
5478#define F_LTSSM_STALL_DISABLE V_LTSSM_STALL_DISABLE(1U)
5479
5480#define A_PCIE_CORE_ACK_LATENCY_TIMER_REPLAY_TIMER 0x5700
5481
5482#define S_REPLAY_TIME_LIMIT 16
5483#define M_REPLAY_TIME_LIMIT 0xffffU
5484#define V_REPLAY_TIME_LIMIT(x) ((x) << S_REPLAY_TIME_LIMIT)
5485#define G_REPLAY_TIME_LIMIT(x) (((x) >> S_REPLAY_TIME_LIMIT) & M_REPLAY_TIME_LIMIT)
5486
5487#define S_ACK_LATENCY_TIMER_LIMIT 0
5488#define M_ACK_LATENCY_TIMER_LIMIT 0xffffU
5489#define V_ACK_LATENCY_TIMER_LIMIT(x) ((x) << S_ACK_LATENCY_TIMER_LIMIT)
5490#define G_ACK_LATENCY_TIMER_LIMIT(x) (((x) >> S_ACK_LATENCY_TIMER_LIMIT) & M_ACK_LATENCY_TIMER_LIMIT)
5491
5492#define A_PCIE_CORE_VENDOR_SPECIFIC_DLLP 0x5704
5493#define A_PCIE_CORE_PORT_FORCE_LINK 0x5708
5494
5495#define S_LOW_POWER_ENTRANCE_COUNT 24
5496#define M_LOW_POWER_ENTRANCE_COUNT 0xffU
5497#define V_LOW_POWER_ENTRANCE_COUNT(x) ((x) << S_LOW_POWER_ENTRANCE_COUNT)
5498#define G_LOW_POWER_ENTRANCE_COUNT(x) (((x) >> S_LOW_POWER_ENTRANCE_COUNT) & M_LOW_POWER_ENTRANCE_COUNT)
5499
5500#define S_LINK_STATE 16
5501#define M_LINK_STATE 0x3fU
5502#define V_LINK_STATE(x) ((x) << S_LINK_STATE)
5503#define G_LINK_STATE(x) (((x) >> S_LINK_STATE) & M_LINK_STATE)
5504
5505#define S_FORCE_LINK 15
5506#define V_FORCE_LINK(x) ((x) << S_FORCE_LINK)
5507#define F_FORCE_LINK V_FORCE_LINK(1U)
5508
5509#define S_LINK_NUMBER 0
5510#define M_LINK_NUMBER 0xffU
5511#define V_LINK_NUMBER(x) ((x) << S_LINK_NUMBER)
5512#define G_LINK_NUMBER(x) (((x) >> S_LINK_NUMBER) & M_LINK_NUMBER)
5513
5514#define A_PCIE_CORE_ACK_FREQUENCY_L0L1_ASPM_CONTROL 0x570c
5515
5516#define S_ENTER_ASPM_L1_WO_L0S 30
5517#define V_ENTER_ASPM_L1_WO_L0S(x) ((x) << S_ENTER_ASPM_L1_WO_L0S)
5518#define F_ENTER_ASPM_L1_WO_L0S V_ENTER_ASPM_L1_WO_L0S(1U)
5519
5520#define S_L1_ENTRANCE_LATENCY 27
5521#define M_L1_ENTRANCE_LATENCY 0x7U
5522#define V_L1_ENTRANCE_LATENCY(x) ((x) << S_L1_ENTRANCE_LATENCY)
5523#define G_L1_ENTRANCE_LATENCY(x) (((x) >> S_L1_ENTRANCE_LATENCY) & M_L1_ENTRANCE_LATENCY)
5524
5525#define S_L0S_ENTRANCE_LATENCY 24
5526#define M_L0S_ENTRANCE_LATENCY 0x7U
5527#define V_L0S_ENTRANCE_LATENCY(x) ((x) << S_L0S_ENTRANCE_LATENCY)
5528#define G_L0S_ENTRANCE_LATENCY(x) (((x) >> S_L0S_ENTRANCE_LATENCY) & M_L0S_ENTRANCE_LATENCY)
5529
5530#define S_COMMON_CLOCK_N_FTS 16
5531#define M_COMMON_CLOCK_N_FTS 0xffU
5532#define V_COMMON_CLOCK_N_FTS(x) ((x) << S_COMMON_CLOCK_N_FTS)
5533#define G_COMMON_CLOCK_N_FTS(x) (((x) >> S_COMMON_CLOCK_N_FTS) & M_COMMON_CLOCK_N_FTS)
5534
5535#define S_N_FTS 8
5536#define M_N_FTS 0xffU
5537#define V_N_FTS(x) ((x) << S_N_FTS)
5538#define G_N_FTS(x) (((x) >> S_N_FTS) & M_N_FTS)
5539
5540#define S_ACK_FREQUENCY 0
5541#define M_ACK_FREQUENCY 0xffU
5542#define V_ACK_FREQUENCY(x) ((x) << S_ACK_FREQUENCY)
5543#define G_ACK_FREQUENCY(x) (((x) >> S_ACK_FREQUENCY) & M_ACK_FREQUENCY)
5544
5545#define A_PCIE_CORE_PORT_LINK_CONTROL 0x5710
5546
5547#define S_CROSSLINK_ACTIVE 23
5548#define V_CROSSLINK_ACTIVE(x) ((x) << S_CROSSLINK_ACTIVE)
5549#define F_CROSSLINK_ACTIVE V_CROSSLINK_ACTIVE(1U)
5550
5551#define S_CROSSLINK_ENABLE 22
5552#define V_CROSSLINK_ENABLE(x) ((x) << S_CROSSLINK_ENABLE)
5553#define F_CROSSLINK_ENABLE V_CROSSLINK_ENABLE(1U)
5554
5555#define S_LINK_MODE_ENABLE 16
5556#define M_LINK_MODE_ENABLE 0x3fU
5557#define V_LINK_MODE_ENABLE(x) ((x) << S_LINK_MODE_ENABLE)
5558#define G_LINK_MODE_ENABLE(x) (((x) >> S_LINK_MODE_ENABLE) & M_LINK_MODE_ENABLE)
5559
5560#define S_FAST_LINK_MODE 7
5561#define V_FAST_LINK_MODE(x) ((x) << S_FAST_LINK_MODE)
5562#define F_FAST_LINK_MODE V_FAST_LINK_MODE(1U)
5563
5564#define S_DLL_LINK_ENABLE 5
5565#define V_DLL_LINK_ENABLE(x) ((x) << S_DLL_LINK_ENABLE)
5566#define F_DLL_LINK_ENABLE V_DLL_LINK_ENABLE(1U)
5567
5568#define S_RESET_ASSERT 3
5569#define V_RESET_ASSERT(x) ((x) << S_RESET_ASSERT)
5570#define F_RESET_ASSERT V_RESET_ASSERT(1U)
5571
5572#define S_LOOPBACK_ENABLE 2
5573#define V_LOOPBACK_ENABLE(x) ((x) << S_LOOPBACK_ENABLE)
5574#define F_LOOPBACK_ENABLE V_LOOPBACK_ENABLE(1U)
5575
5576#define S_SCRAMBLE_DISABLE 1
5577#define V_SCRAMBLE_DISABLE(x) ((x) << S_SCRAMBLE_DISABLE)
5578#define F_SCRAMBLE_DISABLE V_SCRAMBLE_DISABLE(1U)
5579
5580#define S_VENDOR_SPECIFIC_DLLP_REQUEST 0
5581#define V_VENDOR_SPECIFIC_DLLP_REQUEST(x) ((x) << S_VENDOR_SPECIFIC_DLLP_REQUEST)
5582#define F_VENDOR_SPECIFIC_DLLP_REQUEST V_VENDOR_SPECIFIC_DLLP_REQUEST(1U)
5583
5584#define A_PCIE_CORE_LANE_SKEW 0x5714
5585
5586#define S_DISABLE_DESKEW 31
5587#define V_DISABLE_DESKEW(x) ((x) << S_DISABLE_DESKEW)
5588#define F_DISABLE_DESKEW V_DISABLE_DESKEW(1U)
5589
5590#define S_ACK_NAK_DISABLE 25
5591#define V_ACK_NAK_DISABLE(x) ((x) << S_ACK_NAK_DISABLE)
5592#define F_ACK_NAK_DISABLE V_ACK_NAK_DISABLE(1U)
5593
5594#define S_FLOW_CONTROL_DISABLE 24
5595#define V_FLOW_CONTROL_DISABLE(x) ((x) << S_FLOW_CONTROL_DISABLE)
5596#define F_FLOW_CONTROL_DISABLE V_FLOW_CONTROL_DISABLE(1U)
5597
5598#define S_INSERT_TXSKEW 0
5599#define M_INSERT_TXSKEW 0xffffffU
5600#define V_INSERT_TXSKEW(x) ((x) << S_INSERT_TXSKEW)
5601#define G_INSERT_TXSKEW(x) (((x) >> S_INSERT_TXSKEW) & M_INSERT_TXSKEW)
5602
5603#define A_PCIE_CORE_SYMBOL_NUMBER 0x5718
5604
5605#define S_FLOW_CONTROL_TIMER_MODIFIER 24
5606#define M_FLOW_CONTROL_TIMER_MODIFIER 0x1fU
5607#define V_FLOW_CONTROL_TIMER_MODIFIER(x) ((x) << S_FLOW_CONTROL_TIMER_MODIFIER)
5608#define G_FLOW_CONTROL_TIMER_MODIFIER(x) (((x) >> S_FLOW_CONTROL_TIMER_MODIFIER) & M_FLOW_CONTROL_TIMER_MODIFIER)
5609
5610#define S_ACK_NAK_TIMER_MODIFIER 19
5611#define M_ACK_NAK_TIMER_MODIFIER 0x1fU
5612#define V_ACK_NAK_TIMER_MODIFIER(x) ((x) << S_ACK_NAK_TIMER_MODIFIER)
5613#define G_ACK_NAK_TIMER_MODIFIER(x) (((x) >> S_ACK_NAK_TIMER_MODIFIER) & M_ACK_NAK_TIMER_MODIFIER)
5614
5615#define S_REPLAY_TIMER_MODIFIER 14
5616#define M_REPLAY_TIMER_MODIFIER 0x1fU
5617#define V_REPLAY_TIMER_MODIFIER(x) ((x) << S_REPLAY_TIMER_MODIFIER)
5618#define G_REPLAY_TIMER_MODIFIER(x) (((x) >> S_REPLAY_TIMER_MODIFIER) & M_REPLAY_TIMER_MODIFIER)
5619
5620#define S_MAXFUNC 0
5621#define M_MAXFUNC 0x7U
5622#define V_MAXFUNC(x) ((x) << S_MAXFUNC)
5623#define G_MAXFUNC(x) (((x) >> S_MAXFUNC) & M_MAXFUNC)
5624
5625#define A_PCIE_CORE_SYMBOL_TIMER_FILTER_MASK1 0x571c
5626
5627#define S_MASK_RADM_FILTER 16
5628#define M_MASK_RADM_FILTER 0xffffU
5629#define V_MASK_RADM_FILTER(x) ((x) << S_MASK_RADM_FILTER)
5630#define G_MASK_RADM_FILTER(x) (((x) >> S_MASK_RADM_FILTER) & M_MASK_RADM_FILTER)
5631
5632#define S_DISABLE_FC_WATCHDOG 15
5633#define V_DISABLE_FC_WATCHDOG(x) ((x) << S_DISABLE_FC_WATCHDOG)
5634#define F_DISABLE_FC_WATCHDOG V_DISABLE_FC_WATCHDOG(1U)
5635
5636#define S_SKP_INTERVAL 0
5637#define M_SKP_INTERVAL 0x7ffU
5638#define V_SKP_INTERVAL(x) ((x) << S_SKP_INTERVAL)
5639#define G_SKP_INTERVAL(x) (((x) >> S_SKP_INTERVAL) & M_SKP_INTERVAL)
5640
5641#define A_PCIE_CORE_FILTER_MASK2 0x5720
5642#define A_PCIE_CORE_DEBUG_0 0x5728
5643#define A_PCIE_CORE_DEBUG_1 0x572c
5644#define A_PCIE_CORE_TRANSMIT_POSTED_FC_CREDIT_STATUS 0x5730
5645
5646#define S_TXPH_FC 12
5647#define M_TXPH_FC 0xffU
5648#define V_TXPH_FC(x) ((x) << S_TXPH_FC)
5649#define G_TXPH_FC(x) (((x) >> S_TXPH_FC) & M_TXPH_FC)
5650
5651#define S_TXPD_FC 0
5652#define M_TXPD_FC 0xfffU
5653#define V_TXPD_FC(x) ((x) << S_TXPD_FC)
5654#define G_TXPD_FC(x) (((x) >> S_TXPD_FC) & M_TXPD_FC)
5655
5656#define A_PCIE_CORE_TRANSMIT_NONPOSTED_FC_CREDIT_STATUS 0x5734
5657
5658#define S_TXNPH_FC 12
5659#define M_TXNPH_FC 0xffU
5660#define V_TXNPH_FC(x) ((x) << S_TXNPH_FC)
5661#define G_TXNPH_FC(x) (((x) >> S_TXNPH_FC) & M_TXNPH_FC)
5662
5663#define S_TXNPD_FC 0
5664#define M_TXNPD_FC 0xfffU
5665#define V_TXNPD_FC(x) ((x) << S_TXNPD_FC)
5666#define G_TXNPD_FC(x) (((x) >> S_TXNPD_FC) & M_TXNPD_FC)
5667
5668#define A_PCIE_CORE_TRANSMIT_COMPLETION_FC_CREDIT_STATUS 0x5738
5669
5670#define S_TXCPLH_FC 12
5671#define M_TXCPLH_FC 0xffU
5672#define V_TXCPLH_FC(x) ((x) << S_TXCPLH_FC)
5673#define G_TXCPLH_FC(x) (((x) >> S_TXCPLH_FC) & M_TXCPLH_FC)
5674
5675#define S_TXCPLD_FC 0
5676#define M_TXCPLD_FC 0xfffU
5677#define V_TXCPLD_FC(x) ((x) << S_TXCPLD_FC)
5678#define G_TXCPLD_FC(x) (((x) >> S_TXCPLD_FC) & M_TXCPLD_FC)
5679
5680#define A_PCIE_CORE_QUEUE_STATUS 0x573c
5681
5682#define S_RXQUEUE_NOT_EMPTY 2
5683#define V_RXQUEUE_NOT_EMPTY(x) ((x) << S_RXQUEUE_NOT_EMPTY)
5684#define F_RXQUEUE_NOT_EMPTY V_RXQUEUE_NOT_EMPTY(1U)
5685
5686#define S_TXRETRYBUF_NOT_EMPTY 1
5687#define V_TXRETRYBUF_NOT_EMPTY(x) ((x) << S_TXRETRYBUF_NOT_EMPTY)
5688#define F_TXRETRYBUF_NOT_EMPTY V_TXRETRYBUF_NOT_EMPTY(1U)
5689
5690#define S_RXTLP_FC_NOT_RETURNED 0
5691#define V_RXTLP_FC_NOT_RETURNED(x) ((x) << S_RXTLP_FC_NOT_RETURNED)
5692#define F_RXTLP_FC_NOT_RETURNED V_RXTLP_FC_NOT_RETURNED(1U)
5693
5694#define A_PCIE_CORE_VC_TRANSMIT_ARBITRATION_1 0x5740
5695
5696#define S_VC3_WRR 24
5697#define M_VC3_WRR 0xffU
5698#define V_VC3_WRR(x) ((x) << S_VC3_WRR)
5699#define G_VC3_WRR(x) (((x) >> S_VC3_WRR) & M_VC3_WRR)
5700
5701#define S_VC2_WRR 16
5702#define M_VC2_WRR 0xffU
5703#define V_VC2_WRR(x) ((x) << S_VC2_WRR)
5704#define G_VC2_WRR(x) (((x) >> S_VC2_WRR) & M_VC2_WRR)
5705
5706#define S_VC1_WRR 8
5707#define M_VC1_WRR 0xffU
5708#define V_VC1_WRR(x) ((x) << S_VC1_WRR)
5709#define G_VC1_WRR(x) (((x) >> S_VC1_WRR) & M_VC1_WRR)
5710
5711#define S_VC0_WRR 0
5712#define M_VC0_WRR 0xffU
5713#define V_VC0_WRR(x) ((x) << S_VC0_WRR)
5714#define G_VC0_WRR(x) (((x) >> S_VC0_WRR) & M_VC0_WRR)
5715
5716#define A_PCIE_CORE_VC_TRANSMIT_ARBITRATION_2 0x5744
5717
5718#define S_VC7_WRR 24
5719#define M_VC7_WRR 0xffU
5720#define V_VC7_WRR(x) ((x) << S_VC7_WRR)
5721#define G_VC7_WRR(x) (((x) >> S_VC7_WRR) & M_VC7_WRR)
5722
5723#define S_VC6_WRR 16
5724#define M_VC6_WRR 0xffU
5725#define V_VC6_WRR(x) ((x) << S_VC6_WRR)
5726#define G_VC6_WRR(x) (((x) >> S_VC6_WRR) & M_VC6_WRR)
5727
5728#define S_VC5_WRR 8
5729#define M_VC5_WRR 0xffU
5730#define V_VC5_WRR(x) ((x) << S_VC5_WRR)
5731#define G_VC5_WRR(x) (((x) >> S_VC5_WRR) & M_VC5_WRR)
5732
5733#define S_VC4_WRR 0
5734#define M_VC4_WRR 0xffU
5735#define V_VC4_WRR(x) ((x) << S_VC4_WRR)
5736#define G_VC4_WRR(x) (((x) >> S_VC4_WRR) & M_VC4_WRR)
5737
5738#define A_PCIE_CORE_VC0_POSTED_RECEIVE_QUEUE_CONTROL 0x5748
5739
5740#define S_VC0_RX_ORDERING 31
5741#define V_VC0_RX_ORDERING(x) ((x) << S_VC0_RX_ORDERING)
5742#define F_VC0_RX_ORDERING V_VC0_RX_ORDERING(1U)
5743
5744#define S_VC0_TLP_ORDERING 30
5745#define V_VC0_TLP_ORDERING(x) ((x) << S_VC0_TLP_ORDERING)
5746#define F_VC0_TLP_ORDERING V_VC0_TLP_ORDERING(1U)
5747
5748#define S_VC0_PTLP_QUEUE_MODE 21
5749#define M_VC0_PTLP_QUEUE_MODE 0x7U
5750#define V_VC0_PTLP_QUEUE_MODE(x) ((x) << S_VC0_PTLP_QUEUE_MODE)
5751#define G_VC0_PTLP_QUEUE_MODE(x) (((x) >> S_VC0_PTLP_QUEUE_MODE) & M_VC0_PTLP_QUEUE_MODE)
5752
5753#define S_VC0_PH_CREDITS 12
5754#define M_VC0_PH_CREDITS 0xffU
5755#define V_VC0_PH_CREDITS(x) ((x) << S_VC0_PH_CREDITS)
5756#define G_VC0_PH_CREDITS(x) (((x) >> S_VC0_PH_CREDITS) & M_VC0_PH_CREDITS)
5757
5758#define S_VC0_PD_CREDITS 0
5759#define M_VC0_PD_CREDITS 0xfffU
5760#define V_VC0_PD_CREDITS(x) ((x) << S_VC0_PD_CREDITS)
5761#define G_VC0_PD_CREDITS(x) (((x) >> S_VC0_PD_CREDITS) & M_VC0_PD_CREDITS)
5762
5763#define A_PCIE_CORE_VC0_NONPOSTED_RECEIVE_QUEUE_CONTROL 0x574c
5764
5765#define S_VC0_NPTLP_QUEUE_MODE 21
5766#define M_VC0_NPTLP_QUEUE_MODE 0x7U
5767#define V_VC0_NPTLP_QUEUE_MODE(x) ((x) << S_VC0_NPTLP_QUEUE_MODE)
5768#define G_VC0_NPTLP_QUEUE_MODE(x) (((x) >> S_VC0_NPTLP_QUEUE_MODE) & M_VC0_NPTLP_QUEUE_MODE)
5769
5770#define S_VC0_NPH_CREDITS 12
5771#define M_VC0_NPH_CREDITS 0xffU
5772#define V_VC0_NPH_CREDITS(x) ((x) << S_VC0_NPH_CREDITS)
5773#define G_VC0_NPH_CREDITS(x) (((x) >> S_VC0_NPH_CREDITS) & M_VC0_NPH_CREDITS)
5774
5775#define S_VC0_NPD_CREDITS 0
5776#define M_VC0_NPD_CREDITS 0xfffU
5777#define V_VC0_NPD_CREDITS(x) ((x) << S_VC0_NPD_CREDITS)
5778#define G_VC0_NPD_CREDITS(x) (((x) >> S_VC0_NPD_CREDITS) & M_VC0_NPD_CREDITS)
5779
5780#define A_PCIE_CORE_VC0_COMPLETION_RECEIVE_QUEUE_CONTROL 0x5750
5781
5782#define S_VC0_CPLTLP_QUEUE_MODE 21
5783#define M_VC0_CPLTLP_QUEUE_MODE 0x7U
5784#define V_VC0_CPLTLP_QUEUE_MODE(x) ((x) << S_VC0_CPLTLP_QUEUE_MODE)
5785#define G_VC0_CPLTLP_QUEUE_MODE(x) (((x) >> S_VC0_CPLTLP_QUEUE_MODE) & M_VC0_CPLTLP_QUEUE_MODE)
5786
5787#define S_VC0_CPLH_CREDITS 12
5788#define M_VC0_CPLH_CREDITS 0xffU
5789#define V_VC0_CPLH_CREDITS(x) ((x) << S_VC0_CPLH_CREDITS)
5790#define G_VC0_CPLH_CREDITS(x) (((x) >> S_VC0_CPLH_CREDITS) & M_VC0_CPLH_CREDITS)
5791
5792#define S_VC0_CPLD_CREDITS 0
5793#define M_VC0_CPLD_CREDITS 0xfffU
5794#define V_VC0_CPLD_CREDITS(x) ((x) << S_VC0_CPLD_CREDITS)
5795#define G_VC0_CPLD_CREDITS(x) (((x) >> S_VC0_CPLD_CREDITS) & M_VC0_CPLD_CREDITS)
5796
5797#define A_PCIE_CORE_VC1_POSTED_RECEIVE_QUEUE_CONTROL 0x5754
5798
5799#define S_VC1_TLP_ORDERING 30
5800#define V_VC1_TLP_ORDERING(x) ((x) << S_VC1_TLP_ORDERING)
5801#define F_VC1_TLP_ORDERING V_VC1_TLP_ORDERING(1U)
5802
5803#define S_VC1_PTLP_QUEUE_MODE 21
5804#define M_VC1_PTLP_QUEUE_MODE 0x7U
5805#define V_VC1_PTLP_QUEUE_MODE(x) ((x) << S_VC1_PTLP_QUEUE_MODE)
5806#define G_VC1_PTLP_QUEUE_MODE(x) (((x) >> S_VC1_PTLP_QUEUE_MODE) & M_VC1_PTLP_QUEUE_MODE)
5807
5808#define S_VC1_PH_CREDITS 12
5809#define M_VC1_PH_CREDITS 0xffU
5810#define V_VC1_PH_CREDITS(x) ((x) << S_VC1_PH_CREDITS)
5811#define G_VC1_PH_CREDITS(x) (((x) >> S_VC1_PH_CREDITS) & M_VC1_PH_CREDITS)
5812
5813#define S_VC1_PD_CREDITS 0
5814#define M_VC1_PD_CREDITS 0xfffU
5815#define V_VC1_PD_CREDITS(x) ((x) << S_VC1_PD_CREDITS)
5816#define G_VC1_PD_CREDITS(x) (((x) >> S_VC1_PD_CREDITS) & M_VC1_PD_CREDITS)
5817
5818#define A_PCIE_CORE_VC1_NONPOSTED_RECEIVE_QUEUE_CONTROL 0x5758
5819
5820#define S_VC1_NPTLP_QUEUE_MODE 21
5821#define M_VC1_NPTLP_QUEUE_MODE 0x7U
5822#define V_VC1_NPTLP_QUEUE_MODE(x) ((x) << S_VC1_NPTLP_QUEUE_MODE)
5823#define G_VC1_NPTLP_QUEUE_MODE(x) (((x) >> S_VC1_NPTLP_QUEUE_MODE) & M_VC1_NPTLP_QUEUE_MODE)
5824
5825#define S_VC1_NPH_CREDITS 12
5826#define M_VC1_NPH_CREDITS 0xffU
5827#define V_VC1_NPH_CREDITS(x) ((x) << S_VC1_NPH_CREDITS)
5828#define G_VC1_NPH_CREDITS(x) (((x) >> S_VC1_NPH_CREDITS) & M_VC1_NPH_CREDITS)
5829
5830#define S_VC1_NPD_CREDITS 0
5831#define M_VC1_NPD_CREDITS 0xfffU
5832#define V_VC1_NPD_CREDITS(x) ((x) << S_VC1_NPD_CREDITS)
5833#define G_VC1_NPD_CREDITS(x) (((x) >> S_VC1_NPD_CREDITS) & M_VC1_NPD_CREDITS)
5834
5835#define A_PCIE_CORE_VC1_COMPLETION_RECEIVE_QUEUE_CONTROL 0x575c
5836
5837#define S_VC1_CPLTLP_QUEUE_MODE 21
5838#define M_VC1_CPLTLP_QUEUE_MODE 0x7U
5839#define V_VC1_CPLTLP_QUEUE_MODE(x) ((x) << S_VC1_CPLTLP_QUEUE_MODE)
5840#define G_VC1_CPLTLP_QUEUE_MODE(x) (((x) >> S_VC1_CPLTLP_QUEUE_MODE) & M_VC1_CPLTLP_QUEUE_MODE)
5841
5842#define S_VC1_CPLH_CREDITS 12
5843#define M_VC1_CPLH_CREDITS 0xffU
5844#define V_VC1_CPLH_CREDITS(x) ((x) << S_VC1_CPLH_CREDITS)
5845#define G_VC1_CPLH_CREDITS(x) (((x) >> S_VC1_CPLH_CREDITS) & M_VC1_CPLH_CREDITS)
5846
5847#define S_VC1_CPLD_CREDITS 0
5848#define M_VC1_CPLD_CREDITS 0xfffU
5849#define V_VC1_CPLD_CREDITS(x) ((x) << S_VC1_CPLD_CREDITS)
5850#define G_VC1_CPLD_CREDITS(x) (((x) >> S_VC1_CPLD_CREDITS) & M_VC1_CPLD_CREDITS)
5851
5852#define A_PCIE_CORE_LINK_WIDTH_SPEED_CHANGE 0x580c
5853
5854#define S_SEL_DEEMPHASIS 20
5855#define V_SEL_DEEMPHASIS(x) ((x) << S_SEL_DEEMPHASIS)
5856#define F_SEL_DEEMPHASIS V_SEL_DEEMPHASIS(1U)
5857
5858#define S_TXCMPLRCV 19
5859#define V_TXCMPLRCV(x) ((x) << S_TXCMPLRCV)
5860#define F_TXCMPLRCV V_TXCMPLRCV(1U)
5861
5862#define S_PHYTXSWING 18
5863#define V_PHYTXSWING(x) ((x) << S_PHYTXSWING)
5864#define F_PHYTXSWING V_PHYTXSWING(1U)
5865
5866#define S_DIRSPDCHANGE 17
5867#define V_DIRSPDCHANGE(x) ((x) << S_DIRSPDCHANGE)
5868#define F_DIRSPDCHANGE V_DIRSPDCHANGE(1U)
5869
5870#define S_NUM_LANES 8
5871#define M_NUM_LANES 0x1ffU
5872#define V_NUM_LANES(x) ((x) << S_NUM_LANES)
5873#define G_NUM_LANES(x) (((x) >> S_NUM_LANES) & M_NUM_LANES)
5874
5875#define S_NFTS_GEN2_3 0
5876#define M_NFTS_GEN2_3 0xffU
5877#define V_NFTS_GEN2_3(x) ((x) << S_NFTS_GEN2_3)
5878#define G_NFTS_GEN2_3(x) (((x) >> S_NFTS_GEN2_3) & M_NFTS_GEN2_3)
5879
5880#define S_AUTO_LANE_FLIP_CTRL_EN 16
5881#define V_AUTO_LANE_FLIP_CTRL_EN(x) ((x) << S_AUTO_LANE_FLIP_CTRL_EN)
5882#define F_AUTO_LANE_FLIP_CTRL_EN V_AUTO_LANE_FLIP_CTRL_EN(1U)
5883
5884#define S_T6_NUM_LANES 8
5885#define M_T6_NUM_LANES 0x1fU
5886#define V_T6_NUM_LANES(x) ((x) << S_T6_NUM_LANES)
5887#define G_T6_NUM_LANES(x) (((x) >> S_T6_NUM_LANES) & M_T6_NUM_LANES)
5888
5889#define A_PCIE_CORE_PHY_STATUS 0x5810
5890#define A_PCIE_CORE_PHY_CONTROL 0x5814
5891#define A_PCIE_CORE_GEN3_CONTROL 0x5890
5892
5893#define S_DC_BALANCE_DISABLE 18
5894#define V_DC_BALANCE_DISABLE(x) ((x) << S_DC_BALANCE_DISABLE)
5895#define F_DC_BALANCE_DISABLE V_DC_BALANCE_DISABLE(1U)
5896
5897#define S_DLLP_DELAY_DISABLE 17
5898#define V_DLLP_DELAY_DISABLE(x) ((x) << S_DLLP_DELAY_DISABLE)
5899#define F_DLLP_DELAY_DISABLE V_DLLP_DELAY_DISABLE(1U)
5900
5901#define S_EQL_DISABLE 16
5902#define V_EQL_DISABLE(x) ((x) << S_EQL_DISABLE)
5903#define F_EQL_DISABLE V_EQL_DISABLE(1U)
5904
5905#define S_EQL_REDO_DISABLE 11
5906#define V_EQL_REDO_DISABLE(x) ((x) << S_EQL_REDO_DISABLE)
5907#define F_EQL_REDO_DISABLE V_EQL_REDO_DISABLE(1U)
5908
5909#define S_EQL_EIEOS_CNTRST_DISABLE 10
5910#define V_EQL_EIEOS_CNTRST_DISABLE(x) ((x) << S_EQL_EIEOS_CNTRST_DISABLE)
5911#define F_EQL_EIEOS_CNTRST_DISABLE V_EQL_EIEOS_CNTRST_DISABLE(1U)
5912
5913#define S_EQL_PH2_PH3_DISABLE 9
5914#define V_EQL_PH2_PH3_DISABLE(x) ((x) << S_EQL_PH2_PH3_DISABLE)
5915#define F_EQL_PH2_PH3_DISABLE V_EQL_PH2_PH3_DISABLE(1U)
5916
5917#define S_DISABLE_SCRAMBLER 8
5918#define V_DISABLE_SCRAMBLER(x) ((x) << S_DISABLE_SCRAMBLER)
5919#define F_DISABLE_SCRAMBLER V_DISABLE_SCRAMBLER(1U)
5920
5921#define A_PCIE_CORE_GEN3_EQ_FS_LF 0x5894
5922
5923#define S_FULL_SWING 6
5924#define M_FULL_SWING 0x3fU
5925#define V_FULL_SWING(x) ((x) << S_FULL_SWING)
5926#define G_FULL_SWING(x) (((x) >> S_FULL_SWING) & M_FULL_SWING)
5927
5928#define S_LOW_FREQUENCY 0
5929#define M_LOW_FREQUENCY 0x3fU
5930#define V_LOW_FREQUENCY(x) ((x) << S_LOW_FREQUENCY)
5931#define G_LOW_FREQUENCY(x) (((x) >> S_LOW_FREQUENCY) & M_LOW_FREQUENCY)
5932
5933#define A_PCIE_CORE_GEN3_EQ_PRESET_COEFF 0x5898
5934
5935#define S_POSTCURSOR 12
5936#define M_POSTCURSOR 0x3fU
5937#define V_POSTCURSOR(x) ((x) << S_POSTCURSOR)
5938#define G_POSTCURSOR(x) (((x) >> S_POSTCURSOR) & M_POSTCURSOR)
5939
5940#define S_CURSOR 6
5941#define M_CURSOR 0x3fU
5942#define V_CURSOR(x) ((x) << S_CURSOR)
5943#define G_CURSOR(x) (((x) >> S_CURSOR) & M_CURSOR)
5944
5945#define S_PRECURSOR 0
5946#define M_PRECURSOR 0x3fU
5947#define V_PRECURSOR(x) ((x) << S_PRECURSOR)
5948#define G_PRECURSOR(x) (((x) >> S_PRECURSOR) & M_PRECURSOR)
5949
5950#define A_PCIE_CORE_GEN3_EQ_PRESET_INDEX 0x589c
5951
5952#define S_INDEX 0
5953#define M_INDEX 0xfU
5954#define V_INDEX(x) ((x) << S_INDEX)
5955#define G_INDEX(x) (((x) >> S_INDEX) & M_INDEX)
5956
5957#define A_PCIE_CORE_GEN3_EQ_STATUS 0x58a4
5958
5959#define S_LEGALITY_STATUS 0
5960#define V_LEGALITY_STATUS(x) ((x) << S_LEGALITY_STATUS)
5961#define F_LEGALITY_STATUS V_LEGALITY_STATUS(1U)
5962
5963#define A_PCIE_CORE_GEN3_EQ_CONTROL 0x58a8
5964
5965#define S_INCLUDE_INITIAL_FOM 24
5966#define V_INCLUDE_INITIAL_FOM(x) ((x) << S_INCLUDE_INITIAL_FOM)
5967#define F_INCLUDE_INITIAL_FOM V_INCLUDE_INITIAL_FOM(1U)
5968
5969#define S_PRESET_REQUEST_VECTOR 8
5970#define M_PRESET_REQUEST_VECTOR 0xffffU
5971#define V_PRESET_REQUEST_VECTOR(x) ((x) << S_PRESET_REQUEST_VECTOR)
5972#define G_PRESET_REQUEST_VECTOR(x) (((x) >> S_PRESET_REQUEST_VECTOR) & M_PRESET_REQUEST_VECTOR)
5973
5974#define S_PHASE23_2MS_TIMEOUT_DISABLE 5
5975#define V_PHASE23_2MS_TIMEOUT_DISABLE(x) ((x) << S_PHASE23_2MS_TIMEOUT_DISABLE)
5976#define F_PHASE23_2MS_TIMEOUT_DISABLE V_PHASE23_2MS_TIMEOUT_DISABLE(1U)
5977
5978#define S_AFTER24MS 4
5979#define V_AFTER24MS(x) ((x) << S_AFTER24MS)
5980#define F_AFTER24MS V_AFTER24MS(1U)
5981
5982#define S_FEEDBACK_MODE 0
5983#define M_FEEDBACK_MODE 0xfU
5984#define V_FEEDBACK_MODE(x) ((x) << S_FEEDBACK_MODE)
5985#define G_FEEDBACK_MODE(x) (((x) >> S_FEEDBACK_MODE) & M_FEEDBACK_MODE)
5986
5987#define A_PCIE_CORE_GEN3_EQ_DIRCHANGE_FEEDBACK 0x58ac
5988
5989#define S_WINAPERTURE_CPLUS1 14
5990#define M_WINAPERTURE_CPLUS1 0xfU
5991#define V_WINAPERTURE_CPLUS1(x) ((x) << S_WINAPERTURE_CPLUS1)
5992#define G_WINAPERTURE_CPLUS1(x) (((x) >> S_WINAPERTURE_CPLUS1) & M_WINAPERTURE_CPLUS1)
5993
5994#define S_WINAPERTURE_CMINS1 10
5995#define M_WINAPERTURE_CMINS1 0xfU
5996#define V_WINAPERTURE_CMINS1(x) ((x) << S_WINAPERTURE_CMINS1)
5997#define G_WINAPERTURE_CMINS1(x) (((x) >> S_WINAPERTURE_CMINS1) & M_WINAPERTURE_CMINS1)
5998
5999#define S_CONVERGENCE_WINDEPTH 5
6000#define M_CONVERGENCE_WINDEPTH 0x1fU
6001#define V_CONVERGENCE_WINDEPTH(x) ((x) << S_CONVERGENCE_WINDEPTH)
6002#define G_CONVERGENCE_WINDEPTH(x) (((x) >> S_CONVERGENCE_WINDEPTH) & M_CONVERGENCE_WINDEPTH)
6003
6004#define S_EQMASTERPHASE_MINTIME 0
6005#define M_EQMASTERPHASE_MINTIME 0x1fU
6006#define V_EQMASTERPHASE_MINTIME(x) ((x) << S_EQMASTERPHASE_MINTIME)
6007#define G_EQMASTERPHASE_MINTIME(x) (((x) >> S_EQMASTERPHASE_MINTIME) & M_EQMASTERPHASE_MINTIME)
6008
6009#define A_PCIE_CORE_PIPE_CONTROL 0x58b8
6010
6011#define S_PIPE_LOOPBACK_EN 0
6012#define V_PIPE_LOOPBACK_EN(x) ((x) << S_PIPE_LOOPBACK_EN)
6013#define F_PIPE_LOOPBACK_EN V_PIPE_LOOPBACK_EN(1U)
6014
6015#define S_T6_PIPE_LOOPBACK_EN 31
6016#define V_T6_PIPE_LOOPBACK_EN(x) ((x) << S_T6_PIPE_LOOPBACK_EN)
6017#define F_T6_PIPE_LOOPBACK_EN V_T6_PIPE_LOOPBACK_EN(1U)
6018
6019#define A_PCIE_CORE_DBI_RO_WE 0x58bc
6020
6021#define S_READONLY_WRITEEN 0
6022#define V_READONLY_WRITEEN(x) ((x) << S_READONLY_WRITEEN)
6023#define F_READONLY_WRITEEN V_READONLY_WRITEEN(1U)
6024
6025#define A_PCIE_CORE_UTL_SYSTEM_BUS_CONTROL 0x5900
6026
6027#define S_SMTD 27
6028#define V_SMTD(x) ((x) << S_SMTD)
6029#define F_SMTD V_SMTD(1U)
6030
6031#define S_SSTD 26
6032#define V_SSTD(x) ((x) << S_SSTD)
6033#define F_SSTD V_SSTD(1U)
6034
6035#define S_SWD0 23
6036#define V_SWD0(x) ((x) << S_SWD0)
6037#define F_SWD0 V_SWD0(1U)
6038
6039#define S_SWD1 22
6040#define V_SWD1(x) ((x) << S_SWD1)
6041#define F_SWD1 V_SWD1(1U)
6042
6043#define S_SWD2 21
6044#define V_SWD2(x) ((x) << S_SWD2)
6045#define F_SWD2 V_SWD2(1U)
6046
6047#define S_SWD3 20
6048#define V_SWD3(x) ((x) << S_SWD3)
6049#define F_SWD3 V_SWD3(1U)
6050
6051#define S_SWD4 19
6052#define V_SWD4(x) ((x) << S_SWD4)
6053#define F_SWD4 V_SWD4(1U)
6054
6055#define S_SWD5 18
6056#define V_SWD5(x) ((x) << S_SWD5)
6057#define F_SWD5 V_SWD5(1U)
6058
6059#define S_SWD6 17
6060#define V_SWD6(x) ((x) << S_SWD6)
6061#define F_SWD6 V_SWD6(1U)
6062
6063#define S_SWD7 16
6064#define V_SWD7(x) ((x) << S_SWD7)
6065#define F_SWD7 V_SWD7(1U)
6066
6067#define S_SWD8 15
6068#define V_SWD8(x) ((x) << S_SWD8)
6069#define F_SWD8 V_SWD8(1U)
6070
6071#define S_SRD0 13
6072#define V_SRD0(x) ((x) << S_SRD0)
6073#define F_SRD0 V_SRD0(1U)
6074
6075#define S_SRD1 12
6076#define V_SRD1(x) ((x) << S_SRD1)
6077#define F_SRD1 V_SRD1(1U)
6078
6079#define S_SRD2 11
6080#define V_SRD2(x) ((x) << S_SRD2)
6081#define F_SRD2 V_SRD2(1U)
6082
6083#define S_SRD3 10
6084#define V_SRD3(x) ((x) << S_SRD3)
6085#define F_SRD3 V_SRD3(1U)
6086
6087#define S_SRD4 9
6088#define V_SRD4(x) ((x) << S_SRD4)
6089#define F_SRD4 V_SRD4(1U)
6090
6091#define S_SRD5 8
6092#define V_SRD5(x) ((x) << S_SRD5)
6093#define F_SRD5 V_SRD5(1U)
6094
6095#define S_SRD6 7
6096#define V_SRD6(x) ((x) << S_SRD6)
6097#define F_SRD6 V_SRD6(1U)
6098
6099#define S_SRD7 6
6100#define V_SRD7(x) ((x) << S_SRD7)
6101#define F_SRD7 V_SRD7(1U)
6102
6103#define S_SRD8 5
6104#define V_SRD8(x) ((x) << S_SRD8)
6105#define F_SRD8 V_SRD8(1U)
6106
6107#define S_CRRE 3
6108#define V_CRRE(x) ((x) << S_CRRE)
6109#define F_CRRE V_CRRE(1U)
6110
6111#define S_CRMC 0
6112#define M_CRMC 0x7U
6113#define V_CRMC(x) ((x) << S_CRMC)
6114#define G_CRMC(x) (((x) >> S_CRMC) & M_CRMC)
6115
6116#define A_PCIE_CORE_UTL_STATUS 0x5904
6117
6118#define S_USBP 31
6119#define V_USBP(x) ((x) << S_USBP)
6120#define F_USBP V_USBP(1U)
6121
6122#define S_UPEP 30
6123#define V_UPEP(x) ((x) << S_UPEP)
6124#define F_UPEP V_UPEP(1U)
6125
6126#define S_RCEP 29
6127#define V_RCEP(x) ((x) << S_RCEP)
6128#define F_RCEP V_RCEP(1U)
6129
6130#define S_EPEP 28
6131#define V_EPEP(x) ((x) << S_EPEP)
6132#define F_EPEP V_EPEP(1U)
6133
6134#define S_USBS 27
6135#define V_USBS(x) ((x) << S_USBS)
6136#define F_USBS V_USBS(1U)
6137
6138#define S_UPES 26
6139#define V_UPES(x) ((x) << S_UPES)
6140#define F_UPES V_UPES(1U)
6141
6142#define S_RCES 25
6143#define V_RCES(x) ((x) << S_RCES)
6144#define F_RCES V_RCES(1U)
6145
6146#define S_EPES 24
6147#define V_EPES(x) ((x) << S_EPES)
6148#define F_EPES V_EPES(1U)
6149
6150#define A_PCIE_CORE_UTL_SYSTEM_BUS_AGENT_STATUS 0x5908
6151
6152#define S_RNPP 31
6153#define V_RNPP(x) ((x) << S_RNPP)
6154#define F_RNPP V_RNPP(1U)
6155
6156#define S_RPCP 29
6157#define V_RPCP(x) ((x) << S_RPCP)
6158#define F_RPCP V_RPCP(1U)
6159
6160#define S_RCIP 27
6161#define V_RCIP(x) ((x) << S_RCIP)
6162#define F_RCIP V_RCIP(1U)
6163
6164#define S_RCCP 26
6165#define V_RCCP(x) ((x) << S_RCCP)
6166#define F_RCCP V_RCCP(1U)
6167
6168#define S_RFTP 23
6169#define V_RFTP(x) ((x) << S_RFTP)
6170#define F_RFTP V_RFTP(1U)
6171
6172#define S_PTRP 20
6173#define V_PTRP(x) ((x) << S_PTRP)
6174#define F_PTRP V_PTRP(1U)
6175
6176#define A_PCIE_CORE_UTL_SYSTEM_BUS_AGENT_ERROR_SEVERITY 0x590c
6177
6178#define S_RNPS 31
6179#define V_RNPS(x) ((x) << S_RNPS)
6180#define F_RNPS V_RNPS(1U)
6181
6182#define S_RPCS 29
6183#define V_RPCS(x) ((x) << S_RPCS)
6184#define F_RPCS V_RPCS(1U)
6185
6186#define S_RCIS 27
6187#define V_RCIS(x) ((x) << S_RCIS)
6188#define F_RCIS V_RCIS(1U)
6189
6190#define S_RCCS 26
6191#define V_RCCS(x) ((x) << S_RCCS)
6192#define F_RCCS V_RCCS(1U)
6193
6194#define S_RFTS 23
6195#define V_RFTS(x) ((x) << S_RFTS)
6196#define F_RFTS V_RFTS(1U)
6197
6198#define A_PCIE_CORE_UTL_SYSTEM_BUS_AGENT_INTERRUPT_ENABLE 0x5910
6199
6200#define S_RNPI 31
6201#define V_RNPI(x) ((x) << S_RNPI)
6202#define F_RNPI V_RNPI(1U)
6203
6204#define S_RPCI 29
6205#define V_RPCI(x) ((x) << S_RPCI)
6206#define F_RPCI V_RPCI(1U)
6207
6208#define S_RCII 27
6209#define V_RCII(x) ((x) << S_RCII)
6210#define F_RCII V_RCII(1U)
6211
6212#define S_RCCI 26
6213#define V_RCCI(x) ((x) << S_RCCI)
6214#define F_RCCI V_RCCI(1U)
6215
6216#define S_RFTI 23
6217#define V_RFTI(x) ((x) << S_RFTI)
6218#define F_RFTI V_RFTI(1U)
6219
6220#define A_PCIE_CORE_SYSTEM_BUS_BURST_SIZE_CONFIGURATION 0x5920
6221
6222#define S_SBRS 28
6223#define M_SBRS 0x7U
6224#define V_SBRS(x) ((x) << S_SBRS)
6225#define G_SBRS(x) (((x) >> S_SBRS) & M_SBRS)
6226
6227#define S_OTWS 20
6228#define M_OTWS 0x7U
6229#define V_OTWS(x) ((x) << S_OTWS)
6230#define G_OTWS(x) (((x) >> S_OTWS) & M_OTWS)
6231
6232#define A_PCIE_CORE_REVISION_ID 0x5924
6233
6234#define S_RVID 20
6235#define M_RVID 0xfffU
6236#define V_RVID(x) ((x) << S_RVID)
6237#define G_RVID(x) (((x) >> S_RVID) & M_RVID)
6238
6239#define S_BRVN 12
6240#define M_BRVN 0xffU
6241#define V_BRVN(x) ((x) << S_BRVN)
6242#define G_BRVN(x) (((x) >> S_BRVN) & M_BRVN)
6243
6244#define A_PCIE_T5_DMA_CFG 0x5940
6245
6246#define S_T5_DMA_MAXREQCNT 20
6247#define M_T5_DMA_MAXREQCNT 0xffU
6248#define V_T5_DMA_MAXREQCNT(x) ((x) << S_T5_DMA_MAXREQCNT)
6249#define G_T5_DMA_MAXREQCNT(x) (((x) >> S_T5_DMA_MAXREQCNT) & M_T5_DMA_MAXREQCNT)
6250
6251#define S_T5_DMA_MAXRDREQSIZE 17
6252#define M_T5_DMA_MAXRDREQSIZE 0x7U
6253#define V_T5_DMA_MAXRDREQSIZE(x) ((x) << S_T5_DMA_MAXRDREQSIZE)
6254#define G_T5_DMA_MAXRDREQSIZE(x) (((x) >> S_T5_DMA_MAXRDREQSIZE) & M_T5_DMA_MAXRDREQSIZE)
6255
6256#define S_T5_DMA_MAXRSPCNT 8
6257#define M_T5_DMA_MAXRSPCNT 0x1ffU
6258#define V_T5_DMA_MAXRSPCNT(x) ((x) << S_T5_DMA_MAXRSPCNT)
6259#define G_T5_DMA_MAXRSPCNT(x) (((x) >> S_T5_DMA_MAXRSPCNT) & M_T5_DMA_MAXRSPCNT)
6260
6261#define S_SEQCHKDIS 7
6262#define V_SEQCHKDIS(x) ((x) << S_SEQCHKDIS)
6263#define F_SEQCHKDIS V_SEQCHKDIS(1U)
6264
6265#define S_MINTAG 0
6266#define M_MINTAG 0x7fU
6267#define V_MINTAG(x) ((x) << S_MINTAG)
6268#define G_MINTAG(x) (((x) >> S_MINTAG) & M_MINTAG)
6269
6270#define S_T6_T5_DMA_MAXREQCNT 20
6271#define M_T6_T5_DMA_MAXREQCNT 0x7fU
6272#define V_T6_T5_DMA_MAXREQCNT(x) ((x) << S_T6_T5_DMA_MAXREQCNT)
6273#define G_T6_T5_DMA_MAXREQCNT(x) (((x) >> S_T6_T5_DMA_MAXREQCNT) & M_T6_T5_DMA_MAXREQCNT)
6274
6275#define S_T6_T5_DMA_MAXRSPCNT 9
6276#define M_T6_T5_DMA_MAXRSPCNT 0xffU
6277#define V_T6_T5_DMA_MAXRSPCNT(x) ((x) << S_T6_T5_DMA_MAXRSPCNT)
6278#define G_T6_T5_DMA_MAXRSPCNT(x) (((x) >> S_T6_T5_DMA_MAXRSPCNT) & M_T6_T5_DMA_MAXRSPCNT)
6279
6280#define S_T6_SEQCHKDIS 8
6281#define V_T6_SEQCHKDIS(x) ((x) << S_T6_SEQCHKDIS)
6282#define F_T6_SEQCHKDIS V_T6_SEQCHKDIS(1U)
6283
6284#define S_T6_MINTAG 0
6285#define M_T6_MINTAG 0xffU
6286#define V_T6_MINTAG(x) ((x) << S_T6_MINTAG)
6287#define G_T6_MINTAG(x) (((x) >> S_T6_MINTAG) & M_T6_MINTAG)
6288
6289#define A_PCIE_T5_DMA_STAT 0x5944
6290
6291#define S_DMA_RESPCNT 20
6292#define M_DMA_RESPCNT 0xfffU
6293#define V_DMA_RESPCNT(x) ((x) << S_DMA_RESPCNT)
6294#define G_DMA_RESPCNT(x) (((x) >> S_DMA_RESPCNT) & M_DMA_RESPCNT)
6295
6296#define S_DMA_RDREQCNT 12
6297#define M_DMA_RDREQCNT 0xffU
6298#define V_DMA_RDREQCNT(x) ((x) << S_DMA_RDREQCNT)
6299#define G_DMA_RDREQCNT(x) (((x) >> S_DMA_RDREQCNT) & M_DMA_RDREQCNT)
6300
6301#define S_DMA_WRREQCNT 0
6302#define M_DMA_WRREQCNT 0x7ffU
6303#define V_DMA_WRREQCNT(x) ((x) << S_DMA_WRREQCNT)
6304#define G_DMA_WRREQCNT(x) (((x) >> S_DMA_WRREQCNT) & M_DMA_WRREQCNT)
6305
6306#define S_T6_DMA_RESPCNT 20
6307#define M_T6_DMA_RESPCNT 0x3ffU
6308#define V_T6_DMA_RESPCNT(x) ((x) << S_T6_DMA_RESPCNT)
6309#define G_T6_DMA_RESPCNT(x) (((x) >> S_T6_DMA_RESPCNT) & M_T6_DMA_RESPCNT)
6310
6311#define S_T6_DMA_RDREQCNT 12
6312#define M_T6_DMA_RDREQCNT 0x3fU
6313#define V_T6_DMA_RDREQCNT(x) ((x) << S_T6_DMA_RDREQCNT)
6314#define G_T6_DMA_RDREQCNT(x) (((x) >> S_T6_DMA_RDREQCNT) & M_T6_DMA_RDREQCNT)
6315
6316#define S_T6_DMA_WRREQCNT 0
6317#define M_T6_DMA_WRREQCNT 0x1ffU
6318#define V_T6_DMA_WRREQCNT(x) ((x) << S_T6_DMA_WRREQCNT)
6319#define G_T6_DMA_WRREQCNT(x) (((x) >> S_T6_DMA_WRREQCNT) & M_T6_DMA_WRREQCNT)
6320
6321#define A_PCIE_T5_DMA_STAT2 0x5948
6322
6323#define S_COOKIECNT 24
6324#define M_COOKIECNT 0xfU
6325#define V_COOKIECNT(x) ((x) << S_COOKIECNT)
6326#define G_COOKIECNT(x) (((x) >> S_COOKIECNT) & M_COOKIECNT)
6327
6328#define S_RDSEQNUMUPDCNT 20
6329#define M_RDSEQNUMUPDCNT 0xfU
6330#define V_RDSEQNUMUPDCNT(x) ((x) << S_RDSEQNUMUPDCNT)
6331#define G_RDSEQNUMUPDCNT(x) (((x) >> S_RDSEQNUMUPDCNT) & M_RDSEQNUMUPDCNT)
6332
6333#define S_SIREQCNT 16
6334#define M_SIREQCNT 0xfU
6335#define V_SIREQCNT(x) ((x) << S_SIREQCNT)
6336#define G_SIREQCNT(x) (((x) >> S_SIREQCNT) & M_SIREQCNT)
6337
6338#define S_WREOPMATCHSOP 12
6339#define V_WREOPMATCHSOP(x) ((x) << S_WREOPMATCHSOP)
6340#define F_WREOPMATCHSOP V_WREOPMATCHSOP(1U)
6341
6342#define S_WRSOPCNT 8
6343#define M_WRSOPCNT 0xfU
6344#define V_WRSOPCNT(x) ((x) << S_WRSOPCNT)
6345#define G_WRSOPCNT(x) (((x) >> S_WRSOPCNT) & M_WRSOPCNT)
6346
6347#define S_RDSOPCNT 0
6348#define M_RDSOPCNT 0xffU
6349#define V_RDSOPCNT(x) ((x) << S_RDSOPCNT)
6350#define G_RDSOPCNT(x) (((x) >> S_RDSOPCNT) & M_RDSOPCNT)
6351
6352#define A_PCIE_T5_DMA_STAT3 0x594c
6353
6354#define S_ATMREQSOPCNT 24
6355#define M_ATMREQSOPCNT 0xffU
6356#define V_ATMREQSOPCNT(x) ((x) << S_ATMREQSOPCNT)
6357#define G_ATMREQSOPCNT(x) (((x) >> S_ATMREQSOPCNT) & M_ATMREQSOPCNT)
6358
6359#define S_ATMEOPMATCHSOP 17
6360#define V_ATMEOPMATCHSOP(x) ((x) << S_ATMEOPMATCHSOP)
6361#define F_ATMEOPMATCHSOP V_ATMEOPMATCHSOP(1U)
6362
6363#define S_RSPEOPMATCHSOP 16
6364#define V_RSPEOPMATCHSOP(x) ((x) << S_RSPEOPMATCHSOP)
6365#define F_RSPEOPMATCHSOP V_RSPEOPMATCHSOP(1U)
6366
6367#define S_RSPERRCNT 8
6368#define M_RSPERRCNT 0xffU
6369#define V_RSPERRCNT(x) ((x) << S_RSPERRCNT)
6370#define G_RSPERRCNT(x) (((x) >> S_RSPERRCNT) & M_RSPERRCNT)
6371
6372#define S_RSPSOPCNT 0
6373#define M_RSPSOPCNT 0xffU
6374#define V_RSPSOPCNT(x) ((x) << S_RSPSOPCNT)
6375#define G_RSPSOPCNT(x) (((x) >> S_RSPSOPCNT) & M_RSPSOPCNT)
6376
6377#define A_PCIE_CORE_OUTBOUND_POSTED_HEADER_BUFFER_ALLOCATION 0x5960
6378
6379#define S_OP0H 24
6380#define M_OP0H 0xfU
6381#define V_OP0H(x) ((x) << S_OP0H)
6382#define G_OP0H(x) (((x) >> S_OP0H) & M_OP0H)
6383
6384#define S_OP1H 16
6385#define M_OP1H 0xfU
6386#define V_OP1H(x) ((x) << S_OP1H)
6387#define G_OP1H(x) (((x) >> S_OP1H) & M_OP1H)
6388
6389#define S_OP2H 8
6390#define M_OP2H 0xfU
6391#define V_OP2H(x) ((x) << S_OP2H)
6392#define G_OP2H(x) (((x) >> S_OP2H) & M_OP2H)
6393
6394#define S_OP3H 0
6395#define M_OP3H 0xfU
6396#define V_OP3H(x) ((x) << S_OP3H)
6397#define G_OP3H(x) (((x) >> S_OP3H) & M_OP3H)
6398
6399#define A_PCIE_CORE_OUTBOUND_POSTED_DATA_BUFFER_ALLOCATION 0x5968
6400
6401#define S_OP0D 24
6402#define M_OP0D 0x7fU
6403#define V_OP0D(x) ((x) << S_OP0D)
6404#define G_OP0D(x) (((x) >> S_OP0D) & M_OP0D)
6405
6406#define S_OP1D 16
6407#define M_OP1D 0x7fU
6408#define V_OP1D(x) ((x) << S_OP1D)
6409#define G_OP1D(x) (((x) >> S_OP1D) & M_OP1D)
6410
6411#define S_OP2D 8
6412#define M_OP2D 0x7fU
6413#define V_OP2D(x) ((x) << S_OP2D)
6414#define G_OP2D(x) (((x) >> S_OP2D) & M_OP2D)
6415
6416#define S_OP3D 0
6417#define M_OP3D 0x7fU
6418#define V_OP3D(x) ((x) << S_OP3D)
6419#define G_OP3D(x) (((x) >> S_OP3D) & M_OP3D)
6420
6421#define A_PCIE_CORE_INBOUND_POSTED_HEADER_BUFFER_ALLOCATION 0x5970
6422
6423#define S_IP0H 24
6424#define M_IP0H 0x3fU
6425#define V_IP0H(x) ((x) << S_IP0H)
6426#define G_IP0H(x) (((x) >> S_IP0H) & M_IP0H)
6427
6428#define S_IP1H 16
6429#define M_IP1H 0x3fU
6430#define V_IP1H(x) ((x) << S_IP1H)
6431#define G_IP1H(x) (((x) >> S_IP1H) & M_IP1H)
6432
6433#define S_IP2H 8
6434#define M_IP2H 0x3fU
6435#define V_IP2H(x) ((x) << S_IP2H)
6436#define G_IP2H(x) (((x) >> S_IP2H) & M_IP2H)
6437
6438#define S_IP3H 0
6439#define M_IP3H 0x3fU
6440#define V_IP3H(x) ((x) << S_IP3H)
6441#define G_IP3H(x) (((x) >> S_IP3H) & M_IP3H)
6442
6443#define A_PCIE_CORE_INBOUND_POSTED_DATA_BUFFER_ALLOCATION 0x5978
6444
6445#define S_IP0D 24
6446#define M_IP0D 0xffU
6447#define V_IP0D(x) ((x) << S_IP0D)
6448#define G_IP0D(x) (((x) >> S_IP0D) & M_IP0D)
6449
6450#define S_IP1D 16
6451#define M_IP1D 0xffU
6452#define V_IP1D(x) ((x) << S_IP1D)
6453#define G_IP1D(x) (((x) >> S_IP1D) & M_IP1D)
6454
6455#define S_IP2D 8
6456#define M_IP2D 0xffU
6457#define V_IP2D(x) ((x) << S_IP2D)
6458#define G_IP2D(x) (((x) >> S_IP2D) & M_IP2D)
6459
6460#define S_IP3D 0
6461#define M_IP3D 0xffU
6462#define V_IP3D(x) ((x) << S_IP3D)
6463#define G_IP3D(x) (((x) >> S_IP3D) & M_IP3D)
6464
6465#define A_PCIE_CORE_OUTBOUND_NON_POSTED_BUFFER_ALLOCATION 0x5980
6466
6467#define S_ON0H 24
6468#define M_ON0H 0xfU
6469#define V_ON0H(x) ((x) << S_ON0H)
6470#define G_ON0H(x) (((x) >> S_ON0H) & M_ON0H)
6471
6472#define S_ON1H 16
6473#define M_ON1H 0xfU
6474#define V_ON1H(x) ((x) << S_ON1H)
6475#define G_ON1H(x) (((x) >> S_ON1H) & M_ON1H)
6476
6477#define S_ON2H 8
6478#define M_ON2H 0xfU
6479#define V_ON2H(x) ((x) << S_ON2H)
6480#define G_ON2H(x) (((x) >> S_ON2H) & M_ON2H)
6481
6482#define S_ON3H 0
6483#define M_ON3H 0xfU
6484#define V_ON3H(x) ((x) << S_ON3H)
6485#define G_ON3H(x) (((x) >> S_ON3H) & M_ON3H)
6486
6487#define A_PCIE_T5_CMD_CFG 0x5980
6488
6489#define S_T5_CMD_MAXRDREQSIZE 17
6490#define M_T5_CMD_MAXRDREQSIZE 0x7U
6491#define V_T5_CMD_MAXRDREQSIZE(x) ((x) << S_T5_CMD_MAXRDREQSIZE)
6492#define G_T5_CMD_MAXRDREQSIZE(x) (((x) >> S_T5_CMD_MAXRDREQSIZE) & M_T5_CMD_MAXRDREQSIZE)
6493
6494#define S_T5_CMD_MAXRSPCNT 8
6495#define M_T5_CMD_MAXRSPCNT 0xffU
6496#define V_T5_CMD_MAXRSPCNT(x) ((x) << S_T5_CMD_MAXRSPCNT)
6497#define G_T5_CMD_MAXRSPCNT(x) (((x) >> S_T5_CMD_MAXRSPCNT) & M_T5_CMD_MAXRSPCNT)
6498
6499#define S_USECMDPOOL 7
6500#define V_USECMDPOOL(x) ((x) << S_USECMDPOOL)
6501#define F_USECMDPOOL V_USECMDPOOL(1U)
6502
6503#define S_T6_T5_CMD_MAXRSPCNT 9
6504#define M_T6_T5_CMD_MAXRSPCNT 0x3fU
6505#define V_T6_T5_CMD_MAXRSPCNT(x) ((x) << S_T6_T5_CMD_MAXRSPCNT)
6506#define G_T6_T5_CMD_MAXRSPCNT(x) (((x) >> S_T6_T5_CMD_MAXRSPCNT) & M_T6_T5_CMD_MAXRSPCNT)
6507
6508#define S_T6_USECMDPOOL 8
6509#define V_T6_USECMDPOOL(x) ((x) << S_T6_USECMDPOOL)
6510#define F_T6_USECMDPOOL V_T6_USECMDPOOL(1U)
6511
6512#define S_T6_MINTAG 0
6513#define M_T6_MINTAG 0xffU
6514#define V_T6_MINTAG(x) ((x) << S_T6_MINTAG)
6515#define G_T6_MINTAG(x) (((x) >> S_T6_MINTAG) & M_T6_MINTAG)
6516
6517#define A_PCIE_T5_CMD_STAT 0x5984
6518
6519#define S_T5_STAT_RSPCNT 20
6520#define M_T5_STAT_RSPCNT 0x7ffU
6521#define V_T5_STAT_RSPCNT(x) ((x) << S_T5_STAT_RSPCNT)
6522#define G_T5_STAT_RSPCNT(x) (((x) >> S_T5_STAT_RSPCNT) & M_T5_STAT_RSPCNT)
6523
6524#define S_RDREQCNT 12
6525#define M_RDREQCNT 0x1fU
6526#define V_RDREQCNT(x) ((x) << S_RDREQCNT)
6527#define G_RDREQCNT(x) (((x) >> S_RDREQCNT) & M_RDREQCNT)
6528
6529#define S_T6_T5_STAT_RSPCNT 20
6530#define M_T6_T5_STAT_RSPCNT 0xffU
6531#define V_T6_T5_STAT_RSPCNT(x) ((x) << S_T6_T5_STAT_RSPCNT)
6532#define G_T6_T5_STAT_RSPCNT(x) (((x) >> S_T6_T5_STAT_RSPCNT) & M_T6_T5_STAT_RSPCNT)
6533
6534#define S_T6_RDREQCNT 12
6535#define M_T6_RDREQCNT 0xfU
6536#define V_T6_RDREQCNT(x) ((x) << S_T6_RDREQCNT)
6537#define G_T6_RDREQCNT(x) (((x) >> S_T6_RDREQCNT) & M_T6_RDREQCNT)
6538
6539#define A_PCIE_CORE_INBOUND_NON_POSTED_REQUESTS_BUFFER_ALLOCATION 0x5988
6540
6541#define S_IN0H 24
6542#define M_IN0H 0x3fU
6543#define V_IN0H(x) ((x) << S_IN0H)
6544#define G_IN0H(x) (((x) >> S_IN0H) & M_IN0H)
6545
6546#define S_IN1H 16
6547#define M_IN1H 0x3fU
6548#define V_IN1H(x) ((x) << S_IN1H)
6549#define G_IN1H(x) (((x) >> S_IN1H) & M_IN1H)
6550
6551#define S_IN2H 8
6552#define M_IN2H 0x3fU
6553#define V_IN2H(x) ((x) << S_IN2H)
6554#define G_IN2H(x) (((x) >> S_IN2H) & M_IN2H)
6555
6556#define S_IN3H 0
6557#define M_IN3H 0x3fU
6558#define V_IN3H(x) ((x) << S_IN3H)
6559#define G_IN3H(x) (((x) >> S_IN3H) & M_IN3H)
6560
6561#define A_PCIE_T5_CMD_STAT2 0x5988
6562#define A_PCIE_T5_CMD_STAT3 0x598c
6563#define A_PCIE_CORE_PCI_EXPRESS_TAGS_ALLOCATION 0x5990
6564
6565#define S_OC0T 24
6566#define M_OC0T 0xffU
6567#define V_OC0T(x) ((x) << S_OC0T)
6568#define G_OC0T(x) (((x) >> S_OC0T) & M_OC0T)
6569
6570#define S_OC1T 16
6571#define M_OC1T 0xffU
6572#define V_OC1T(x) ((x) << S_OC1T)
6573#define G_OC1T(x) (((x) >> S_OC1T) & M_OC1T)
6574
6575#define S_OC2T 8
6576#define M_OC2T 0xffU
6577#define V_OC2T(x) ((x) << S_OC2T)
6578#define G_OC2T(x) (((x) >> S_OC2T) & M_OC2T)
6579
6580#define S_OC3T 0
6581#define M_OC3T 0xffU
6582#define V_OC3T(x) ((x) << S_OC3T)
6583#define G_OC3T(x) (((x) >> S_OC3T) & M_OC3T)
6584
6585#define A_PCIE_CORE_GBIF_READ_TAGS_ALLOCATION 0x5998
6586
6587#define S_IC0T 24
6588#define M_IC0T 0x3fU
6589#define V_IC0T(x) ((x) << S_IC0T)
6590#define G_IC0T(x) (((x) >> S_IC0T) & M_IC0T)
6591
6592#define S_IC1T 16
6593#define M_IC1T 0x3fU
6594#define V_IC1T(x) ((x) << S_IC1T)
6595#define G_IC1T(x) (((x) >> S_IC1T) & M_IC1T)
6596
6597#define S_IC2T 8
6598#define M_IC2T 0x3fU
6599#define V_IC2T(x) ((x) << S_IC2T)
6600#define G_IC2T(x) (((x) >> S_IC2T) & M_IC2T)
6601
6602#define S_IC3T 0
6603#define M_IC3T 0x3fU
6604#define V_IC3T(x) ((x) << S_IC3T)
6605#define G_IC3T(x) (((x) >> S_IC3T) & M_IC3T)
6606
6607#define A_PCIE_CORE_UTL_PCI_EXPRESS_PORT_CONTROL 0x59a0
6608
6609#define S_VRB0 31
6610#define V_VRB0(x) ((x) << S_VRB0)
6611#define F_VRB0 V_VRB0(1U)
6612
6613#define S_VRB1 30
6614#define V_VRB1(x) ((x) << S_VRB1)
6615#define F_VRB1 V_VRB1(1U)
6616
6617#define S_VRB2 29
6618#define V_VRB2(x) ((x) << S_VRB2)
6619#define F_VRB2 V_VRB2(1U)
6620
6621#define S_VRB3 28
6622#define V_VRB3(x) ((x) << S_VRB3)
6623#define F_VRB3 V_VRB3(1U)
6624
6625#define S_PSFE 26
6626#define V_PSFE(x) ((x) << S_PSFE)
6627#define F_PSFE V_PSFE(1U)
6628
6629#define S_RVDE 25
6630#define V_RVDE(x) ((x) << S_RVDE)
6631#define F_RVDE V_RVDE(1U)
6632
6633#define S_TXE0 23
6634#define V_TXE0(x) ((x) << S_TXE0)
6635#define F_TXE0 V_TXE0(1U)
6636
6637#define S_TXE1 22
6638#define V_TXE1(x) ((x) << S_TXE1)
6639#define F_TXE1 V_TXE1(1U)
6640
6641#define S_TXE2 21
6642#define V_TXE2(x) ((x) << S_TXE2)
6643#define F_TXE2 V_TXE2(1U)
6644
6645#define S_TXE3 20
6646#define V_TXE3(x) ((x) << S_TXE3)
6647#define F_TXE3 V_TXE3(1U)
6648
6649#define S_RPAM 13
6650#define V_RPAM(x) ((x) << S_RPAM)
6651#define F_RPAM V_RPAM(1U)
6652
6653#define S_RTOS 4
6654#define M_RTOS 0xfU
6655#define V_RTOS(x) ((x) << S_RTOS)
6656#define G_RTOS(x) (((x) >> S_RTOS) & M_RTOS)
6657
6658#define A_PCIE_CORE_UTL_PCI_EXPRESS_PORT_STATUS 0x59a4
6659
6660#define S_TPCP 30
6661#define V_TPCP(x) ((x) << S_TPCP)
6662#define F_TPCP V_TPCP(1U)
6663
6664#define S_TNPP 29
6665#define V_TNPP(x) ((x) << S_TNPP)
6666#define F_TNPP V_TNPP(1U)
6667
6668#define S_TFTP 28
6669#define V_TFTP(x) ((x) << S_TFTP)
6670#define F_TFTP V_TFTP(1U)
6671
6672#define S_TCAP 27
6673#define V_TCAP(x) ((x) << S_TCAP)
6674#define F_TCAP V_TCAP(1U)
6675
6676#define S_TCIP 26
6677#define V_TCIP(x) ((x) << S_TCIP)
6678#define F_TCIP V_TCIP(1U)
6679
6680#define S_RCAP 25
6681#define V_RCAP(x) ((x) << S_RCAP)
6682#define F_RCAP V_RCAP(1U)
6683
6684#define S_PLUP 23
6685#define V_PLUP(x) ((x) << S_PLUP)
6686#define F_PLUP V_PLUP(1U)
6687
6688#define S_PLDN 22
6689#define V_PLDN(x) ((x) << S_PLDN)
6690#define F_PLDN V_PLDN(1U)
6691
6692#define S_OTDD 21
6693#define V_OTDD(x) ((x) << S_OTDD)
6694#define F_OTDD V_OTDD(1U)
6695
6696#define S_GTRP 20
6697#define V_GTRP(x) ((x) << S_GTRP)
6698#define F_GTRP V_GTRP(1U)
6699
6700#define S_RDPE 18
6701#define V_RDPE(x) ((x) << S_RDPE)
6702#define F_RDPE V_RDPE(1U)
6703
6704#define S_TDCE 17
6705#define V_TDCE(x) ((x) << S_TDCE)
6706#define F_TDCE V_TDCE(1U)
6707
6708#define S_TDUE 16
6709#define V_TDUE(x) ((x) << S_TDUE)
6710#define F_TDUE V_TDUE(1U)
6711
6712#define A_PCIE_CORE_UTL_PCI_EXPRESS_PORT_ERROR_SEVERITY 0x59a8
6713
6714#define S_TPCS 30
6715#define V_TPCS(x) ((x) << S_TPCS)
6716#define F_TPCS V_TPCS(1U)
6717
6718#define S_TNPS 29
6719#define V_TNPS(x) ((x) << S_TNPS)
6720#define F_TNPS V_TNPS(1U)
6721
6722#define S_TFTS 28
6723#define V_TFTS(x) ((x) << S_TFTS)
6724#define F_TFTS V_TFTS(1U)
6725
6726#define S_TCAS 27
6727#define V_TCAS(x) ((x) << S_TCAS)
6728#define F_TCAS V_TCAS(1U)
6729
6730#define S_TCIS 26
6731#define V_TCIS(x) ((x) << S_TCIS)
6732#define F_TCIS V_TCIS(1U)
6733
6734#define S_RCAS 25
6735#define V_RCAS(x) ((x) << S_RCAS)
6736#define F_RCAS V_RCAS(1U)
6737
6738#define S_PLUS 23
6739#define V_PLUS(x) ((x) << S_PLUS)
6740#define F_PLUS V_PLUS(1U)
6741
6742#define S_PLDS 22
6743#define V_PLDS(x) ((x) << S_PLDS)
6744#define F_PLDS V_PLDS(1U)
6745
6746#define S_OTDS 21
6747#define V_OTDS(x) ((x) << S_OTDS)
6748#define F_OTDS V_OTDS(1U)
6749
6750#define S_RDPS 18
6751#define V_RDPS(x) ((x) << S_RDPS)
6752#define F_RDPS V_RDPS(1U)
6753
6754#define S_TDCS 17
6755#define V_TDCS(x) ((x) << S_TDCS)
6756#define F_TDCS V_TDCS(1U)
6757
6758#define S_TDUS 16
6759#define V_TDUS(x) ((x) << S_TDUS)
6760#define F_TDUS V_TDUS(1U)
6761
6762#define A_PCIE_CORE_UTL_PCI_EXPRESS_PORT_INTERRUPT_ENABLE 0x59ac
6763
6764#define S_TPCI 30
6765#define V_TPCI(x) ((x) << S_TPCI)
6766#define F_TPCI V_TPCI(1U)
6767
6768#define S_TNPI 29
6769#define V_TNPI(x) ((x) << S_TNPI)
6770#define F_TNPI V_TNPI(1U)
6771
6772#define S_TFTI 28
6773#define V_TFTI(x) ((x) << S_TFTI)
6774#define F_TFTI V_TFTI(1U)
6775
6776#define S_TCAI 27
6777#define V_TCAI(x) ((x) << S_TCAI)
6778#define F_TCAI V_TCAI(1U)
6779
6780#define S_TCII 26
6781#define V_TCII(x) ((x) << S_TCII)
6782#define F_TCII V_TCII(1U)
6783
6784#define S_RCAI 25
6785#define V_RCAI(x) ((x) << S_RCAI)
6786#define F_RCAI V_RCAI(1U)
6787
6788#define S_PLUI 23
6789#define V_PLUI(x) ((x) << S_PLUI)
6790#define F_PLUI V_PLUI(1U)
6791
6792#define S_PLDI 22
6793#define V_PLDI(x) ((x) << S_PLDI)
6794#define F_PLDI V_PLDI(1U)
6795
6796#define S_OTDI 21
6797#define V_OTDI(x) ((x) << S_OTDI)
6798#define F_OTDI V_OTDI(1U)
6799
6800#define A_PCIE_CORE_ROOT_COMPLEX_STATUS 0x59b0
6801
6802#define S_RLCE 31
6803#define V_RLCE(x) ((x) << S_RLCE)
6804#define F_RLCE V_RLCE(1U)
6805
6806#define S_RLNE 30
6807#define V_RLNE(x) ((x) << S_RLNE)
6808#define F_RLNE V_RLNE(1U)
6809
6810#define S_RLFE 29
6811#define V_RLFE(x) ((x) << S_RLFE)
6812#define F_RLFE V_RLFE(1U)
6813
6814#define S_RCPE 25
6815#define V_RCPE(x) ((x) << S_RCPE)
6816#define F_RCPE V_RCPE(1U)
6817
6818#define S_RCTO 24
6819#define V_RCTO(x) ((x) << S_RCTO)
6820#define F_RCTO V_RCTO(1U)
6821
6822#define S_PINA 23
6823#define V_PINA(x) ((x) << S_PINA)
6824#define F_PINA V_PINA(1U)
6825
6826#define S_PINB 22
6827#define V_PINB(x) ((x) << S_PINB)
6828#define F_PINB V_PINB(1U)
6829
6830#define S_PINC 21
6831#define V_PINC(x) ((x) << S_PINC)
6832#define F_PINC V_PINC(1U)
6833
6834#define S_PIND 20
6835#define V_PIND(x) ((x) << S_PIND)
6836#define F_PIND V_PIND(1U)
6837
6838#define S_ALER 19
6839#define V_ALER(x) ((x) << S_ALER)
6840#define F_ALER V_ALER(1U)
6841
6842#define S_CRSE 18
6843#define V_CRSE(x) ((x) << S_CRSE)
6844#define F_CRSE V_CRSE(1U)
6845
6846#define A_PCIE_T5_HMA_CFG 0x59b0
6847
6848#define S_HMA_MAXREQCNT 20
6849#define M_HMA_MAXREQCNT 0x1fU
6850#define V_HMA_MAXREQCNT(x) ((x) << S_HMA_MAXREQCNT)
6851#define G_HMA_MAXREQCNT(x) (((x) >> S_HMA_MAXREQCNT) & M_HMA_MAXREQCNT)
6852
6853#define S_T5_HMA_MAXRDREQSIZE 17
6854#define M_T5_HMA_MAXRDREQSIZE 0x7U
6855#define V_T5_HMA_MAXRDREQSIZE(x) ((x) << S_T5_HMA_MAXRDREQSIZE)
6856#define G_T5_HMA_MAXRDREQSIZE(x) (((x) >> S_T5_HMA_MAXRDREQSIZE) & M_T5_HMA_MAXRDREQSIZE)
6857
6858#define S_T5_HMA_MAXRSPCNT 8
6859#define M_T5_HMA_MAXRSPCNT 0x1fU
6860#define V_T5_HMA_MAXRSPCNT(x) ((x) << S_T5_HMA_MAXRSPCNT)
6861#define G_T5_HMA_MAXRSPCNT(x) (((x) >> S_T5_HMA_MAXRSPCNT) & M_T5_HMA_MAXRSPCNT)
6862
6863#define S_T6_HMA_MAXREQCNT 20
6864#define M_T6_HMA_MAXREQCNT 0x7fU
6865#define V_T6_HMA_MAXREQCNT(x) ((x) << S_T6_HMA_MAXREQCNT)
6866#define G_T6_HMA_MAXREQCNT(x) (((x) >> S_T6_HMA_MAXREQCNT) & M_T6_HMA_MAXREQCNT)
6867
6868#define S_T6_T5_HMA_MAXRSPCNT 9
6869#define M_T6_T5_HMA_MAXRSPCNT 0xffU
6870#define V_T6_T5_HMA_MAXRSPCNT(x) ((x) << S_T6_T5_HMA_MAXRSPCNT)
6871#define G_T6_T5_HMA_MAXRSPCNT(x) (((x) >> S_T6_T5_HMA_MAXRSPCNT) & M_T6_T5_HMA_MAXRSPCNT)
6872
6873#define S_T6_SEQCHKDIS 8
6874#define V_T6_SEQCHKDIS(x) ((x) << S_T6_SEQCHKDIS)
6875#define F_T6_SEQCHKDIS V_T6_SEQCHKDIS(1U)
6876
6877#define S_T6_MINTAG 0
6878#define M_T6_MINTAG 0xffU
6879#define V_T6_MINTAG(x) ((x) << S_T6_MINTAG)
6880#define G_T6_MINTAG(x) (((x) >> S_T6_MINTAG) & M_T6_MINTAG)
6881
6882#define A_PCIE_CORE_ROOT_COMPLEX_ERROR_SEVERITY 0x59b4
6883
6884#define S_RLCS 31
6885#define V_RLCS(x) ((x) << S_RLCS)
6886#define F_RLCS V_RLCS(1U)
6887
6888#define S_RLNS 30
6889#define V_RLNS(x) ((x) << S_RLNS)
6890#define F_RLNS V_RLNS(1U)
6891
6892#define S_RLFS 29
6893#define V_RLFS(x) ((x) << S_RLFS)
6894#define F_RLFS V_RLFS(1U)
6895
6896#define S_RCPS 25
6897#define V_RCPS(x) ((x) << S_RCPS)
6898#define F_RCPS V_RCPS(1U)
6899
6900#define S_RCTS 24
6901#define V_RCTS(x) ((x) << S_RCTS)
6902#define F_RCTS V_RCTS(1U)
6903
6904#define S_PAAS 23
6905#define V_PAAS(x) ((x) << S_PAAS)
6906#define F_PAAS V_PAAS(1U)
6907
6908#define S_PABS 22
6909#define V_PABS(x) ((x) << S_PABS)
6910#define F_PABS V_PABS(1U)
6911
6912#define S_PACS 21
6913#define V_PACS(x) ((x) << S_PACS)
6914#define F_PACS V_PACS(1U)
6915
6916#define S_PADS 20
6917#define V_PADS(x) ((x) << S_PADS)
6918#define F_PADS V_PADS(1U)
6919
6920#define S_ALES 19
6921#define V_ALES(x) ((x) << S_ALES)
6922#define F_ALES V_ALES(1U)
6923
6924#define S_CRSS 18
6925#define V_CRSS(x) ((x) << S_CRSS)
6926#define F_CRSS V_CRSS(1U)
6927
6928#define A_PCIE_T5_HMA_STAT 0x59b4
6929
6930#define S_HMA_RESPCNT 20
6931#define M_HMA_RESPCNT 0x1ffU
6932#define V_HMA_RESPCNT(x) ((x) << S_HMA_RESPCNT)
6933#define G_HMA_RESPCNT(x) (((x) >> S_HMA_RESPCNT) & M_HMA_RESPCNT)
6934
6935#define S_HMA_RDREQCNT 12
6936#define M_HMA_RDREQCNT 0x3fU
6937#define V_HMA_RDREQCNT(x) ((x) << S_HMA_RDREQCNT)
6938#define G_HMA_RDREQCNT(x) (((x) >> S_HMA_RDREQCNT) & M_HMA_RDREQCNT)
6939
6940#define S_HMA_WRREQCNT 0
6941#define M_HMA_WRREQCNT 0x1ffU
6942#define V_HMA_WRREQCNT(x) ((x) << S_HMA_WRREQCNT)
6943#define G_HMA_WRREQCNT(x) (((x) >> S_HMA_WRREQCNT) & M_HMA_WRREQCNT)
6944
6945#define S_T6_HMA_RESPCNT 20
6946#define M_T6_HMA_RESPCNT 0x3ffU
6947#define V_T6_HMA_RESPCNT(x) ((x) << S_T6_HMA_RESPCNT)
6948#define G_T6_HMA_RESPCNT(x) (((x) >> S_T6_HMA_RESPCNT) & M_T6_HMA_RESPCNT)
6949
6950#define A_PCIE_CORE_ROOT_COMPLEX_INTERRUPT_ENABLE 0x59b8
6951
6952#define S_RLCI 31
6953#define V_RLCI(x) ((x) << S_RLCI)
6954#define F_RLCI V_RLCI(1U)
6955
6956#define S_RLNI 30
6957#define V_RLNI(x) ((x) << S_RLNI)
6958#define F_RLNI V_RLNI(1U)
6959
6960#define S_RLFI 29
6961#define V_RLFI(x) ((x) << S_RLFI)
6962#define F_RLFI V_RLFI(1U)
6963
6964#define S_RCPI 25
6965#define V_RCPI(x) ((x) << S_RCPI)
6966#define F_RCPI V_RCPI(1U)
6967
6968#define S_RCTI 24
6969#define V_RCTI(x) ((x) << S_RCTI)
6970#define F_RCTI V_RCTI(1U)
6971
6972#define S_PAAI 23
6973#define V_PAAI(x) ((x) << S_PAAI)
6974#define F_PAAI V_PAAI(1U)
6975
6976#define S_PABI 22
6977#define V_PABI(x) ((x) << S_PABI)
6978#define F_PABI V_PABI(1U)
6979
6980#define S_PACI 21
6981#define V_PACI(x) ((x) << S_PACI)
6982#define F_PACI V_PACI(1U)
6983
6984#define S_PADI 20
6985#define V_PADI(x) ((x) << S_PADI)
6986#define F_PADI V_PADI(1U)
6987
6988#define S_ALEI 19
6989#define V_ALEI(x) ((x) << S_ALEI)
6990#define F_ALEI V_ALEI(1U)
6991
6992#define S_CRSI 18
6993#define V_CRSI(x) ((x) << S_CRSI)
6994#define F_CRSI V_CRSI(1U)
6995
6996#define A_PCIE_T5_HMA_STAT2 0x59b8
6997#define A_PCIE_CORE_ENDPOINT_STATUS 0x59bc
6998
6999#define S_PTOM 31
7000#define V_PTOM(x) ((x) << S_PTOM)
7001#define F_PTOM V_PTOM(1U)
7002
7003#define S_ALEA 29
7004#define V_ALEA(x) ((x) << S_ALEA)
7005#define F_ALEA V_ALEA(1U)
7006
7007#define S_PMC0 23
7008#define V_PMC0(x) ((x) << S_PMC0)
7009#define F_PMC0 V_PMC0(1U)
7010
7011#define S_PMC1 22
7012#define V_PMC1(x) ((x) << S_PMC1)
7013#define F_PMC1 V_PMC1(1U)
7014
7015#define S_PMC2 21
7016#define V_PMC2(x) ((x) << S_PMC2)
7017#define F_PMC2 V_PMC2(1U)
7018
7019#define S_PMC3 20
7020#define V_PMC3(x) ((x) << S_PMC3)
7021#define F_PMC3 V_PMC3(1U)
7022
7023#define S_PMC4 19
7024#define V_PMC4(x) ((x) << S_PMC4)
7025#define F_PMC4 V_PMC4(1U)
7026
7027#define S_PMC5 18
7028#define V_PMC5(x) ((x) << S_PMC5)
7029#define F_PMC5 V_PMC5(1U)
7030
7031#define S_PMC6 17
7032#define V_PMC6(x) ((x) << S_PMC6)
7033#define F_PMC6 V_PMC6(1U)
7034
7035#define S_PMC7 16
7036#define V_PMC7(x) ((x) << S_PMC7)
7037#define F_PMC7 V_PMC7(1U)
7038
7039#define A_PCIE_T5_HMA_STAT3 0x59bc
7040#define A_PCIE_CORE_ENDPOINT_ERROR_SEVERITY 0x59c0
7041
7042#define S_PTOS 31
7043#define V_PTOS(x) ((x) << S_PTOS)
7044#define F_PTOS V_PTOS(1U)
7045
7046#define S_AENS 29
7047#define V_AENS(x) ((x) << S_AENS)
7048#define F_AENS V_AENS(1U)
7049
7050#define S_PC0S 23
7051#define V_PC0S(x) ((x) << S_PC0S)
7052#define F_PC0S V_PC0S(1U)
7053
7054#define S_PC1S 22
7055#define V_PC1S(x) ((x) << S_PC1S)
7056#define F_PC1S V_PC1S(1U)
7057
7058#define S_PC2S 21
7059#define V_PC2S(x) ((x) << S_PC2S)
7060#define F_PC2S V_PC2S(1U)
7061
7062#define S_PC3S 20
7063#define V_PC3S(x) ((x) << S_PC3S)
7064#define F_PC3S V_PC3S(1U)
7065
7066#define S_PC4S 19
7067#define V_PC4S(x) ((x) << S_PC4S)
7068#define F_PC4S V_PC4S(1U)
7069
7070#define S_PC5S 18
7071#define V_PC5S(x) ((x) << S_PC5S)
7072#define F_PC5S V_PC5S(1U)
7073
7074#define S_PC6S 17
7075#define V_PC6S(x) ((x) << S_PC6S)
7076#define F_PC6S V_PC6S(1U)
7077
7078#define S_PC7S 16
7079#define V_PC7S(x) ((x) << S_PC7S)
7080#define F_PC7S V_PC7S(1U)
7081
7082#define S_PME0 15
7083#define V_PME0(x) ((x) << S_PME0)
7084#define F_PME0 V_PME0(1U)
7085
7086#define S_PME1 14
7087#define V_PME1(x) ((x) << S_PME1)
7088#define F_PME1 V_PME1(1U)
7089
7090#define S_PME2 13
7091#define V_PME2(x) ((x) << S_PME2)
7092#define F_PME2 V_PME2(1U)
7093
7094#define S_PME3 12
7095#define V_PME3(x) ((x) << S_PME3)
7096#define F_PME3 V_PME3(1U)
7097
7098#define S_PME4 11
7099#define V_PME4(x) ((x) << S_PME4)
7100#define F_PME4 V_PME4(1U)
7101
7102#define S_PME5 10
7103#define V_PME5(x) ((x) << S_PME5)
7104#define F_PME5 V_PME5(1U)
7105
7106#define S_PME6 9
7107#define V_PME6(x) ((x) << S_PME6)
7108#define F_PME6 V_PME6(1U)
7109
7110#define S_PME7 8
7111#define V_PME7(x) ((x) << S_PME7)
7112#define F_PME7 V_PME7(1U)
7113
7114#define A_PCIE_CGEN 0x59c0
7115
7116#define S_VPD_DYNAMIC_CGEN 26
7117#define V_VPD_DYNAMIC_CGEN(x) ((x) << S_VPD_DYNAMIC_CGEN)
7118#define F_VPD_DYNAMIC_CGEN V_VPD_DYNAMIC_CGEN(1U)
7119
7120#define S_MA_DYNAMIC_CGEN 25
7121#define V_MA_DYNAMIC_CGEN(x) ((x) << S_MA_DYNAMIC_CGEN)
7122#define F_MA_DYNAMIC_CGEN V_MA_DYNAMIC_CGEN(1U)
7123
7124#define S_TAGQ_DYNAMIC_CGEN 24
7125#define V_TAGQ_DYNAMIC_CGEN(x) ((x) << S_TAGQ_DYNAMIC_CGEN)
7126#define F_TAGQ_DYNAMIC_CGEN V_TAGQ_DYNAMIC_CGEN(1U)
7127
7128#define S_REQCTL_DYNAMIC_CGEN 23
7129#define V_REQCTL_DYNAMIC_CGEN(x) ((x) << S_REQCTL_DYNAMIC_CGEN)
7130#define F_REQCTL_DYNAMIC_CGEN V_REQCTL_DYNAMIC_CGEN(1U)
7131
7132#define S_RSPDATAPROC_DYNAMIC_CGEN 22
7133#define V_RSPDATAPROC_DYNAMIC_CGEN(x) ((x) << S_RSPDATAPROC_DYNAMIC_CGEN)
7134#define F_RSPDATAPROC_DYNAMIC_CGEN V_RSPDATAPROC_DYNAMIC_CGEN(1U)
7135
7136#define S_RSPRDQ_DYNAMIC_CGEN 21
7137#define V_RSPRDQ_DYNAMIC_CGEN(x) ((x) << S_RSPRDQ_DYNAMIC_CGEN)
7138#define F_RSPRDQ_DYNAMIC_CGEN V_RSPRDQ_DYNAMIC_CGEN(1U)
7139
7140#define S_RSPIPIF_DYNAMIC_CGEN 20
7141#define V_RSPIPIF_DYNAMIC_CGEN(x) ((x) << S_RSPIPIF_DYNAMIC_CGEN)
7142#define F_RSPIPIF_DYNAMIC_CGEN V_RSPIPIF_DYNAMIC_CGEN(1U)
7143
7144#define S_HMA_STATIC_CGEN 19
7145#define V_HMA_STATIC_CGEN(x) ((x) << S_HMA_STATIC_CGEN)
7146#define F_HMA_STATIC_CGEN V_HMA_STATIC_CGEN(1U)
7147
7148#define S_HMA_DYNAMIC_CGEN 18
7149#define V_HMA_DYNAMIC_CGEN(x) ((x) << S_HMA_DYNAMIC_CGEN)
7150#define F_HMA_DYNAMIC_CGEN V_HMA_DYNAMIC_CGEN(1U)
7151
7152#define S_CMD_STATIC_CGEN 16
7153#define V_CMD_STATIC_CGEN(x) ((x) << S_CMD_STATIC_CGEN)
7154#define F_CMD_STATIC_CGEN V_CMD_STATIC_CGEN(1U)
7155
7156#define S_CMD_DYNAMIC_CGEN 15
7157#define V_CMD_DYNAMIC_CGEN(x) ((x) << S_CMD_DYNAMIC_CGEN)
7158#define F_CMD_DYNAMIC_CGEN V_CMD_DYNAMIC_CGEN(1U)
7159
7160#define S_DMA_STATIC_CGEN 13
7161#define V_DMA_STATIC_CGEN(x) ((x) << S_DMA_STATIC_CGEN)
7162#define F_DMA_STATIC_CGEN V_DMA_STATIC_CGEN(1U)
7163
7164#define S_DMA_DYNAMIC_CGEN 12
7165#define V_DMA_DYNAMIC_CGEN(x) ((x) << S_DMA_DYNAMIC_CGEN)
7166#define F_DMA_DYNAMIC_CGEN V_DMA_DYNAMIC_CGEN(1U)
7167
7168#define S_VFID_SLEEPSTATUS 10
7169#define V_VFID_SLEEPSTATUS(x) ((x) << S_VFID_SLEEPSTATUS)
7170#define F_VFID_SLEEPSTATUS V_VFID_SLEEPSTATUS(1U)
7171
7172#define S_VC1_SLEEPSTATUS 9
7173#define V_VC1_SLEEPSTATUS(x) ((x) << S_VC1_SLEEPSTATUS)
7174#define F_VC1_SLEEPSTATUS V_VC1_SLEEPSTATUS(1U)
7175
7176#define S_STI_SLEEPSTATUS 8
7177#define V_STI_SLEEPSTATUS(x) ((x) << S_STI_SLEEPSTATUS)
7178#define F_STI_SLEEPSTATUS V_STI_SLEEPSTATUS(1U)
7179
7180#define S_VFID_SLEEPREQ 2
7181#define V_VFID_SLEEPREQ(x) ((x) << S_VFID_SLEEPREQ)
7182#define F_VFID_SLEEPREQ V_VFID_SLEEPREQ(1U)
7183
7184#define S_VC1_SLEEPREQ 1
7185#define V_VC1_SLEEPREQ(x) ((x) << S_VC1_SLEEPREQ)
7186#define F_VC1_SLEEPREQ V_VC1_SLEEPREQ(1U)
7187
7188#define S_STI_SLEEPREQ 0
7189#define V_STI_SLEEPREQ(x) ((x) << S_STI_SLEEPREQ)
7190#define F_STI_SLEEPREQ V_STI_SLEEPREQ(1U)
7191
7192#define A_PCIE_CORE_ENDPOINT_INTERRUPT_ENABLE 0x59c4
7193
7194#define S_PTOI 31
7195#define V_PTOI(x) ((x) << S_PTOI)
7196#define F_PTOI V_PTOI(1U)
7197
7198#define S_AENI 29
7199#define V_AENI(x) ((x) << S_AENI)
7200#define F_AENI V_AENI(1U)
7201
7202#define S_PC0I 23
7203#define V_PC0I(x) ((x) << S_PC0I)
7204#define F_PC0I V_PC0I(1U)
7205
7206#define S_PC1I 22
7207#define V_PC1I(x) ((x) << S_PC1I)
7208#define F_PC1I V_PC1I(1U)
7209
7210#define S_PC2I 21
7211#define V_PC2I(x) ((x) << S_PC2I)
7212#define F_PC2I V_PC2I(1U)
7213
7214#define S_PC3I 20
7215#define V_PC3I(x) ((x) << S_PC3I)
7216#define F_PC3I V_PC3I(1U)
7217
7218#define S_PC4I 19
7219#define V_PC4I(x) ((x) << S_PC4I)
7220#define F_PC4I V_PC4I(1U)
7221
7222#define S_PC5I 18
7223#define V_PC5I(x) ((x) << S_PC5I)
7224#define F_PC5I V_PC5I(1U)
7225
7226#define S_PC6I 17
7227#define V_PC6I(x) ((x) << S_PC6I)
7228#define F_PC6I V_PC6I(1U)
7229
7230#define S_PC7I 16
7231#define V_PC7I(x) ((x) << S_PC7I)
7232#define F_PC7I V_PC7I(1U)
7233
7234#define A_PCIE_MA_RSP 0x59c4
7235
7236#define S_TIMERVALUE 8
7237#define M_TIMERVALUE 0xffffffU
7238#define V_TIMERVALUE(x) ((x) << S_TIMERVALUE)
7239#define G_TIMERVALUE(x) (((x) >> S_TIMERVALUE) & M_TIMERVALUE)
7240
7241#define S_MAREQTIMEREN 1
7242#define V_MAREQTIMEREN(x) ((x) << S_MAREQTIMEREN)
7243#define F_MAREQTIMEREN V_MAREQTIMEREN(1U)
7244
7245#define S_MARSPTIMEREN 0
7246#define V_MARSPTIMEREN(x) ((x) << S_MARSPTIMEREN)
7247#define F_MARSPTIMEREN V_MARSPTIMEREN(1U)
7248
7249#define A_PCIE_CORE_PCI_POWER_MANAGEMENT_CONTROL_1 0x59c8
7250
7251#define S_TOAK 31
7252#define V_TOAK(x) ((x) << S_TOAK)
7253#define F_TOAK V_TOAK(1U)
7254
7255#define S_L1RS 23
7256#define V_L1RS(x) ((x) << S_L1RS)
7257#define F_L1RS V_L1RS(1U)
7258
7259#define S_L23S 22
7260#define V_L23S(x) ((x) << S_L23S)
7261#define F_L23S V_L23S(1U)
7262
7263#define S_AL1S 21
7264#define V_AL1S(x) ((x) << S_AL1S)
7265#define F_AL1S V_AL1S(1U)
7266
7267#define S_ALET 19
7268#define V_ALET(x) ((x) << S_ALET)
7269#define F_ALET V_ALET(1U)
7270
7271#define A_PCIE_HPRD 0x59c8
7272
7273#define S_NPH_CREDITSAVAILVC0 19
7274#define M_NPH_CREDITSAVAILVC0 0x3U
7275#define V_NPH_CREDITSAVAILVC0(x) ((x) << S_NPH_CREDITSAVAILVC0)
7276#define G_NPH_CREDITSAVAILVC0(x) (((x) >> S_NPH_CREDITSAVAILVC0) & M_NPH_CREDITSAVAILVC0)
7277
7278#define S_NPD_CREDITSAVAILVC0 17
7279#define M_NPD_CREDITSAVAILVC0 0x3U
7280#define V_NPD_CREDITSAVAILVC0(x) ((x) << S_NPD_CREDITSAVAILVC0)
7281#define G_NPD_CREDITSAVAILVC0(x) (((x) >> S_NPD_CREDITSAVAILVC0) & M_NPD_CREDITSAVAILVC0)
7282
7283#define S_NPH_CREDITSAVAILVC1 15
7284#define M_NPH_CREDITSAVAILVC1 0x3U
7285#define V_NPH_CREDITSAVAILVC1(x) ((x) << S_NPH_CREDITSAVAILVC1)
7286#define G_NPH_CREDITSAVAILVC1(x) (((x) >> S_NPH_CREDITSAVAILVC1) & M_NPH_CREDITSAVAILVC1)
7287
7288#define S_NPD_CREDITSAVAILVC1 13
7289#define M_NPD_CREDITSAVAILVC1 0x3U
7290#define V_NPD_CREDITSAVAILVC1(x) ((x) << S_NPD_CREDITSAVAILVC1)
7291#define G_NPD_CREDITSAVAILVC1(x) (((x) >> S_NPD_CREDITSAVAILVC1) & M_NPD_CREDITSAVAILVC1)
7292
7293#define S_NPH_CREDITSREQUIRED 11
7294#define M_NPH_CREDITSREQUIRED 0x3U
7295#define V_NPH_CREDITSREQUIRED(x) ((x) << S_NPH_CREDITSREQUIRED)
7296#define G_NPH_CREDITSREQUIRED(x) (((x) >> S_NPH_CREDITSREQUIRED) & M_NPH_CREDITSREQUIRED)
7297
7298#define S_NPD_CREDITSREQUIRED 9
7299#define M_NPD_CREDITSREQUIRED 0x3U
7300#define V_NPD_CREDITSREQUIRED(x) ((x) << S_NPD_CREDITSREQUIRED)
7301#define G_NPD_CREDITSREQUIRED(x) (((x) >> S_NPD_CREDITSREQUIRED) & M_NPD_CREDITSREQUIRED)
7302
7303#define S_REQBURSTCOUNT 5
7304#define M_REQBURSTCOUNT 0xfU
7305#define V_REQBURSTCOUNT(x) ((x) << S_REQBURSTCOUNT)
7306#define G_REQBURSTCOUNT(x) (((x) >> S_REQBURSTCOUNT) & M_REQBURSTCOUNT)
7307
7308#define S_REQBURSTFREQUENCY 1
7309#define M_REQBURSTFREQUENCY 0xfU
7310#define V_REQBURSTFREQUENCY(x) ((x) << S_REQBURSTFREQUENCY)
7311#define G_REQBURSTFREQUENCY(x) (((x) >> S_REQBURSTFREQUENCY) & M_REQBURSTFREQUENCY)
7312
7313#define S_ENABLEVC1 0
7314#define V_ENABLEVC1(x) ((x) << S_ENABLEVC1)
7315#define F_ENABLEVC1 V_ENABLEVC1(1U)
7316
7317#define A_PCIE_CORE_PCI_POWER_MANAGEMENT_CONTROL_2 0x59cc
7318
7319#define S_CPM0 30
7320#define M_CPM0 0x3U
7321#define V_CPM0(x) ((x) << S_CPM0)
7322#define G_CPM0(x) (((x) >> S_CPM0) & M_CPM0)
7323
7324#define S_CPM1 28
7325#define M_CPM1 0x3U
7326#define V_CPM1(x) ((x) << S_CPM1)
7327#define G_CPM1(x) (((x) >> S_CPM1) & M_CPM1)
7328
7329#define S_CPM2 26
7330#define M_CPM2 0x3U
7331#define V_CPM2(x) ((x) << S_CPM2)
7332#define G_CPM2(x) (((x) >> S_CPM2) & M_CPM2)
7333
7334#define S_CPM3 24
7335#define M_CPM3 0x3U
7336#define V_CPM3(x) ((x) << S_CPM3)
7337#define G_CPM3(x) (((x) >> S_CPM3) & M_CPM3)
7338
7339#define S_CPM4 22
7340#define M_CPM4 0x3U
7341#define V_CPM4(x) ((x) << S_CPM4)
7342#define G_CPM4(x) (((x) >> S_CPM4) & M_CPM4)
7343
7344#define S_CPM5 20
7345#define M_CPM5 0x3U
7346#define V_CPM5(x) ((x) << S_CPM5)
7347#define G_CPM5(x) (((x) >> S_CPM5) & M_CPM5)
7348
7349#define S_CPM6 18
7350#define M_CPM6 0x3U
7351#define V_CPM6(x) ((x) << S_CPM6)
7352#define G_CPM6(x) (((x) >> S_CPM6) & M_CPM6)
7353
7354#define S_CPM7 16
7355#define M_CPM7 0x3U
7356#define V_CPM7(x) ((x) << S_CPM7)
7357#define G_CPM7(x) (((x) >> S_CPM7) & M_CPM7)
7358
7359#define S_OPM0 14
7360#define M_OPM0 0x3U
7361#define V_OPM0(x) ((x) << S_OPM0)
7362#define G_OPM0(x) (((x) >> S_OPM0) & M_OPM0)
7363
7364#define S_OPM1 12
7365#define M_OPM1 0x3U
7366#define V_OPM1(x) ((x) << S_OPM1)
7367#define G_OPM1(x) (((x) >> S_OPM1) & M_OPM1)
7368
7369#define S_OPM2 10
7370#define M_OPM2 0x3U
7371#define V_OPM2(x) ((x) << S_OPM2)
7372#define G_OPM2(x) (((x) >> S_OPM2) & M_OPM2)
7373
7374#define S_OPM3 8
7375#define M_OPM3 0x3U
7376#define V_OPM3(x) ((x) << S_OPM3)
7377#define G_OPM3(x) (((x) >> S_OPM3) & M_OPM3)
7378
7379#define S_OPM4 6
7380#define M_OPM4 0x3U
7381#define V_OPM4(x) ((x) << S_OPM4)
7382#define G_OPM4(x) (((x) >> S_OPM4) & M_OPM4)
7383
7384#define S_OPM5 4
7385#define M_OPM5 0x3U
7386#define V_OPM5(x) ((x) << S_OPM5)
7387#define G_OPM5(x) (((x) >> S_OPM5) & M_OPM5)
7388
7389#define S_OPM6 2
7390#define M_OPM6 0x3U
7391#define V_OPM6(x) ((x) << S_OPM6)
7392#define G_OPM6(x) (((x) >> S_OPM6) & M_OPM6)
7393
7394#define S_OPM7 0
7395#define M_OPM7 0x3U
7396#define V_OPM7(x) ((x) << S_OPM7)
7397#define G_OPM7(x) (((x) >> S_OPM7) & M_OPM7)
7398
7399#define A_PCIE_CORE_GENERAL_PURPOSE_CONTROL_1 0x59d0
7400#define A_PCIE_PERR_GROUP 0x59d0
7401
7402#define S_MST_DATAPATHPERR 25
7403#define V_MST_DATAPATHPERR(x) ((x) << S_MST_DATAPATHPERR)
7404#define F_MST_DATAPATHPERR V_MST_DATAPATHPERR(1U)
7405
7406#define S_MST_RSPRDQPERR 24
7407#define V_MST_RSPRDQPERR(x) ((x) << S_MST_RSPRDQPERR)
7408#define F_MST_RSPRDQPERR V_MST_RSPRDQPERR(1U)
7409
7410#define S_IP_RXPERR 23
7411#define V_IP_RXPERR(x) ((x) << S_IP_RXPERR)
7412#define F_IP_RXPERR V_IP_RXPERR(1U)
7413
7414#define S_IP_BACKTXPERR 22
7415#define V_IP_BACKTXPERR(x) ((x) << S_IP_BACKTXPERR)
7416#define F_IP_BACKTXPERR V_IP_BACKTXPERR(1U)
7417
7418#define S_IP_FRONTTXPERR 21
7419#define V_IP_FRONTTXPERR(x) ((x) << S_IP_FRONTTXPERR)
7420#define F_IP_FRONTTXPERR V_IP_FRONTTXPERR(1U)
7421
7422#define S_TRGT1_FIDLKUPHDRPERR 20
7423#define V_TRGT1_FIDLKUPHDRPERR(x) ((x) << S_TRGT1_FIDLKUPHDRPERR)
7424#define F_TRGT1_FIDLKUPHDRPERR V_TRGT1_FIDLKUPHDRPERR(1U)
7425
7426#define S_TRGT1_ALINDDATAPERR 19
7427#define V_TRGT1_ALINDDATAPERR(x) ((x) << S_TRGT1_ALINDDATAPERR)
7428#define F_TRGT1_ALINDDATAPERR V_TRGT1_ALINDDATAPERR(1U)
7429
7430#define S_TRGT1_UNALINDATAPERR 18
7431#define V_TRGT1_UNALINDATAPERR(x) ((x) << S_TRGT1_UNALINDATAPERR)
7432#define F_TRGT1_UNALINDATAPERR V_TRGT1_UNALINDATAPERR(1U)
7433
7434#define S_TRGT1_REQDATAPERR 17
7435#define V_TRGT1_REQDATAPERR(x) ((x) << S_TRGT1_REQDATAPERR)
7436#define F_TRGT1_REQDATAPERR V_TRGT1_REQDATAPERR(1U)
7437
7438#define S_TRGT1_REQHDRPERR 16
7439#define V_TRGT1_REQHDRPERR(x) ((x) << S_TRGT1_REQHDRPERR)
7440#define F_TRGT1_REQHDRPERR V_TRGT1_REQHDRPERR(1U)
7441
7442#define S_IPRXDATA_VC1PERR 15
7443#define V_IPRXDATA_VC1PERR(x) ((x) << S_IPRXDATA_VC1PERR)
7444#define F_IPRXDATA_VC1PERR V_IPRXDATA_VC1PERR(1U)
7445
7446#define S_IPRXDATA_VC0PERR 14
7447#define V_IPRXDATA_VC0PERR(x) ((x) << S_IPRXDATA_VC0PERR)
7448#define F_IPRXDATA_VC0PERR V_IPRXDATA_VC0PERR(1U)
7449
7450#define S_IPRXHDR_VC1PERR 13
7451#define V_IPRXHDR_VC1PERR(x) ((x) << S_IPRXHDR_VC1PERR)
7452#define F_IPRXHDR_VC1PERR V_IPRXHDR_VC1PERR(1U)
7453
7454#define S_IPRXHDR_VC0PERR 12
7455#define V_IPRXHDR_VC0PERR(x) ((x) << S_IPRXHDR_VC0PERR)
7456#define F_IPRXHDR_VC0PERR V_IPRXHDR_VC0PERR(1U)
7457
7458#define S_MA_RSPDATAPERR 11
7459#define V_MA_RSPDATAPERR(x) ((x) << S_MA_RSPDATAPERR)
7460#define F_MA_RSPDATAPERR V_MA_RSPDATAPERR(1U)
7461
7462#define S_MA_CPLTAGQPERR 10
7463#define V_MA_CPLTAGQPERR(x) ((x) << S_MA_CPLTAGQPERR)
7464#define F_MA_CPLTAGQPERR V_MA_CPLTAGQPERR(1U)
7465
7466#define S_MA_REQTAGQPERR 9
7467#define V_MA_REQTAGQPERR(x) ((x) << S_MA_REQTAGQPERR)
7468#define F_MA_REQTAGQPERR V_MA_REQTAGQPERR(1U)
7469
7470#define S_PIOREQ_BAR2CTLPERR 8
7471#define V_PIOREQ_BAR2CTLPERR(x) ((x) << S_PIOREQ_BAR2CTLPERR)
7472#define F_PIOREQ_BAR2CTLPERR V_PIOREQ_BAR2CTLPERR(1U)
7473
7474#define S_PIOREQ_MEMCTLPERR 7
7475#define V_PIOREQ_MEMCTLPERR(x) ((x) << S_PIOREQ_MEMCTLPERR)
7476#define F_PIOREQ_MEMCTLPERR V_PIOREQ_MEMCTLPERR(1U)
7477
7478#define S_PIOREQ_PLMCTLPERR 6
7479#define V_PIOREQ_PLMCTLPERR(x) ((x) << S_PIOREQ_PLMCTLPERR)
7480#define F_PIOREQ_PLMCTLPERR V_PIOREQ_PLMCTLPERR(1U)
7481
7482#define S_PIOREQ_BAR2DATAPERR 5
7483#define V_PIOREQ_BAR2DATAPERR(x) ((x) << S_PIOREQ_BAR2DATAPERR)
7484#define F_PIOREQ_BAR2DATAPERR V_PIOREQ_BAR2DATAPERR(1U)
7485
7486#define S_PIOREQ_MEMDATAPERR 4
7487#define V_PIOREQ_MEMDATAPERR(x) ((x) << S_PIOREQ_MEMDATAPERR)
7488#define F_PIOREQ_MEMDATAPERR V_PIOREQ_MEMDATAPERR(1U)
7489
7490#define S_PIOREQ_PLMDATAPERR 3
7491#define V_PIOREQ_PLMDATAPERR(x) ((x) << S_PIOREQ_PLMDATAPERR)
7492#define F_PIOREQ_PLMDATAPERR V_PIOREQ_PLMDATAPERR(1U)
7493
7494#define S_PIOCPL_CTLPERR 2
7495#define V_PIOCPL_CTLPERR(x) ((x) << S_PIOCPL_CTLPERR)
7496#define F_PIOCPL_CTLPERR V_PIOCPL_CTLPERR(1U)
7497
7498#define S_PIOCPL_DATAPERR 1
7499#define V_PIOCPL_DATAPERR(x) ((x) << S_PIOCPL_DATAPERR)
7500#define F_PIOCPL_DATAPERR V_PIOCPL_DATAPERR(1U)
7501
7502#define S_PIOCPL_PLMRSPPERR 0
7503#define V_PIOCPL_PLMRSPPERR(x) ((x) << S_PIOCPL_PLMRSPPERR)
7504#define F_PIOCPL_PLMRSPPERR V_PIOCPL_PLMRSPPERR(1U)
7505
7506#define S_MA_RSPCTLPERR 26
7507#define V_MA_RSPCTLPERR(x) ((x) << S_MA_RSPCTLPERR)
7508#define F_MA_RSPCTLPERR V_MA_RSPCTLPERR(1U)
7509
7510#define S_T6_IPRXDATA_VC0PERR 15
7511#define V_T6_IPRXDATA_VC0PERR(x) ((x) << S_T6_IPRXDATA_VC0PERR)
7512#define F_T6_IPRXDATA_VC0PERR V_T6_IPRXDATA_VC0PERR(1U)
7513
7514#define S_T6_IPRXHDR_VC0PERR 14
7515#define V_T6_IPRXHDR_VC0PERR(x) ((x) << S_T6_IPRXHDR_VC0PERR)
7516#define F_T6_IPRXHDR_VC0PERR V_T6_IPRXHDR_VC0PERR(1U)
7517
7518#define S_PIOCPL_VDMTXCTLPERR 13
7519#define V_PIOCPL_VDMTXCTLPERR(x) ((x) << S_PIOCPL_VDMTXCTLPERR)
7520#define F_PIOCPL_VDMTXCTLPERR V_PIOCPL_VDMTXCTLPERR(1U)
7521
7522#define S_PIOCPL_VDMTXDATAPERR 12
7523#define V_PIOCPL_VDMTXDATAPERR(x) ((x) << S_PIOCPL_VDMTXDATAPERR)
7524#define F_PIOCPL_VDMTXDATAPERR V_PIOCPL_VDMTXDATAPERR(1U)
7525
7526#define A_PCIE_CORE_GENERAL_PURPOSE_CONTROL_2 0x59d4
7527#define A_PCIE_RSP_ERR_INT_LOG_EN 0x59d4
7528
7529#define S_CPLSTATUSINTEN 12
7530#define V_CPLSTATUSINTEN(x) ((x) << S_CPLSTATUSINTEN)
7531#define F_CPLSTATUSINTEN V_CPLSTATUSINTEN(1U)
7532
7533#define S_REQTIMEOUTINTEN 11
7534#define V_REQTIMEOUTINTEN(x) ((x) << S_REQTIMEOUTINTEN)
7535#define F_REQTIMEOUTINTEN V_REQTIMEOUTINTEN(1U)
7536
7537#define S_DISABLEDINTEN 10
7538#define V_DISABLEDINTEN(x) ((x) << S_DISABLEDINTEN)
7539#define F_DISABLEDINTEN V_DISABLEDINTEN(1U)
7540
7541#define S_RSPDROPFLRINTEN 9
7542#define V_RSPDROPFLRINTEN(x) ((x) << S_RSPDROPFLRINTEN)
7543#define F_RSPDROPFLRINTEN V_RSPDROPFLRINTEN(1U)
7544
7545#define S_REQUNDERFLRINTEN 8
7546#define V_REQUNDERFLRINTEN(x) ((x) << S_REQUNDERFLRINTEN)
7547#define F_REQUNDERFLRINTEN V_REQUNDERFLRINTEN(1U)
7548
7549#define S_CPLSTATUSLOGEN 4
7550#define V_CPLSTATUSLOGEN(x) ((x) << S_CPLSTATUSLOGEN)
7551#define F_CPLSTATUSLOGEN V_CPLSTATUSLOGEN(1U)
7552
7553#define S_TIMEOUTLOGEN 3
7554#define V_TIMEOUTLOGEN(x) ((x) << S_TIMEOUTLOGEN)
7555#define F_TIMEOUTLOGEN V_TIMEOUTLOGEN(1U)
7556
7557#define S_DISABLEDLOGEN 2
7558#define V_DISABLEDLOGEN(x) ((x) << S_DISABLEDLOGEN)
7559#define F_DISABLEDLOGEN V_DISABLEDLOGEN(1U)
7560
7561#define S_RSPDROPFLRLOGEN 1
7562#define V_RSPDROPFLRLOGEN(x) ((x) << S_RSPDROPFLRLOGEN)
7563#define F_RSPDROPFLRLOGEN V_RSPDROPFLRLOGEN(1U)
7564
7565#define S_REQUNDERFLRLOGEN 0
7566#define V_REQUNDERFLRLOGEN(x) ((x) << S_REQUNDERFLRLOGEN)
7567#define F_REQUNDERFLRLOGEN V_REQUNDERFLRLOGEN(1U)
7568
7569#define A_PCIE_RSP_ERR_LOG1 0x59d8
7570
7571#define S_REQTAG 25
7572#define M_REQTAG 0x7fU
7573#define V_REQTAG(x) ((x) << S_REQTAG)
7574#define G_REQTAG(x) (((x) >> S_REQTAG) & M_REQTAG)
7575
7576#define S_CID 22
7577#define M_CID 0x7U
7578#define V_CID(x) ((x) << S_CID)
7579#define G_CID(x) (((x) >> S_CID) & M_CID)
7580
7581#define S_CHNUM 19
7582#define M_CHNUM 0x7U
7583#define V_CHNUM(x) ((x) << S_CHNUM)
7584#define G_CHNUM(x) (((x) >> S_CHNUM) & M_CHNUM)
7585
7586#define S_BYTELEN 6
7587#define M_BYTELEN 0x1fffU
7588#define V_BYTELEN(x) ((x) << S_BYTELEN)
7589#define G_BYTELEN(x) (((x) >> S_BYTELEN) & M_BYTELEN)
7590
7591#define S_REASON 3
7592#define M_REASON 0x7U
7593#define V_REASON(x) ((x) << S_REASON)
7594#define G_REASON(x) (((x) >> S_REASON) & M_REASON)
7595
7596#define S_CPLSTATUS 0
7597#define M_CPLSTATUS 0x7U
7598#define V_CPLSTATUS(x) ((x) << S_CPLSTATUS)
7599#define G_CPLSTATUS(x) (((x) >> S_CPLSTATUS) & M_CPLSTATUS)
7600
7601#define A_PCIE_RSP_ERR_LOG2 0x59dc
7602
7603#define S_LOGVALID 31
7604#define V_LOGVALID(x) ((x) << S_LOGVALID)
7605#define F_LOGVALID V_LOGVALID(1U)
7606
7607#define S_ADDR10B 8
7608#define M_ADDR10B 0x3ffU
7609#define V_ADDR10B(x) ((x) << S_ADDR10B)
7610#define G_ADDR10B(x) (((x) >> S_ADDR10B) & M_ADDR10B)
7611
7612#define S_REQVFID 0
7613#define M_REQVFID 0xffU
7614#define V_REQVFID(x) ((x) << S_REQVFID)
7615#define G_REQVFID(x) (((x) >> S_REQVFID) & M_REQVFID)
7616
7617#define S_T6_ADDR10B 9
7618#define M_T6_ADDR10B 0x3ffU
7619#define V_T6_ADDR10B(x) ((x) << S_T6_ADDR10B)
7620#define G_T6_ADDR10B(x) (((x) >> S_T6_ADDR10B) & M_T6_ADDR10B)
7621
7622#define S_T6_REQVFID 0
7623#define M_T6_REQVFID 0x1ffU
7624#define V_T6_REQVFID(x) ((x) << S_T6_REQVFID)
7625#define G_T6_REQVFID(x) (((x) >> S_T6_REQVFID) & M_T6_REQVFID)
7626
7627#define A_PCIE_CHANGESET 0x59fc
7628#define A_PCIE_REVISION 0x5a00
7629#define A_PCIE_PDEBUG_INDEX 0x5a04
7630
7631#define S_PDEBUGSELH 16
7632#define M_PDEBUGSELH 0x3fU
7633#define V_PDEBUGSELH(x) ((x) << S_PDEBUGSELH)
7634#define G_PDEBUGSELH(x) (((x) >> S_PDEBUGSELH) & M_PDEBUGSELH)
7635
7636#define S_PDEBUGSELL 0
7637#define M_PDEBUGSELL 0x3fU
7638#define V_PDEBUGSELL(x) ((x) << S_PDEBUGSELL)
7639#define G_PDEBUGSELL(x) (((x) >> S_PDEBUGSELL) & M_PDEBUGSELL)
7640
7641#define S_T6_PDEBUGSELH 16
7642#define M_T6_PDEBUGSELH 0x7fU
7643#define V_T6_PDEBUGSELH(x) ((x) << S_T6_PDEBUGSELH)
7644#define G_T6_PDEBUGSELH(x) (((x) >> S_T6_PDEBUGSELH) & M_T6_PDEBUGSELH)
7645
7646#define S_T6_PDEBUGSELL 0
7647#define M_T6_PDEBUGSELL 0x7fU
7648#define V_T6_PDEBUGSELL(x) ((x) << S_T6_PDEBUGSELL)
7649#define G_T6_PDEBUGSELL(x) (((x) >> S_T6_PDEBUGSELL) & M_T6_PDEBUGSELL)
7650
7651#define A_PCIE_PDEBUG_DATA_HIGH 0x5a08
7652#define A_PCIE_PDEBUG_DATA_LOW 0x5a0c
7653#define A_PCIE_CDEBUG_INDEX 0x5a10
7654
7655#define S_CDEBUGSELH 16
7656#define M_CDEBUGSELH 0xffU
7657#define V_CDEBUGSELH(x) ((x) << S_CDEBUGSELH)
7658#define G_CDEBUGSELH(x) (((x) >> S_CDEBUGSELH) & M_CDEBUGSELH)
7659
7660#define S_CDEBUGSELL 0
7661#define M_CDEBUGSELL 0xffU
7662#define V_CDEBUGSELL(x) ((x) << S_CDEBUGSELL)
7663#define G_CDEBUGSELL(x) (((x) >> S_CDEBUGSELL) & M_CDEBUGSELL)
7664
7665#define A_PCIE_CDEBUG_DATA_HIGH 0x5a14
7666#define A_PCIE_CDEBUG_DATA_LOW 0x5a18
7667#define A_PCIE_DMAW_SOP_CNT 0x5a1c
7668
7669#define S_CH3 24
7670#define M_CH3 0xffU
7671#define V_CH3(x) ((x) << S_CH3)
7672#define G_CH3(x) (((x) >> S_CH3) & M_CH3)
7673
7674#define S_CH2 16
7675#define M_CH2 0xffU
7676#define V_CH2(x) ((x) << S_CH2)
7677#define G_CH2(x) (((x) >> S_CH2) & M_CH2)
7678
7679#define S_CH1 8
7680#define M_CH1 0xffU
7681#define V_CH1(x) ((x) << S_CH1)
7682#define G_CH1(x) (((x) >> S_CH1) & M_CH1)
7683
7684#define S_CH0 0
7685#define M_CH0 0xffU
7686#define V_CH0(x) ((x) << S_CH0)
7687#define G_CH0(x) (((x) >> S_CH0) & M_CH0)
7688
7689#define A_PCIE_DMAW_EOP_CNT 0x5a20
7690#define A_PCIE_DMAR_REQ_CNT 0x5a24
7691#define A_PCIE_DMAR_RSP_SOP_CNT 0x5a28
7692#define A_PCIE_DMAR_RSP_EOP_CNT 0x5a2c
7693#define A_PCIE_DMAR_RSP_ERR_CNT 0x5a30
7694#define A_PCIE_DMAI_CNT 0x5a34
7695#define A_PCIE_CMDW_CNT 0x5a38
7696
7697#define S_CH1_EOP 24
7698#define M_CH1_EOP 0xffU
7699#define V_CH1_EOP(x) ((x) << S_CH1_EOP)
7700#define G_CH1_EOP(x) (((x) >> S_CH1_EOP) & M_CH1_EOP)
7701
7702#define S_CH1_SOP 16
7703#define M_CH1_SOP 0xffU
7704#define V_CH1_SOP(x) ((x) << S_CH1_SOP)
7705#define G_CH1_SOP(x) (((x) >> S_CH1_SOP) & M_CH1_SOP)
7706
7707#define S_CH0_EOP 8
7708#define M_CH0_EOP 0xffU
7709#define V_CH0_EOP(x) ((x) << S_CH0_EOP)
7710#define G_CH0_EOP(x) (((x) >> S_CH0_EOP) & M_CH0_EOP)
7711
7712#define S_CH0_SOP 0
7713#define M_CH0_SOP 0xffU
7714#define V_CH0_SOP(x) ((x) << S_CH0_SOP)
7715#define G_CH0_SOP(x) (((x) >> S_CH0_SOP) & M_CH0_SOP)
7716
7717#define A_PCIE_CMDR_REQ_CNT 0x5a3c
7718#define A_PCIE_CMDR_RSP_CNT 0x5a40
7719#define A_PCIE_CMDR_RSP_ERR_CNT 0x5a44
7720#define A_PCIE_HMA_REQ_CNT 0x5a48
7721
7722#define S_CH0_READ 16
7723#define M_CH0_READ 0xffU
7724#define V_CH0_READ(x) ((x) << S_CH0_READ)
7725#define G_CH0_READ(x) (((x) >> S_CH0_READ) & M_CH0_READ)
7726
7727#define S_CH0_WEOP 8
7728#define M_CH0_WEOP 0xffU
7729#define V_CH0_WEOP(x) ((x) << S_CH0_WEOP)
7730#define G_CH0_WEOP(x) (((x) >> S_CH0_WEOP) & M_CH0_WEOP)
7731
7732#define S_CH0_WSOP 0
7733#define M_CH0_WSOP 0xffU
7734#define V_CH0_WSOP(x) ((x) << S_CH0_WSOP)
7735#define G_CH0_WSOP(x) (((x) >> S_CH0_WSOP) & M_CH0_WSOP)
7736
7737#define A_PCIE_HMA_RSP_CNT 0x5a4c
7738#define A_PCIE_DMA10_RSP_FREE 0x5a50
7739
7740#define S_CH1_RSP_FREE 16
7741#define M_CH1_RSP_FREE 0xfffU
7742#define V_CH1_RSP_FREE(x) ((x) << S_CH1_RSP_FREE)
7743#define G_CH1_RSP_FREE(x) (((x) >> S_CH1_RSP_FREE) & M_CH1_RSP_FREE)
7744
7745#define S_CH0_RSP_FREE 0
7746#define M_CH0_RSP_FREE 0xfffU
7747#define V_CH0_RSP_FREE(x) ((x) << S_CH0_RSP_FREE)
7748#define G_CH0_RSP_FREE(x) (((x) >> S_CH0_RSP_FREE) & M_CH0_RSP_FREE)
7749
7750#define A_PCIE_DMA32_RSP_FREE 0x5a54
7751
7752#define S_CH3_RSP_FREE 16
7753#define M_CH3_RSP_FREE 0xfffU
7754#define V_CH3_RSP_FREE(x) ((x) << S_CH3_RSP_FREE)
7755#define G_CH3_RSP_FREE(x) (((x) >> S_CH3_RSP_FREE) & M_CH3_RSP_FREE)
7756
7757#define S_CH2_RSP_FREE 0
7758#define M_CH2_RSP_FREE 0xfffU
7759#define V_CH2_RSP_FREE(x) ((x) << S_CH2_RSP_FREE)
7760#define G_CH2_RSP_FREE(x) (((x) >> S_CH2_RSP_FREE) & M_CH2_RSP_FREE)
7761
7762#define A_PCIE_CMD_RSP_FREE 0x5a58
7763
7764#define S_CMD_CH1_RSP_FREE 16
7765#define M_CMD_CH1_RSP_FREE 0x7fU
7766#define V_CMD_CH1_RSP_FREE(x) ((x) << S_CMD_CH1_RSP_FREE)
7767#define G_CMD_CH1_RSP_FREE(x) (((x) >> S_CMD_CH1_RSP_FREE) & M_CMD_CH1_RSP_FREE)
7768
7769#define S_CMD_CH0_RSP_FREE 0
7770#define M_CMD_CH0_RSP_FREE 0x7fU
7771#define V_CMD_CH0_RSP_FREE(x) ((x) << S_CMD_CH0_RSP_FREE)
7772#define G_CMD_CH0_RSP_FREE(x) (((x) >> S_CMD_CH0_RSP_FREE) & M_CMD_CH0_RSP_FREE)
7773
7774#define A_PCIE_HMA_RSP_FREE 0x5a5c
7775#define A_PCIE_BUS_MST_STAT_0 0x5a60
7776#define A_PCIE_BUS_MST_STAT_1 0x5a64
7777#define A_PCIE_BUS_MST_STAT_2 0x5a68
7778#define A_PCIE_BUS_MST_STAT_3 0x5a6c
7779#define A_PCIE_BUS_MST_STAT_4 0x5a70
7780
7781#define S_BUSMST_135_128 0
7782#define M_BUSMST_135_128 0xffU
7783#define V_BUSMST_135_128(x) ((x) << S_BUSMST_135_128)
7784#define G_BUSMST_135_128(x) (((x) >> S_BUSMST_135_128) & M_BUSMST_135_128)
7785
7786#define A_PCIE_BUS_MST_STAT_5 0x5a74
7787#define A_PCIE_BUS_MST_STAT_6 0x5a78
7788#define A_PCIE_BUS_MST_STAT_7 0x5a7c
7789#define A_PCIE_RSP_ERR_STAT_0 0x5a80
7790#define A_PCIE_RSP_ERR_STAT_1 0x5a84
7791#define A_PCIE_RSP_ERR_STAT_2 0x5a88
7792#define A_PCIE_RSP_ERR_STAT_3 0x5a8c
7793#define A_PCIE_RSP_ERR_STAT_4 0x5a90
7794
7795#define S_RSPERR_135_128 0
7796#define M_RSPERR_135_128 0xffU
7797#define V_RSPERR_135_128(x) ((x) << S_RSPERR_135_128)
7798#define G_RSPERR_135_128(x) (((x) >> S_RSPERR_135_128) & M_RSPERR_135_128)
7799
7800#define A_PCIE_RSP_ERR_STAT_5 0x5a94
7801#define A_PCIE_DBI_TIMEOUT_CTL 0x5a94
7802
7803#define S_DBI_TIMER 0
7804#define M_DBI_TIMER 0xffffU
7805#define V_DBI_TIMER(x) ((x) << S_DBI_TIMER)
7806#define G_DBI_TIMER(x) (((x) >> S_DBI_TIMER) & M_DBI_TIMER)
7807
7808#define A_PCIE_RSP_ERR_STAT_6 0x5a98
7809#define A_PCIE_DBI_TIMEOUT_STATUS0 0x5a98
7810#define A_PCIE_RSP_ERR_STAT_7 0x5a9c
7811#define A_PCIE_DBI_TIMEOUT_STATUS1 0x5a9c
7812
7813#define S_SOURCE 16
7814#define M_SOURCE 0x3U
7815#define V_SOURCE(x) ((x) << S_SOURCE)
7816#define G_SOURCE(x) (((x) >> S_SOURCE) & M_SOURCE)
7817
7818#define S_DBI_WRITE 12
7819#define M_DBI_WRITE 0xfU
7820#define V_DBI_WRITE(x) ((x) << S_DBI_WRITE)
7821#define G_DBI_WRITE(x) (((x) >> S_DBI_WRITE) & M_DBI_WRITE)
7822
7823#define S_DBI_CS2 11
7824#define V_DBI_CS2(x) ((x) << S_DBI_CS2)
7825#define F_DBI_CS2 V_DBI_CS2(1U)
7826
7827#define S_DBI_PF 8
7828#define M_DBI_PF 0x7U
7829#define V_DBI_PF(x) ((x) << S_DBI_PF)
7830#define G_DBI_PF(x) (((x) >> S_DBI_PF) & M_DBI_PF)
7831
7832#define S_PL_TOVFVLD 7
7833#define V_PL_TOVFVLD(x) ((x) << S_PL_TOVFVLD)
7834#define F_PL_TOVFVLD V_PL_TOVFVLD(1U)
7835
7836#define S_PL_TOVF 0
7837#define M_PL_TOVF 0x7fU
7838#define V_PL_TOVF(x) ((x) << S_PL_TOVF)
7839#define G_PL_TOVF(x) (((x) >> S_PL_TOVF) & M_PL_TOVF)
7840
7841#define S_T6_SOURCE 17
7842#define M_T6_SOURCE 0x3U
7843#define V_T6_SOURCE(x) ((x) << S_T6_SOURCE)
7844#define G_T6_SOURCE(x) (((x) >> S_T6_SOURCE) & M_T6_SOURCE)
7845
7846#define S_T6_DBI_WRITE 13
7847#define M_T6_DBI_WRITE 0xfU
7848#define V_T6_DBI_WRITE(x) ((x) << S_T6_DBI_WRITE)
7849#define G_T6_DBI_WRITE(x) (((x) >> S_T6_DBI_WRITE) & M_T6_DBI_WRITE)
7850
7851#define S_T6_DBI_CS2 12
7852#define V_T6_DBI_CS2(x) ((x) << S_T6_DBI_CS2)
7853#define F_T6_DBI_CS2 V_T6_DBI_CS2(1U)
7854
7855#define S_T6_DBI_PF 9
7856#define M_T6_DBI_PF 0x7U
7857#define V_T6_DBI_PF(x) ((x) << S_T6_DBI_PF)
7858#define G_T6_DBI_PF(x) (((x) >> S_T6_DBI_PF) & M_T6_DBI_PF)
7859
7860#define S_T6_PL_TOVFVLD 8
7861#define V_T6_PL_TOVFVLD(x) ((x) << S_T6_PL_TOVFVLD)
7862#define F_T6_PL_TOVFVLD V_T6_PL_TOVFVLD(1U)
7863
7864#define S_T6_PL_TOVF 0
7865#define M_T6_PL_TOVF 0xffU
7866#define V_T6_PL_TOVF(x) ((x) << S_T6_PL_TOVF)
7867#define G_T6_PL_TOVF(x) (((x) >> S_T6_PL_TOVF) & M_T6_PL_TOVF)
7868
7869#define A_PCIE_MSI_EN_0 0x5aa0
7870#define A_PCIE_MSI_EN_1 0x5aa4
7871#define A_PCIE_MSI_EN_2 0x5aa8
7872#define A_PCIE_MSI_EN_3 0x5aac
7873#define A_PCIE_MSI_EN_4 0x5ab0
7874#define A_PCIE_MSI_EN_5 0x5ab4
7875#define A_PCIE_MSI_EN_6 0x5ab8
7876#define A_PCIE_MSI_EN_7 0x5abc
7877#define A_PCIE_MSIX_EN_0 0x5ac0
7878#define A_PCIE_MSIX_EN_1 0x5ac4
7879#define A_PCIE_MSIX_EN_2 0x5ac8
7880#define A_PCIE_MSIX_EN_3 0x5acc
7881#define A_PCIE_MSIX_EN_4 0x5ad0
7882#define A_PCIE_MSIX_EN_5 0x5ad4
7883#define A_PCIE_MSIX_EN_6 0x5ad8
7884#define A_PCIE_MSIX_EN_7 0x5adc
7885#define A_PCIE_DMA_BUF_CTL 0x5ae0
7886
7887#define S_BUFRDCNT 18
7888#define M_BUFRDCNT 0x3fffU
7889#define V_BUFRDCNT(x) ((x) << S_BUFRDCNT)
7890#define G_BUFRDCNT(x) (((x) >> S_BUFRDCNT) & M_BUFRDCNT)
7891
7892#define S_BUFWRCNT 9
7893#define M_BUFWRCNT 0x1ffU
7894#define V_BUFWRCNT(x) ((x) << S_BUFWRCNT)
7895#define G_BUFWRCNT(x) (((x) >> S_BUFWRCNT) & M_BUFWRCNT)
7896
7897#define S_MAXBUFWRREQ 0
7898#define M_MAXBUFWRREQ 0x1ffU
7899#define V_MAXBUFWRREQ(x) ((x) << S_MAXBUFWRREQ)
7900#define G_MAXBUFWRREQ(x) (((x) >> S_MAXBUFWRREQ) & M_MAXBUFWRREQ)
7901
7902#define A_PCIE_PB_CTL 0x5b94
7903
7904#define S_PB_SEL 16
7905#define M_PB_SEL 0xffU
7906#define V_PB_SEL(x) ((x) << S_PB_SEL)
7907#define G_PB_SEL(x) (((x) >> S_PB_SEL) & M_PB_SEL)
7908
7909#define S_PB_SELREG 8
7910#define M_PB_SELREG 0xffU
7911#define V_PB_SELREG(x) ((x) << S_PB_SELREG)
7912#define G_PB_SELREG(x) (((x) >> S_PB_SELREG) & M_PB_SELREG)
7913
7914#define S_PB_FUNC 0
7915#define M_PB_FUNC 0x7U
7916#define V_PB_FUNC(x) ((x) << S_PB_FUNC)
7917#define G_PB_FUNC(x) (((x) >> S_PB_FUNC) & M_PB_FUNC)
7918
7919#define A_PCIE_PB_DATA 0x5b98
7920#define A_PCIE_CUR_LINK 0x5b9c
7921
7922#define S_CFGINITCOEFFDONESEEN 22
7923#define V_CFGINITCOEFFDONESEEN(x) ((x) << S_CFGINITCOEFFDONESEEN)
7924#define F_CFGINITCOEFFDONESEEN V_CFGINITCOEFFDONESEEN(1U)
7925
7926#define S_CFGINITCOEFFDONE 21
7927#define V_CFGINITCOEFFDONE(x) ((x) << S_CFGINITCOEFFDONE)
7928#define F_CFGINITCOEFFDONE V_CFGINITCOEFFDONE(1U)
7929
7930#define S_XMLH_LINK_UP 20
7931#define V_XMLH_LINK_UP(x) ((x) << S_XMLH_LINK_UP)
7932#define F_XMLH_LINK_UP V_XMLH_LINK_UP(1U)
7933
7934#define S_PM_LINKST_IN_L0S 19
7935#define V_PM_LINKST_IN_L0S(x) ((x) << S_PM_LINKST_IN_L0S)
7936#define F_PM_LINKST_IN_L0S V_PM_LINKST_IN_L0S(1U)
7937
7938#define S_PM_LINKST_IN_L1 18
7939#define V_PM_LINKST_IN_L1(x) ((x) << S_PM_LINKST_IN_L1)
7940#define F_PM_LINKST_IN_L1 V_PM_LINKST_IN_L1(1U)
7941
7942#define S_PM_LINKST_IN_L2 17
7943#define V_PM_LINKST_IN_L2(x) ((x) << S_PM_LINKST_IN_L2)
7944#define F_PM_LINKST_IN_L2 V_PM_LINKST_IN_L2(1U)
7945
7946#define S_PM_LINKST_L2_EXIT 16
7947#define V_PM_LINKST_L2_EXIT(x) ((x) << S_PM_LINKST_L2_EXIT)
7948#define F_PM_LINKST_L2_EXIT V_PM_LINKST_L2_EXIT(1U)
7949
7950#define S_XMLH_IN_RL0S 15
7951#define V_XMLH_IN_RL0S(x) ((x) << S_XMLH_IN_RL0S)
7952#define F_XMLH_IN_RL0S V_XMLH_IN_RL0S(1U)
7953
7954#define S_XMLH_LTSSM_STATE_RCVRY_EQ 14
7955#define V_XMLH_LTSSM_STATE_RCVRY_EQ(x) ((x) << S_XMLH_LTSSM_STATE_RCVRY_EQ)
7956#define F_XMLH_LTSSM_STATE_RCVRY_EQ V_XMLH_LTSSM_STATE_RCVRY_EQ(1U)
7957
7958#define S_NEGOTIATEDWIDTH 8
7959#define M_NEGOTIATEDWIDTH 0x3fU
7960#define V_NEGOTIATEDWIDTH(x) ((x) << S_NEGOTIATEDWIDTH)
7961#define G_NEGOTIATEDWIDTH(x) (((x) >> S_NEGOTIATEDWIDTH) & M_NEGOTIATEDWIDTH)
7962
7963#define S_ACTIVELANES 0
7964#define M_ACTIVELANES 0xffU
7965#define V_ACTIVELANES(x) ((x) << S_ACTIVELANES)
7966#define G_ACTIVELANES(x) (((x) >> S_ACTIVELANES) & M_ACTIVELANES)
7967
7968#define A_PCIE_PHY_REQRXPWR 0x5ba0
7969
7970#define S_LNH_RXSTATEDONE 31
7971#define V_LNH_RXSTATEDONE(x) ((x) << S_LNH_RXSTATEDONE)
7972#define F_LNH_RXSTATEDONE V_LNH_RXSTATEDONE(1U)
7973
7974#define S_LNH_RXSTATEREQ 30
7975#define V_LNH_RXSTATEREQ(x) ((x) << S_LNH_RXSTATEREQ)
7976#define F_LNH_RXSTATEREQ V_LNH_RXSTATEREQ(1U)
7977
7978#define S_LNH_RXPWRSTATE 28
7979#define M_LNH_RXPWRSTATE 0x3U
7980#define V_LNH_RXPWRSTATE(x) ((x) << S_LNH_RXPWRSTATE)
7981#define G_LNH_RXPWRSTATE(x) (((x) >> S_LNH_RXPWRSTATE) & M_LNH_RXPWRSTATE)
7982
7983#define S_LNG_RXSTATEDONE 27
7984#define V_LNG_RXSTATEDONE(x) ((x) << S_LNG_RXSTATEDONE)
7985#define F_LNG_RXSTATEDONE V_LNG_RXSTATEDONE(1U)
7986
7987#define S_LNG_RXSTATEREQ 26
7988#define V_LNG_RXSTATEREQ(x) ((x) << S_LNG_RXSTATEREQ)
7989#define F_LNG_RXSTATEREQ V_LNG_RXSTATEREQ(1U)
7990
7991#define S_LNG_RXPWRSTATE 24
7992#define M_LNG_RXPWRSTATE 0x3U
7993#define V_LNG_RXPWRSTATE(x) ((x) << S_LNG_RXPWRSTATE)
7994#define G_LNG_RXPWRSTATE(x) (((x) >> S_LNG_RXPWRSTATE) & M_LNG_RXPWRSTATE)
7995
7996#define S_LNF_RXSTATEDONE 23
7997#define V_LNF_RXSTATEDONE(x) ((x) << S_LNF_RXSTATEDONE)
7998#define F_LNF_RXSTATEDONE V_LNF_RXSTATEDONE(1U)
7999
8000#define S_LNF_RXSTATEREQ 22
8001#define V_LNF_RXSTATEREQ(x) ((x) << S_LNF_RXSTATEREQ)
8002#define F_LNF_RXSTATEREQ V_LNF_RXSTATEREQ(1U)
8003
8004#define S_LNF_RXPWRSTATE 20
8005#define M_LNF_RXPWRSTATE 0x3U
8006#define V_LNF_RXPWRSTATE(x) ((x) << S_LNF_RXPWRSTATE)
8007#define G_LNF_RXPWRSTATE(x) (((x) >> S_LNF_RXPWRSTATE) & M_LNF_RXPWRSTATE)
8008
8009#define S_LNE_RXSTATEDONE 19
8010#define V_LNE_RXSTATEDONE(x) ((x) << S_LNE_RXSTATEDONE)
8011#define F_LNE_RXSTATEDONE V_LNE_RXSTATEDONE(1U)
8012
8013#define S_LNE_RXSTATEREQ 18
8014#define V_LNE_RXSTATEREQ(x) ((x) << S_LNE_RXSTATEREQ)
8015#define F_LNE_RXSTATEREQ V_LNE_RXSTATEREQ(1U)
8016
8017#define S_LNE_RXPWRSTATE 16
8018#define M_LNE_RXPWRSTATE 0x3U
8019#define V_LNE_RXPWRSTATE(x) ((x) << S_LNE_RXPWRSTATE)
8020#define G_LNE_RXPWRSTATE(x) (((x) >> S_LNE_RXPWRSTATE) & M_LNE_RXPWRSTATE)
8021
8022#define S_LND_RXSTATEDONE 15
8023#define V_LND_RXSTATEDONE(x) ((x) << S_LND_RXSTATEDONE)
8024#define F_LND_RXSTATEDONE V_LND_RXSTATEDONE(1U)
8025
8026#define S_LND_RXSTATEREQ 14
8027#define V_LND_RXSTATEREQ(x) ((x) << S_LND_RXSTATEREQ)
8028#define F_LND_RXSTATEREQ V_LND_RXSTATEREQ(1U)
8029
8030#define S_LND_RXPWRSTATE 12
8031#define M_LND_RXPWRSTATE 0x3U
8032#define V_LND_RXPWRSTATE(x) ((x) << S_LND_RXPWRSTATE)
8033#define G_LND_RXPWRSTATE(x) (((x) >> S_LND_RXPWRSTATE) & M_LND_RXPWRSTATE)
8034
8035#define S_LNC_RXSTATEDONE 11
8036#define V_LNC_RXSTATEDONE(x) ((x) << S_LNC_RXSTATEDONE)
8037#define F_LNC_RXSTATEDONE V_LNC_RXSTATEDONE(1U)
8038
8039#define S_LNC_RXSTATEREQ 10
8040#define V_LNC_RXSTATEREQ(x) ((x) << S_LNC_RXSTATEREQ)
8041#define F_LNC_RXSTATEREQ V_LNC_RXSTATEREQ(1U)
8042
8043#define S_LNC_RXPWRSTATE 8
8044#define M_LNC_RXPWRSTATE 0x3U
8045#define V_LNC_RXPWRSTATE(x) ((x) << S_LNC_RXPWRSTATE)
8046#define G_LNC_RXPWRSTATE(x) (((x) >> S_LNC_RXPWRSTATE) & M_LNC_RXPWRSTATE)
8047
8048#define S_LNB_RXSTATEDONE 7
8049#define V_LNB_RXSTATEDONE(x) ((x) << S_LNB_RXSTATEDONE)
8050#define F_LNB_RXSTATEDONE V_LNB_RXSTATEDONE(1U)
8051
8052#define S_LNB_RXSTATEREQ 6
8053#define V_LNB_RXSTATEREQ(x) ((x) << S_LNB_RXSTATEREQ)
8054#define F_LNB_RXSTATEREQ V_LNB_RXSTATEREQ(1U)
8055
8056#define S_LNB_RXPWRSTATE 4
8057#define M_LNB_RXPWRSTATE 0x3U
8058#define V_LNB_RXPWRSTATE(x) ((x) << S_LNB_RXPWRSTATE)
8059#define G_LNB_RXPWRSTATE(x) (((x) >> S_LNB_RXPWRSTATE) & M_LNB_RXPWRSTATE)
8060
8061#define S_LNA_RXSTATEDONE 3
8062#define V_LNA_RXSTATEDONE(x) ((x) << S_LNA_RXSTATEDONE)
8063#define F_LNA_RXSTATEDONE V_LNA_RXSTATEDONE(1U)
8064
8065#define S_LNA_RXSTATEREQ 2
8066#define V_LNA_RXSTATEREQ(x) ((x) << S_LNA_RXSTATEREQ)
8067#define F_LNA_RXSTATEREQ V_LNA_RXSTATEREQ(1U)
8068
8069#define S_LNA_RXPWRSTATE 0
8070#define M_LNA_RXPWRSTATE 0x3U
8071#define V_LNA_RXPWRSTATE(x) ((x) << S_LNA_RXPWRSTATE)
8072#define G_LNA_RXPWRSTATE(x) (((x) >> S_LNA_RXPWRSTATE) & M_LNA_RXPWRSTATE)
8073
8074#define S_REQ_LNH_RXSTATEDONE 31
8075#define V_REQ_LNH_RXSTATEDONE(x) ((x) << S_REQ_LNH_RXSTATEDONE)
8076#define F_REQ_LNH_RXSTATEDONE V_REQ_LNH_RXSTATEDONE(1U)
8077
8078#define S_REQ_LNH_RXSTATEREQ 30
8079#define V_REQ_LNH_RXSTATEREQ(x) ((x) << S_REQ_LNH_RXSTATEREQ)
8080#define F_REQ_LNH_RXSTATEREQ V_REQ_LNH_RXSTATEREQ(1U)
8081
8082#define S_REQ_LNH_RXPWRSTATE 28
8083#define M_REQ_LNH_RXPWRSTATE 0x3U
8084#define V_REQ_LNH_RXPWRSTATE(x) ((x) << S_REQ_LNH_RXPWRSTATE)
8085#define G_REQ_LNH_RXPWRSTATE(x) (((x) >> S_REQ_LNH_RXPWRSTATE) & M_REQ_LNH_RXPWRSTATE)
8086
8087#define S_REQ_LNG_RXSTATEDONE 27
8088#define V_REQ_LNG_RXSTATEDONE(x) ((x) << S_REQ_LNG_RXSTATEDONE)
8089#define F_REQ_LNG_RXSTATEDONE V_REQ_LNG_RXSTATEDONE(1U)
8090
8091#define S_REQ_LNG_RXSTATEREQ 26
8092#define V_REQ_LNG_RXSTATEREQ(x) ((x) << S_REQ_LNG_RXSTATEREQ)
8093#define F_REQ_LNG_RXSTATEREQ V_REQ_LNG_RXSTATEREQ(1U)
8094
8095#define S_REQ_LNG_RXPWRSTATE 24
8096#define M_REQ_LNG_RXPWRSTATE 0x3U
8097#define V_REQ_LNG_RXPWRSTATE(x) ((x) << S_REQ_LNG_RXPWRSTATE)
8098#define G_REQ_LNG_RXPWRSTATE(x) (((x) >> S_REQ_LNG_RXPWRSTATE) & M_REQ_LNG_RXPWRSTATE)
8099
8100#define S_REQ_LNF_RXSTATEDONE 23
8101#define V_REQ_LNF_RXSTATEDONE(x) ((x) << S_REQ_LNF_RXSTATEDONE)
8102#define F_REQ_LNF_RXSTATEDONE V_REQ_LNF_RXSTATEDONE(1U)
8103
8104#define S_REQ_LNF_RXSTATEREQ 22
8105#define V_REQ_LNF_RXSTATEREQ(x) ((x) << S_REQ_LNF_RXSTATEREQ)
8106#define F_REQ_LNF_RXSTATEREQ V_REQ_LNF_RXSTATEREQ(1U)
8107
8108#define S_REQ_LNF_RXPWRSTATE 20
8109#define M_REQ_LNF_RXPWRSTATE 0x3U
8110#define V_REQ_LNF_RXPWRSTATE(x) ((x) << S_REQ_LNF_RXPWRSTATE)
8111#define G_REQ_LNF_RXPWRSTATE(x) (((x) >> S_REQ_LNF_RXPWRSTATE) & M_REQ_LNF_RXPWRSTATE)
8112
8113#define S_REQ_LNE_RXSTATEDONE 19
8114#define V_REQ_LNE_RXSTATEDONE(x) ((x) << S_REQ_LNE_RXSTATEDONE)
8115#define F_REQ_LNE_RXSTATEDONE V_REQ_LNE_RXSTATEDONE(1U)
8116
8117#define S_REQ_LNE_RXSTATEREQ 18
8118#define V_REQ_LNE_RXSTATEREQ(x) ((x) << S_REQ_LNE_RXSTATEREQ)
8119#define F_REQ_LNE_RXSTATEREQ V_REQ_LNE_RXSTATEREQ(1U)
8120
8121#define S_REQ_LNE_RXPWRSTATE 16
8122#define M_REQ_LNE_RXPWRSTATE 0x3U
8123#define V_REQ_LNE_RXPWRSTATE(x) ((x) << S_REQ_LNE_RXPWRSTATE)
8124#define G_REQ_LNE_RXPWRSTATE(x) (((x) >> S_REQ_LNE_RXPWRSTATE) & M_REQ_LNE_RXPWRSTATE)
8125
8126#define S_REQ_LND_RXSTATEDONE 15
8127#define V_REQ_LND_RXSTATEDONE(x) ((x) << S_REQ_LND_RXSTATEDONE)
8128#define F_REQ_LND_RXSTATEDONE V_REQ_LND_RXSTATEDONE(1U)
8129
8130#define S_REQ_LND_RXSTATEREQ 14
8131#define V_REQ_LND_RXSTATEREQ(x) ((x) << S_REQ_LND_RXSTATEREQ)
8132#define F_REQ_LND_RXSTATEREQ V_REQ_LND_RXSTATEREQ(1U)
8133
8134#define S_REQ_LND_RXPWRSTATE 12
8135#define M_REQ_LND_RXPWRSTATE 0x3U
8136#define V_REQ_LND_RXPWRSTATE(x) ((x) << S_REQ_LND_RXPWRSTATE)
8137#define G_REQ_LND_RXPWRSTATE(x) (((x) >> S_REQ_LND_RXPWRSTATE) & M_REQ_LND_RXPWRSTATE)
8138
8139#define S_REQ_LNC_RXSTATEDONE 11
8140#define V_REQ_LNC_RXSTATEDONE(x) ((x) << S_REQ_LNC_RXSTATEDONE)
8141#define F_REQ_LNC_RXSTATEDONE V_REQ_LNC_RXSTATEDONE(1U)
8142
8143#define S_REQ_LNC_RXSTATEREQ 10
8144#define V_REQ_LNC_RXSTATEREQ(x) ((x) << S_REQ_LNC_RXSTATEREQ)
8145#define F_REQ_LNC_RXSTATEREQ V_REQ_LNC_RXSTATEREQ(1U)
8146
8147#define S_REQ_LNC_RXPWRSTATE 8
8148#define M_REQ_LNC_RXPWRSTATE 0x3U
8149#define V_REQ_LNC_RXPWRSTATE(x) ((x) << S_REQ_LNC_RXPWRSTATE)
8150#define G_REQ_LNC_RXPWRSTATE(x) (((x) >> S_REQ_LNC_RXPWRSTATE) & M_REQ_LNC_RXPWRSTATE)
8151
8152#define S_REQ_LNB_RXSTATEDONE 7
8153#define V_REQ_LNB_RXSTATEDONE(x) ((x) << S_REQ_LNB_RXSTATEDONE)
8154#define F_REQ_LNB_RXSTATEDONE V_REQ_LNB_RXSTATEDONE(1U)
8155
8156#define S_REQ_LNB_RXSTATEREQ 6
8157#define V_REQ_LNB_RXSTATEREQ(x) ((x) << S_REQ_LNB_RXSTATEREQ)
8158#define F_REQ_LNB_RXSTATEREQ V_REQ_LNB_RXSTATEREQ(1U)
8159
8160#define S_REQ_LNB_RXPWRSTATE 4
8161#define M_REQ_LNB_RXPWRSTATE 0x3U
8162#define V_REQ_LNB_RXPWRSTATE(x) ((x) << S_REQ_LNB_RXPWRSTATE)
8163#define G_REQ_LNB_RXPWRSTATE(x) (((x) >> S_REQ_LNB_RXPWRSTATE) & M_REQ_LNB_RXPWRSTATE)
8164
8165#define S_REQ_LNA_RXSTATEDONE 3
8166#define V_REQ_LNA_RXSTATEDONE(x) ((x) << S_REQ_LNA_RXSTATEDONE)
8167#define F_REQ_LNA_RXSTATEDONE V_REQ_LNA_RXSTATEDONE(1U)
8168
8169#define S_REQ_LNA_RXSTATEREQ 2
8170#define V_REQ_LNA_RXSTATEREQ(x) ((x) << S_REQ_LNA_RXSTATEREQ)
8171#define F_REQ_LNA_RXSTATEREQ V_REQ_LNA_RXSTATEREQ(1U)
8172
8173#define S_REQ_LNA_RXPWRSTATE 0
8174#define M_REQ_LNA_RXPWRSTATE 0x3U
8175#define V_REQ_LNA_RXPWRSTATE(x) ((x) << S_REQ_LNA_RXPWRSTATE)
8176#define G_REQ_LNA_RXPWRSTATE(x) (((x) >> S_REQ_LNA_RXPWRSTATE) & M_REQ_LNA_RXPWRSTATE)
8177
8178#define A_PCIE_PHY_CURRXPWR 0x5ba4
8179
8180#define S_T5_LNH_RXPWRSTATE 28
8181#define M_T5_LNH_RXPWRSTATE 0x7U
8182#define V_T5_LNH_RXPWRSTATE(x) ((x) << S_T5_LNH_RXPWRSTATE)
8183#define G_T5_LNH_RXPWRSTATE(x) (((x) >> S_T5_LNH_RXPWRSTATE) & M_T5_LNH_RXPWRSTATE)
8184
8185#define S_T5_LNG_RXPWRSTATE 24
8186#define M_T5_LNG_RXPWRSTATE 0x7U
8187#define V_T5_LNG_RXPWRSTATE(x) ((x) << S_T5_LNG_RXPWRSTATE)
8188#define G_T5_LNG_RXPWRSTATE(x) (((x) >> S_T5_LNG_RXPWRSTATE) & M_T5_LNG_RXPWRSTATE)
8189
8190#define S_T5_LNF_RXPWRSTATE 20
8191#define M_T5_LNF_RXPWRSTATE 0x7U
8192#define V_T5_LNF_RXPWRSTATE(x) ((x) << S_T5_LNF_RXPWRSTATE)
8193#define G_T5_LNF_RXPWRSTATE(x) (((x) >> S_T5_LNF_RXPWRSTATE) & M_T5_LNF_RXPWRSTATE)
8194
8195#define S_T5_LNE_RXPWRSTATE 16
8196#define M_T5_LNE_RXPWRSTATE 0x7U
8197#define V_T5_LNE_RXPWRSTATE(x) ((x) << S_T5_LNE_RXPWRSTATE)
8198#define G_T5_LNE_RXPWRSTATE(x) (((x) >> S_T5_LNE_RXPWRSTATE) & M_T5_LNE_RXPWRSTATE)
8199
8200#define S_T5_LND_RXPWRSTATE 12
8201#define M_T5_LND_RXPWRSTATE 0x7U
8202#define V_T5_LND_RXPWRSTATE(x) ((x) << S_T5_LND_RXPWRSTATE)
8203#define G_T5_LND_RXPWRSTATE(x) (((x) >> S_T5_LND_RXPWRSTATE) & M_T5_LND_RXPWRSTATE)
8204
8205#define S_T5_LNC_RXPWRSTATE 8
8206#define M_T5_LNC_RXPWRSTATE 0x7U
8207#define V_T5_LNC_RXPWRSTATE(x) ((x) << S_T5_LNC_RXPWRSTATE)
8208#define G_T5_LNC_RXPWRSTATE(x) (((x) >> S_T5_LNC_RXPWRSTATE) & M_T5_LNC_RXPWRSTATE)
8209
8210#define S_T5_LNB_RXPWRSTATE 4
8211#define M_T5_LNB_RXPWRSTATE 0x7U
8212#define V_T5_LNB_RXPWRSTATE(x) ((x) << S_T5_LNB_RXPWRSTATE)
8213#define G_T5_LNB_RXPWRSTATE(x) (((x) >> S_T5_LNB_RXPWRSTATE) & M_T5_LNB_RXPWRSTATE)
8214
8215#define S_T5_LNA_RXPWRSTATE 0
8216#define M_T5_LNA_RXPWRSTATE 0x7U
8217#define V_T5_LNA_RXPWRSTATE(x) ((x) << S_T5_LNA_RXPWRSTATE)
8218#define G_T5_LNA_RXPWRSTATE(x) (((x) >> S_T5_LNA_RXPWRSTATE) & M_T5_LNA_RXPWRSTATE)
8219
8220#define S_CUR_LNH_RXPWRSTATE 28
8221#define M_CUR_LNH_RXPWRSTATE 0x7U
8222#define V_CUR_LNH_RXPWRSTATE(x) ((x) << S_CUR_LNH_RXPWRSTATE)
8223#define G_CUR_LNH_RXPWRSTATE(x) (((x) >> S_CUR_LNH_RXPWRSTATE) & M_CUR_LNH_RXPWRSTATE)
8224
8225#define S_CUR_LNG_RXPWRSTATE 24
8226#define M_CUR_LNG_RXPWRSTATE 0x7U
8227#define V_CUR_LNG_RXPWRSTATE(x) ((x) << S_CUR_LNG_RXPWRSTATE)
8228#define G_CUR_LNG_RXPWRSTATE(x) (((x) >> S_CUR_LNG_RXPWRSTATE) & M_CUR_LNG_RXPWRSTATE)
8229
8230#define S_CUR_LNF_RXPWRSTATE 20
8231#define M_CUR_LNF_RXPWRSTATE 0x7U
8232#define V_CUR_LNF_RXPWRSTATE(x) ((x) << S_CUR_LNF_RXPWRSTATE)
8233#define G_CUR_LNF_RXPWRSTATE(x) (((x) >> S_CUR_LNF_RXPWRSTATE) & M_CUR_LNF_RXPWRSTATE)
8234
8235#define S_CUR_LNE_RXPWRSTATE 16
8236#define M_CUR_LNE_RXPWRSTATE 0x7U
8237#define V_CUR_LNE_RXPWRSTATE(x) ((x) << S_CUR_LNE_RXPWRSTATE)
8238#define G_CUR_LNE_RXPWRSTATE(x) (((x) >> S_CUR_LNE_RXPWRSTATE) & M_CUR_LNE_RXPWRSTATE)
8239
8240#define S_CUR_LND_RXPWRSTATE 12
8241#define M_CUR_LND_RXPWRSTATE 0x7U
8242#define V_CUR_LND_RXPWRSTATE(x) ((x) << S_CUR_LND_RXPWRSTATE)
8243#define G_CUR_LND_RXPWRSTATE(x) (((x) >> S_CUR_LND_RXPWRSTATE) & M_CUR_LND_RXPWRSTATE)
8244
8245#define S_CUR_LNC_RXPWRSTATE 8
8246#define M_CUR_LNC_RXPWRSTATE 0x7U
8247#define V_CUR_LNC_RXPWRSTATE(x) ((x) << S_CUR_LNC_RXPWRSTATE)
8248#define G_CUR_LNC_RXPWRSTATE(x) (((x) >> S_CUR_LNC_RXPWRSTATE) & M_CUR_LNC_RXPWRSTATE)
8249
8250#define S_CUR_LNB_RXPWRSTATE 4
8251#define M_CUR_LNB_RXPWRSTATE 0x7U
8252#define V_CUR_LNB_RXPWRSTATE(x) ((x) << S_CUR_LNB_RXPWRSTATE)
8253#define G_CUR_LNB_RXPWRSTATE(x) (((x) >> S_CUR_LNB_RXPWRSTATE) & M_CUR_LNB_RXPWRSTATE)
8254
8255#define S_CUR_LNA_RXPWRSTATE 0
8256#define M_CUR_LNA_RXPWRSTATE 0x7U
8257#define V_CUR_LNA_RXPWRSTATE(x) ((x) << S_CUR_LNA_RXPWRSTATE)
8258#define G_CUR_LNA_RXPWRSTATE(x) (((x) >> S_CUR_LNA_RXPWRSTATE) & M_CUR_LNA_RXPWRSTATE)
8259
8260#define A_PCIE_PHY_GEN3_AE0 0x5ba8
8261
8262#define S_LND_STAT 28
8263#define M_LND_STAT 0x7U
8264#define V_LND_STAT(x) ((x) << S_LND_STAT)
8265#define G_LND_STAT(x) (((x) >> S_LND_STAT) & M_LND_STAT)
8266
8267#define S_LND_CMD 24
8268#define M_LND_CMD 0x7U
8269#define V_LND_CMD(x) ((x) << S_LND_CMD)
8270#define G_LND_CMD(x) (((x) >> S_LND_CMD) & M_LND_CMD)
8271
8272#define S_LNC_STAT 20
8273#define M_LNC_STAT 0x7U
8274#define V_LNC_STAT(x) ((x) << S_LNC_STAT)
8275#define G_LNC_STAT(x) (((x) >> S_LNC_STAT) & M_LNC_STAT)
8276
8277#define S_LNC_CMD 16
8278#define M_LNC_CMD 0x7U
8279#define V_LNC_CMD(x) ((x) << S_LNC_CMD)
8280#define G_LNC_CMD(x) (((x) >> S_LNC_CMD) & M_LNC_CMD)
8281
8282#define S_LNB_STAT 12
8283#define M_LNB_STAT 0x7U
8284#define V_LNB_STAT(x) ((x) << S_LNB_STAT)
8285#define G_LNB_STAT(x) (((x) >> S_LNB_STAT) & M_LNB_STAT)
8286
8287#define S_LNB_CMD 8
8288#define M_LNB_CMD 0x7U
8289#define V_LNB_CMD(x) ((x) << S_LNB_CMD)
8290#define G_LNB_CMD(x) (((x) >> S_LNB_CMD) & M_LNB_CMD)
8291
8292#define S_LNA_STAT 4
8293#define M_LNA_STAT 0x7U
8294#define V_LNA_STAT(x) ((x) << S_LNA_STAT)
8295#define G_LNA_STAT(x) (((x) >> S_LNA_STAT) & M_LNA_STAT)
8296
8297#define S_LNA_CMD 0
8298#define M_LNA_CMD 0x7U
8299#define V_LNA_CMD(x) ((x) << S_LNA_CMD)
8300#define G_LNA_CMD(x) (((x) >> S_LNA_CMD) & M_LNA_CMD)
8301
8302#define A_PCIE_PHY_GEN3_AE1 0x5bac
8303
8304#define S_LNH_STAT 28
8305#define M_LNH_STAT 0x7U
8306#define V_LNH_STAT(x) ((x) << S_LNH_STAT)
8307#define G_LNH_STAT(x) (((x) >> S_LNH_STAT) & M_LNH_STAT)
8308
8309#define S_LNH_CMD 24
8310#define M_LNH_CMD 0x7U
8311#define V_LNH_CMD(x) ((x) << S_LNH_CMD)
8312#define G_LNH_CMD(x) (((x) >> S_LNH_CMD) & M_LNH_CMD)
8313
8314#define S_LNG_STAT 20
8315#define M_LNG_STAT 0x7U
8316#define V_LNG_STAT(x) ((x) << S_LNG_STAT)
8317#define G_LNG_STAT(x) (((x) >> S_LNG_STAT) & M_LNG_STAT)
8318
8319#define S_LNG_CMD 16
8320#define M_LNG_CMD 0x7U
8321#define V_LNG_CMD(x) ((x) << S_LNG_CMD)
8322#define G_LNG_CMD(x) (((x) >> S_LNG_CMD) & M_LNG_CMD)
8323
8324#define S_LNF_STAT 12
8325#define M_LNF_STAT 0x7U
8326#define V_LNF_STAT(x) ((x) << S_LNF_STAT)
8327#define G_LNF_STAT(x) (((x) >> S_LNF_STAT) & M_LNF_STAT)
8328
8329#define S_LNF_CMD 8
8330#define M_LNF_CMD 0x7U
8331#define V_LNF_CMD(x) ((x) << S_LNF_CMD)
8332#define G_LNF_CMD(x) (((x) >> S_LNF_CMD) & M_LNF_CMD)
8333
8334#define S_LNE_STAT 4
8335#define M_LNE_STAT 0x7U
8336#define V_LNE_STAT(x) ((x) << S_LNE_STAT)
8337#define G_LNE_STAT(x) (((x) >> S_LNE_STAT) & M_LNE_STAT)
8338
8339#define S_LNE_CMD 0
8340#define M_LNE_CMD 0x7U
8341#define V_LNE_CMD(x) ((x) << S_LNE_CMD)
8342#define G_LNE_CMD(x) (((x) >> S_LNE_CMD) & M_LNE_CMD)
8343
8344#define A_PCIE_PHY_FS_LF0 0x5bb0
8345
8346#define S_LANE1LF 24
8347#define M_LANE1LF 0x3fU
8348#define V_LANE1LF(x) ((x) << S_LANE1LF)
8349#define G_LANE1LF(x) (((x) >> S_LANE1LF) & M_LANE1LF)
8350
8351#define S_LANE1FS 16
8352#define M_LANE1FS 0x3fU
8353#define V_LANE1FS(x) ((x) << S_LANE1FS)
8354#define G_LANE1FS(x) (((x) >> S_LANE1FS) & M_LANE1FS)
8355
8356#define S_LANE0LF 8
8357#define M_LANE0LF 0x3fU
8358#define V_LANE0LF(x) ((x) << S_LANE0LF)
8359#define G_LANE0LF(x) (((x) >> S_LANE0LF) & M_LANE0LF)
8360
8361#define S_LANE0FS 0
8362#define M_LANE0FS 0x3fU
8363#define V_LANE0FS(x) ((x) << S_LANE0FS)
8364#define G_LANE0FS(x) (((x) >> S_LANE0FS) & M_LANE0FS)
8365
8366#define A_PCIE_PHY_FS_LF1 0x5bb4
8367
8368#define S_LANE3LF 24
8369#define M_LANE3LF 0x3fU
8370#define V_LANE3LF(x) ((x) << S_LANE3LF)
8371#define G_LANE3LF(x) (((x) >> S_LANE3LF) & M_LANE3LF)
8372
8373#define S_LANE3FS 16
8374#define M_LANE3FS 0x3fU
8375#define V_LANE3FS(x) ((x) << S_LANE3FS)
8376#define G_LANE3FS(x) (((x) >> S_LANE3FS) & M_LANE3FS)
8377
8378#define S_LANE2LF 8
8379#define M_LANE2LF 0x3fU
8380#define V_LANE2LF(x) ((x) << S_LANE2LF)
8381#define G_LANE2LF(x) (((x) >> S_LANE2LF) & M_LANE2LF)
8382
8383#define S_LANE2FS 0
8384#define M_LANE2FS 0x3fU
8385#define V_LANE2FS(x) ((x) << S_LANE2FS)
8386#define G_LANE2FS(x) (((x) >> S_LANE2FS) & M_LANE2FS)
8387
8388#define A_PCIE_PHY_FS_LF2 0x5bb8
8389
8390#define S_LANE5LF 24
8391#define M_LANE5LF 0x3fU
8392#define V_LANE5LF(x) ((x) << S_LANE5LF)
8393#define G_LANE5LF(x) (((x) >> S_LANE5LF) & M_LANE5LF)
8394
8395#define S_LANE5FS 16
8396#define M_LANE5FS 0x3fU
8397#define V_LANE5FS(x) ((x) << S_LANE5FS)
8398#define G_LANE5FS(x) (((x) >> S_LANE5FS) & M_LANE5FS)
8399
8400#define S_LANE4LF 8
8401#define M_LANE4LF 0x3fU
8402#define V_LANE4LF(x) ((x) << S_LANE4LF)
8403#define G_LANE4LF(x) (((x) >> S_LANE4LF) & M_LANE4LF)
8404
8405#define S_LANE4FS 0
8406#define M_LANE4FS 0x3fU
8407#define V_LANE4FS(x) ((x) << S_LANE4FS)
8408#define G_LANE4FS(x) (((x) >> S_LANE4FS) & M_LANE4FS)
8409
8410#define A_PCIE_PHY_FS_LF3 0x5bbc
8411
8412#define S_LANE7LF 24
8413#define M_LANE7LF 0x3fU
8414#define V_LANE7LF(x) ((x) << S_LANE7LF)
8415#define G_LANE7LF(x) (((x) >> S_LANE7LF) & M_LANE7LF)
8416
8417#define S_LANE7FS 16
8418#define M_LANE7FS 0x3fU
8419#define V_LANE7FS(x) ((x) << S_LANE7FS)
8420#define G_LANE7FS(x) (((x) >> S_LANE7FS) & M_LANE7FS)
8421
8422#define S_LANE6LF 8
8423#define M_LANE6LF 0x3fU
8424#define V_LANE6LF(x) ((x) << S_LANE6LF)
8425#define G_LANE6LF(x) (((x) >> S_LANE6LF) & M_LANE6LF)
8426
8427#define S_LANE6FS 0
8428#define M_LANE6FS 0x3fU
8429#define V_LANE6FS(x) ((x) << S_LANE6FS)
8430#define G_LANE6FS(x) (((x) >> S_LANE6FS) & M_LANE6FS)
8431
8432#define A_PCIE_PHY_PRESET_REQ 0x5bc0
8433
8434#define S_COEFFDONE 16
8435#define V_COEFFDONE(x) ((x) << S_COEFFDONE)
8436#define F_COEFFDONE V_COEFFDONE(1U)
8437
8438#define S_COEFFLANE 8
8439#define M_COEFFLANE 0x7U
8440#define V_COEFFLANE(x) ((x) << S_COEFFLANE)
8441#define G_COEFFLANE(x) (((x) >> S_COEFFLANE) & M_COEFFLANE)
8442
8443#define S_COEFFSTART 0
8444#define V_COEFFSTART(x) ((x) << S_COEFFSTART)
8445#define F_COEFFSTART V_COEFFSTART(1U)
8446
8447#define S_T6_COEFFLANE 8
8448#define M_T6_COEFFLANE 0xfU
8449#define V_T6_COEFFLANE(x) ((x) << S_T6_COEFFLANE)
8450#define G_T6_COEFFLANE(x) (((x) >> S_T6_COEFFLANE) & M_T6_COEFFLANE)
8451
8452#define A_PCIE_PHY_PRESET_COEFF 0x5bc4
8453
8454#define S_COEFF 0
8455#define M_COEFF 0x3ffffU
8456#define V_COEFF(x) ((x) << S_COEFF)
8457#define G_COEFF(x) (((x) >> S_COEFF) & M_COEFF)
8458
8459#define A_PCIE_PHY_INDIR_REQ 0x5bf0
8460
8461#define S_PHYENABLE 31
8462#define V_PHYENABLE(x) ((x) << S_PHYENABLE)
8463#define F_PHYENABLE V_PHYENABLE(1U)
8464
8465#define S_PCIE_PHY_REGADDR 0
8466#define M_PCIE_PHY_REGADDR 0xffffU
8467#define V_PCIE_PHY_REGADDR(x) ((x) << S_PCIE_PHY_REGADDR)
8468#define G_PCIE_PHY_REGADDR(x) (((x) >> S_PCIE_PHY_REGADDR) & M_PCIE_PHY_REGADDR)
8469
8470#define A_PCIE_PHY_INDIR_DATA 0x5bf4
8471#define A_PCIE_STATIC_SPARE1 0x5bf8
8472#define A_PCIE_STATIC_SPARE2 0x5bfc
8473#define A_PCIE_KDOORBELL_GTS_PF_BASE_LEN 0x5c10
8474
8475#define S_KDB_PF_LEN 24
8476#define M_KDB_PF_LEN 0x1fU
8477#define V_KDB_PF_LEN(x) ((x) << S_KDB_PF_LEN)
8478#define G_KDB_PF_LEN(x) (((x) >> S_KDB_PF_LEN) & M_KDB_PF_LEN)
8479
8480#define S_KDB_PF_BASEADDR 0
8481#define M_KDB_PF_BASEADDR 0xfffffU
8482#define V_KDB_PF_BASEADDR(x) ((x) << S_KDB_PF_BASEADDR)
8483#define G_KDB_PF_BASEADDR(x) (((x) >> S_KDB_PF_BASEADDR) & M_KDB_PF_BASEADDR)
8484
8485#define A_PCIE_KDOORBELL_GTS_VF_BASE_LEN 0x5c14
8486
8487#define S_KDB_VF_LEN 24
8488#define M_KDB_VF_LEN 0x1fU
8489#define V_KDB_VF_LEN(x) ((x) << S_KDB_VF_LEN)
8490#define G_KDB_VF_LEN(x) (((x) >> S_KDB_VF_LEN) & M_KDB_VF_LEN)
8491
8492#define S_KDB_VF_BASEADDR 0
8493#define M_KDB_VF_BASEADDR 0xfffffU
8494#define V_KDB_VF_BASEADDR(x) ((x) << S_KDB_VF_BASEADDR)
8495#define G_KDB_VF_BASEADDR(x) (((x) >> S_KDB_VF_BASEADDR) & M_KDB_VF_BASEADDR)
8496
8497#define A_PCIE_KDOORBELL_GTS_VF_OFFSET 0x5c18
8498
8499#define S_KDB_VF_MODOFST 0
8500#define M_KDB_VF_MODOFST 0xfffU
8501#define V_KDB_VF_MODOFST(x) ((x) << S_KDB_VF_MODOFST)
8502#define G_KDB_VF_MODOFST(x) (((x) >> S_KDB_VF_MODOFST) & M_KDB_VF_MODOFST)
8503
8504#define A_PCIE_PHY_REQRXPWR1 0x5c1c
8505
8506#define S_REQ_LNP_RXSTATEDONE 31
8507#define V_REQ_LNP_RXSTATEDONE(x) ((x) << S_REQ_LNP_RXSTATEDONE)
8508#define F_REQ_LNP_RXSTATEDONE V_REQ_LNP_RXSTATEDONE(1U)
8509
8510#define S_REQ_LNP_RXSTATEREQ 30
8511#define V_REQ_LNP_RXSTATEREQ(x) ((x) << S_REQ_LNP_RXSTATEREQ)
8512#define F_REQ_LNP_RXSTATEREQ V_REQ_LNP_RXSTATEREQ(1U)
8513
8514#define S_REQ_LNP_RXPWRSTATE 28
8515#define M_REQ_LNP_RXPWRSTATE 0x3U
8516#define V_REQ_LNP_RXPWRSTATE(x) ((x) << S_REQ_LNP_RXPWRSTATE)
8517#define G_REQ_LNP_RXPWRSTATE(x) (((x) >> S_REQ_LNP_RXPWRSTATE) & M_REQ_LNP_RXPWRSTATE)
8518
8519#define S_REQ_LNO_RXSTATEDONE 27
8520#define V_REQ_LNO_RXSTATEDONE(x) ((x) << S_REQ_LNO_RXSTATEDONE)
8521#define F_REQ_LNO_RXSTATEDONE V_REQ_LNO_RXSTATEDONE(1U)
8522
8523#define S_REQ_LNO_RXSTATEREQ 26
8524#define V_REQ_LNO_RXSTATEREQ(x) ((x) << S_REQ_LNO_RXSTATEREQ)
8525#define F_REQ_LNO_RXSTATEREQ V_REQ_LNO_RXSTATEREQ(1U)
8526
8527#define S_REQ_LNO_RXPWRSTATE 24
8528#define M_REQ_LNO_RXPWRSTATE 0x3U
8529#define V_REQ_LNO_RXPWRSTATE(x) ((x) << S_REQ_LNO_RXPWRSTATE)
8530#define G_REQ_LNO_RXPWRSTATE(x) (((x) >> S_REQ_LNO_RXPWRSTATE) & M_REQ_LNO_RXPWRSTATE)
8531
8532#define S_REQ_LNN_RXSTATEDONE 23
8533#define V_REQ_LNN_RXSTATEDONE(x) ((x) << S_REQ_LNN_RXSTATEDONE)
8534#define F_REQ_LNN_RXSTATEDONE V_REQ_LNN_RXSTATEDONE(1U)
8535
8536#define S_REQ_LNN_RXSTATEREQ 22
8537#define V_REQ_LNN_RXSTATEREQ(x) ((x) << S_REQ_LNN_RXSTATEREQ)
8538#define F_REQ_LNN_RXSTATEREQ V_REQ_LNN_RXSTATEREQ(1U)
8539
8540#define S_REQ_LNN_RXPWRSTATE 20
8541#define M_REQ_LNN_RXPWRSTATE 0x3U
8542#define V_REQ_LNN_RXPWRSTATE(x) ((x) << S_REQ_LNN_RXPWRSTATE)
8543#define G_REQ_LNN_RXPWRSTATE(x) (((x) >> S_REQ_LNN_RXPWRSTATE) & M_REQ_LNN_RXPWRSTATE)
8544
8545#define S_REQ_LNM_RXSTATEDONE 19
8546#define V_REQ_LNM_RXSTATEDONE(x) ((x) << S_REQ_LNM_RXSTATEDONE)
8547#define F_REQ_LNM_RXSTATEDONE V_REQ_LNM_RXSTATEDONE(1U)
8548
8549#define S_REQ_LNM_RXSTATEREQ 18
8550#define V_REQ_LNM_RXSTATEREQ(x) ((x) << S_REQ_LNM_RXSTATEREQ)
8551#define F_REQ_LNM_RXSTATEREQ V_REQ_LNM_RXSTATEREQ(1U)
8552
8553#define S_REQ_LNM_RXPWRSTATE 16
8554#define M_REQ_LNM_RXPWRSTATE 0x3U
8555#define V_REQ_LNM_RXPWRSTATE(x) ((x) << S_REQ_LNM_RXPWRSTATE)
8556#define G_REQ_LNM_RXPWRSTATE(x) (((x) >> S_REQ_LNM_RXPWRSTATE) & M_REQ_LNM_RXPWRSTATE)
8557
8558#define S_REQ_LNL_RXSTATEDONE 15
8559#define V_REQ_LNL_RXSTATEDONE(x) ((x) << S_REQ_LNL_RXSTATEDONE)
8560#define F_REQ_LNL_RXSTATEDONE V_REQ_LNL_RXSTATEDONE(1U)
8561
8562#define S_REQ_LNL_RXSTATEREQ 14
8563#define V_REQ_LNL_RXSTATEREQ(x) ((x) << S_REQ_LNL_RXSTATEREQ)
8564#define F_REQ_LNL_RXSTATEREQ V_REQ_LNL_RXSTATEREQ(1U)
8565
8566#define S_REQ_LNL_RXPWRSTATE 12
8567#define M_REQ_LNL_RXPWRSTATE 0x3U
8568#define V_REQ_LNL_RXPWRSTATE(x) ((x) << S_REQ_LNL_RXPWRSTATE)
8569#define G_REQ_LNL_RXPWRSTATE(x) (((x) >> S_REQ_LNL_RXPWRSTATE) & M_REQ_LNL_RXPWRSTATE)
8570
8571#define S_REQ_LNK_RXSTATEDONE 11
8572#define V_REQ_LNK_RXSTATEDONE(x) ((x) << S_REQ_LNK_RXSTATEDONE)
8573#define F_REQ_LNK_RXSTATEDONE V_REQ_LNK_RXSTATEDONE(1U)
8574
8575#define S_REQ_LNK_RXSTATEREQ 10
8576#define V_REQ_LNK_RXSTATEREQ(x) ((x) << S_REQ_LNK_RXSTATEREQ)
8577#define F_REQ_LNK_RXSTATEREQ V_REQ_LNK_RXSTATEREQ(1U)
8578
8579#define S_REQ_LNK_RXPWRSTATE 8
8580#define M_REQ_LNK_RXPWRSTATE 0x3U
8581#define V_REQ_LNK_RXPWRSTATE(x) ((x) << S_REQ_LNK_RXPWRSTATE)
8582#define G_REQ_LNK_RXPWRSTATE(x) (((x) >> S_REQ_LNK_RXPWRSTATE) & M_REQ_LNK_RXPWRSTATE)
8583
8584#define S_REQ_LNJ_RXSTATEDONE 7
8585#define V_REQ_LNJ_RXSTATEDONE(x) ((x) << S_REQ_LNJ_RXSTATEDONE)
8586#define F_REQ_LNJ_RXSTATEDONE V_REQ_LNJ_RXSTATEDONE(1U)
8587
8588#define S_REQ_LNJ_RXSTATEREQ 6
8589#define V_REQ_LNJ_RXSTATEREQ(x) ((x) << S_REQ_LNJ_RXSTATEREQ)
8590#define F_REQ_LNJ_RXSTATEREQ V_REQ_LNJ_RXSTATEREQ(1U)
8591
8592#define S_REQ_LNJ_RXPWRSTATE 4
8593#define M_REQ_LNJ_RXPWRSTATE 0x3U
8594#define V_REQ_LNJ_RXPWRSTATE(x) ((x) << S_REQ_LNJ_RXPWRSTATE)
8595#define G_REQ_LNJ_RXPWRSTATE(x) (((x) >> S_REQ_LNJ_RXPWRSTATE) & M_REQ_LNJ_RXPWRSTATE)
8596
8597#define S_REQ_LNI_RXSTATEDONE 3
8598#define V_REQ_LNI_RXSTATEDONE(x) ((x) << S_REQ_LNI_RXSTATEDONE)
8599#define F_REQ_LNI_RXSTATEDONE V_REQ_LNI_RXSTATEDONE(1U)
8600
8601#define S_REQ_LNI_RXSTATEREQ 2
8602#define V_REQ_LNI_RXSTATEREQ(x) ((x) << S_REQ_LNI_RXSTATEREQ)
8603#define F_REQ_LNI_RXSTATEREQ V_REQ_LNI_RXSTATEREQ(1U)
8604
8605#define S_REQ_LNI_RXPWRSTATE 0
8606#define M_REQ_LNI_RXPWRSTATE 0x3U
8607#define V_REQ_LNI_RXPWRSTATE(x) ((x) << S_REQ_LNI_RXPWRSTATE)
8608#define G_REQ_LNI_RXPWRSTATE(x) (((x) >> S_REQ_LNI_RXPWRSTATE) & M_REQ_LNI_RXPWRSTATE)
8609
8610#define A_PCIE_PHY_CURRXPWR1 0x5c20
8611
8612#define S_CUR_LNP_RXPWRSTATE 28
8613#define M_CUR_LNP_RXPWRSTATE 0x7U
8614#define V_CUR_LNP_RXPWRSTATE(x) ((x) << S_CUR_LNP_RXPWRSTATE)
8615#define G_CUR_LNP_RXPWRSTATE(x) (((x) >> S_CUR_LNP_RXPWRSTATE) & M_CUR_LNP_RXPWRSTATE)
8616
8617#define S_CUR_LNO_RXPWRSTATE 24
8618#define M_CUR_LNO_RXPWRSTATE 0x7U
8619#define V_CUR_LNO_RXPWRSTATE(x) ((x) << S_CUR_LNO_RXPWRSTATE)
8620#define G_CUR_LNO_RXPWRSTATE(x) (((x) >> S_CUR_LNO_RXPWRSTATE) & M_CUR_LNO_RXPWRSTATE)
8621
8622#define S_CUR_LNN_RXPWRSTATE 20
8623#define M_CUR_LNN_RXPWRSTATE 0x7U
8624#define V_CUR_LNN_RXPWRSTATE(x) ((x) << S_CUR_LNN_RXPWRSTATE)
8625#define G_CUR_LNN_RXPWRSTATE(x) (((x) >> S_CUR_LNN_RXPWRSTATE) & M_CUR_LNN_RXPWRSTATE)
8626
8627#define S_CUR_LNM_RXPWRSTATE 16
8628#define M_CUR_LNM_RXPWRSTATE 0x7U
8629#define V_CUR_LNM_RXPWRSTATE(x) ((x) << S_CUR_LNM_RXPWRSTATE)
8630#define G_CUR_LNM_RXPWRSTATE(x) (((x) >> S_CUR_LNM_RXPWRSTATE) & M_CUR_LNM_RXPWRSTATE)
8631
8632#define S_CUR_LNL_RXPWRSTATE 12
8633#define M_CUR_LNL_RXPWRSTATE 0x7U
8634#define V_CUR_LNL_RXPWRSTATE(x) ((x) << S_CUR_LNL_RXPWRSTATE)
8635#define G_CUR_LNL_RXPWRSTATE(x) (((x) >> S_CUR_LNL_RXPWRSTATE) & M_CUR_LNL_RXPWRSTATE)
8636
8637#define S_CUR_LNK_RXPWRSTATE 8
8638#define M_CUR_LNK_RXPWRSTATE 0x7U
8639#define V_CUR_LNK_RXPWRSTATE(x) ((x) << S_CUR_LNK_RXPWRSTATE)
8640#define G_CUR_LNK_RXPWRSTATE(x) (((x) >> S_CUR_LNK_RXPWRSTATE) & M_CUR_LNK_RXPWRSTATE)
8641
8642#define S_CUR_LNJ_RXPWRSTATE 4
8643#define M_CUR_LNJ_RXPWRSTATE 0x7U
8644#define V_CUR_LNJ_RXPWRSTATE(x) ((x) << S_CUR_LNJ_RXPWRSTATE)
8645#define G_CUR_LNJ_RXPWRSTATE(x) (((x) >> S_CUR_LNJ_RXPWRSTATE) & M_CUR_LNJ_RXPWRSTATE)
8646
8647#define S_CUR_LNI_RXPWRSTATE 0
8648#define M_CUR_LNI_RXPWRSTATE 0x7U
8649#define V_CUR_LNI_RXPWRSTATE(x) ((x) << S_CUR_LNI_RXPWRSTATE)
8650#define G_CUR_LNI_RXPWRSTATE(x) (((x) >> S_CUR_LNI_RXPWRSTATE) & M_CUR_LNI_RXPWRSTATE)
8651
8652#define A_PCIE_PHY_GEN3_AE2 0x5c24
8653
8654#define S_LNL_STAT 28
8655#define M_LNL_STAT 0x7U
8656#define V_LNL_STAT(x) ((x) << S_LNL_STAT)
8657#define G_LNL_STAT(x) (((x) >> S_LNL_STAT) & M_LNL_STAT)
8658
8659#define S_LNL_CMD 24
8660#define M_LNL_CMD 0x7U
8661#define V_LNL_CMD(x) ((x) << S_LNL_CMD)
8662#define G_LNL_CMD(x) (((x) >> S_LNL_CMD) & M_LNL_CMD)
8663
8664#define S_LNK_STAT 20
8665#define M_LNK_STAT 0x7U
8666#define V_LNK_STAT(x) ((x) << S_LNK_STAT)
8667#define G_LNK_STAT(x) (((x) >> S_LNK_STAT) & M_LNK_STAT)
8668
8669#define S_LNK_CMD 16
8670#define M_LNK_CMD 0x7U
8671#define V_LNK_CMD(x) ((x) << S_LNK_CMD)
8672#define G_LNK_CMD(x) (((x) >> S_LNK_CMD) & M_LNK_CMD)
8673
8674#define S_LNJ_STAT 12
8675#define M_LNJ_STAT 0x7U
8676#define V_LNJ_STAT(x) ((x) << S_LNJ_STAT)
8677#define G_LNJ_STAT(x) (((x) >> S_LNJ_STAT) & M_LNJ_STAT)
8678
8679#define S_LNJ_CMD 8
8680#define M_LNJ_CMD 0x7U
8681#define V_LNJ_CMD(x) ((x) << S_LNJ_CMD)
8682#define G_LNJ_CMD(x) (((x) >> S_LNJ_CMD) & M_LNJ_CMD)
8683
8684#define S_LNI_STAT 4
8685#define M_LNI_STAT 0x7U
8686#define V_LNI_STAT(x) ((x) << S_LNI_STAT)
8687#define G_LNI_STAT(x) (((x) >> S_LNI_STAT) & M_LNI_STAT)
8688
8689#define S_LNI_CMD 0
8690#define M_LNI_CMD 0x7U
8691#define V_LNI_CMD(x) ((x) << S_LNI_CMD)
8692#define G_LNI_CMD(x) (((x) >> S_LNI_CMD) & M_LNI_CMD)
8693
8694#define A_PCIE_PHY_GEN3_AE3 0x5c28
8695
8696#define S_LNP_STAT 28
8697#define M_LNP_STAT 0x7U
8698#define V_LNP_STAT(x) ((x) << S_LNP_STAT)
8699#define G_LNP_STAT(x) (((x) >> S_LNP_STAT) & M_LNP_STAT)
8700
8701#define S_LNP_CMD 24
8702#define M_LNP_CMD 0x7U
8703#define V_LNP_CMD(x) ((x) << S_LNP_CMD)
8704#define G_LNP_CMD(x) (((x) >> S_LNP_CMD) & M_LNP_CMD)
8705
8706#define S_LNO_STAT 20
8707#define M_LNO_STAT 0x7U
8708#define V_LNO_STAT(x) ((x) << S_LNO_STAT)
8709#define G_LNO_STAT(x) (((x) >> S_LNO_STAT) & M_LNO_STAT)
8710
8711#define S_LNO_CMD 16
8712#define M_LNO_CMD 0x7U
8713#define V_LNO_CMD(x) ((x) << S_LNO_CMD)
8714#define G_LNO_CMD(x) (((x) >> S_LNO_CMD) & M_LNO_CMD)
8715
8716#define S_LNN_STAT 12
8717#define M_LNN_STAT 0x7U
8718#define V_LNN_STAT(x) ((x) << S_LNN_STAT)
8719#define G_LNN_STAT(x) (((x) >> S_LNN_STAT) & M_LNN_STAT)
8720
8721#define S_LNN_CMD 8
8722#define M_LNN_CMD 0x7U
8723#define V_LNN_CMD(x) ((x) << S_LNN_CMD)
8724#define G_LNN_CMD(x) (((x) >> S_LNN_CMD) & M_LNN_CMD)
8725
8726#define S_LNM_STAT 4
8727#define M_LNM_STAT 0x7U
8728#define V_LNM_STAT(x) ((x) << S_LNM_STAT)
8729#define G_LNM_STAT(x) (((x) >> S_LNM_STAT) & M_LNM_STAT)
8730
8731#define S_LNM_CMD 0
8732#define M_LNM_CMD 0x7U
8733#define V_LNM_CMD(x) ((x) << S_LNM_CMD)
8734#define G_LNM_CMD(x) (((x) >> S_LNM_CMD) & M_LNM_CMD)
8735
8736#define A_PCIE_PHY_FS_LF4 0x5c2c
8737
8738#define S_LANE9LF 24
8739#define M_LANE9LF 0x3fU
8740#define V_LANE9LF(x) ((x) << S_LANE9LF)
8741#define G_LANE9LF(x) (((x) >> S_LANE9LF) & M_LANE9LF)
8742
8743#define S_LANE9FS 16
8744#define M_LANE9FS 0x3fU
8745#define V_LANE9FS(x) ((x) << S_LANE9FS)
8746#define G_LANE9FS(x) (((x) >> S_LANE9FS) & M_LANE9FS)
8747
8748#define S_LANE8LF 8
8749#define M_LANE8LF 0x3fU
8750#define V_LANE8LF(x) ((x) << S_LANE8LF)
8751#define G_LANE8LF(x) (((x) >> S_LANE8LF) & M_LANE8LF)
8752
8753#define S_LANE8FS 0
8754#define M_LANE8FS 0x3fU
8755#define V_LANE8FS(x) ((x) << S_LANE8FS)
8756#define G_LANE8FS(x) (((x) >> S_LANE8FS) & M_LANE8FS)
8757
8758#define A_PCIE_PHY_FS_LF5 0x5c30
8759
8760#define S_LANE11LF 24
8761#define M_LANE11LF 0x3fU
8762#define V_LANE11LF(x) ((x) << S_LANE11LF)
8763#define G_LANE11LF(x) (((x) >> S_LANE11LF) & M_LANE11LF)
8764
8765#define S_LANE11FS 16
8766#define M_LANE11FS 0x3fU
8767#define V_LANE11FS(x) ((x) << S_LANE11FS)
8768#define G_LANE11FS(x) (((x) >> S_LANE11FS) & M_LANE11FS)
8769
8770#define S_LANE10LF 8
8771#define M_LANE10LF 0x3fU
8772#define V_LANE10LF(x) ((x) << S_LANE10LF)
8773#define G_LANE10LF(x) (((x) >> S_LANE10LF) & M_LANE10LF)
8774
8775#define S_LANE10FS 0
8776#define M_LANE10FS 0x3fU
8777#define V_LANE10FS(x) ((x) << S_LANE10FS)
8778#define G_LANE10FS(x) (((x) >> S_LANE10FS) & M_LANE10FS)
8779
8780#define A_PCIE_PHY_FS_LF6 0x5c34
8781
8782#define S_LANE13LF 24
8783#define M_LANE13LF 0x3fU
8784#define V_LANE13LF(x) ((x) << S_LANE13LF)
8785#define G_LANE13LF(x) (((x) >> S_LANE13LF) & M_LANE13LF)
8786
8787#define S_LANE13FS 16
8788#define M_LANE13FS 0x3fU
8789#define V_LANE13FS(x) ((x) << S_LANE13FS)
8790#define G_LANE13FS(x) (((x) >> S_LANE13FS) & M_LANE13FS)
8791
8792#define S_LANE12LF 8
8793#define M_LANE12LF 0x3fU
8794#define V_LANE12LF(x) ((x) << S_LANE12LF)
8795#define G_LANE12LF(x) (((x) >> S_LANE12LF) & M_LANE12LF)
8796
8797#define S_LANE12FS 0
8798#define M_LANE12FS 0x3fU
8799#define V_LANE12FS(x) ((x) << S_LANE12FS)
8800#define G_LANE12FS(x) (((x) >> S_LANE12FS) & M_LANE12FS)
8801
8802#define A_PCIE_PHY_FS_LF7 0x5c38
8803
8804#define S_LANE15LF 24
8805#define M_LANE15LF 0x3fU
8806#define V_LANE15LF(x) ((x) << S_LANE15LF)
8807#define G_LANE15LF(x) (((x) >> S_LANE15LF) & M_LANE15LF)
8808
8809#define S_LANE15FS 16
8810#define M_LANE15FS 0x3fU
8811#define V_LANE15FS(x) ((x) << S_LANE15FS)
8812#define G_LANE15FS(x) (((x) >> S_LANE15FS) & M_LANE15FS)
8813
8814#define S_LANE14LF 8
8815#define M_LANE14LF 0x3fU
8816#define V_LANE14LF(x) ((x) << S_LANE14LF)
8817#define G_LANE14LF(x) (((x) >> S_LANE14LF) & M_LANE14LF)
8818
8819#define S_LANE14FS 0
8820#define M_LANE14FS 0x3fU
8821#define V_LANE14FS(x) ((x) << S_LANE14FS)
8822#define G_LANE14FS(x) (((x) >> S_LANE14FS) & M_LANE14FS)
8823
8824#define A_PCIE_MULTI_PHY_INDIR_REQ 0x5c3c
8825
8826#define S_PHY_REG_ENABLE 31
8827#define V_PHY_REG_ENABLE(x) ((x) << S_PHY_REG_ENABLE)
8828#define F_PHY_REG_ENABLE V_PHY_REG_ENABLE(1U)
8829
8830#define S_PHY_REG_SELECT 22
8831#define M_PHY_REG_SELECT 0x3U
8832#define V_PHY_REG_SELECT(x) ((x) << S_PHY_REG_SELECT)
8833#define G_PHY_REG_SELECT(x) (((x) >> S_PHY_REG_SELECT) & M_PHY_REG_SELECT)
8834
8835#define S_PHY_REG_REGADDR 0
8836#define M_PHY_REG_REGADDR 0xffffU
8837#define V_PHY_REG_REGADDR(x) ((x) << S_PHY_REG_REGADDR)
8838#define G_PHY_REG_REGADDR(x) (((x) >> S_PHY_REG_REGADDR) & M_PHY_REG_REGADDR)
8839
8840#define A_PCIE_MULTI_PHY_INDIR_DATA 0x5c40
8841
8842#define S_PHY_REG_DATA 0
8843#define M_PHY_REG_DATA 0xffffU
8844#define V_PHY_REG_DATA(x) ((x) << S_PHY_REG_DATA)
8845#define G_PHY_REG_DATA(x) (((x) >> S_PHY_REG_DATA) & M_PHY_REG_DATA)
8846
8847#define A_PCIE_VF_INT_INDIR_REQ 0x5c44
8848
8849#define S_ENABLE_VF 24
8850#define V_ENABLE_VF(x) ((x) << S_ENABLE_VF)
8851#define F_ENABLE_VF V_ENABLE_VF(1U)
8852
8853#define S_AI_VF 23
8854#define V_AI_VF(x) ((x) << S_AI_VF)
8855#define F_AI_VF V_AI_VF(1U)
8856
8857#define S_VFID_PCIE 0
8858#define M_VFID_PCIE 0x3ffU
8859#define V_VFID_PCIE(x) ((x) << S_VFID_PCIE)
8860#define G_VFID_PCIE(x) (((x) >> S_VFID_PCIE) & M_VFID_PCIE)
8861
8862#define A_PCIE_VF_INT_INDIR_DATA 0x5c48
8863#define A_PCIE_VF_256_INT_CFG2 0x5c4c
8864#define A_PCIE_VF_MSI_EN_4 0x5e50
8865#define A_PCIE_VF_MSI_EN_5 0x5e54
8866#define A_PCIE_VF_MSI_EN_6 0x5e58
8867#define A_PCIE_VF_MSI_EN_7 0x5e5c
8868#define A_PCIE_VF_MSIX_EN_4 0x5e60
8869#define A_PCIE_VF_MSIX_EN_5 0x5e64
8870#define A_PCIE_VF_MSIX_EN_6 0x5e68
8871#define A_PCIE_VF_MSIX_EN_7 0x5e6c
8872#define A_PCIE_FLR_VF4_STATUS 0x5e70
8873#define A_PCIE_FLR_VF5_STATUS 0x5e74
8874#define A_PCIE_FLR_VF6_STATUS 0x5e78
8875#define A_PCIE_FLR_VF7_STATUS 0x5e7c
8876#define A_T6_PCIE_BUS_MST_STAT_4 0x5e80
8877#define A_T6_PCIE_BUS_MST_STAT_5 0x5e84
8878#define A_T6_PCIE_BUS_MST_STAT_6 0x5e88
8879#define A_T6_PCIE_BUS_MST_STAT_7 0x5e8c
8880#define A_PCIE_BUS_MST_STAT_8 0x5e90
8881
8882#define S_BUSMST_263_256 0
8883#define M_BUSMST_263_256 0xffU
8884#define V_BUSMST_263_256(x) ((x) << S_BUSMST_263_256)
8885#define G_BUSMST_263_256(x) (((x) >> S_BUSMST_263_256) & M_BUSMST_263_256)
8886
8887#define A_PCIE_TGT_SKID_FIFO 0x5e94
8888
8889#define S_HDRFREECNT 16
8890#define M_HDRFREECNT 0xfffU
8891#define V_HDRFREECNT(x) ((x) << S_HDRFREECNT)
8892#define G_HDRFREECNT(x) (((x) >> S_HDRFREECNT) & M_HDRFREECNT)
8893
8894#define S_DATAFREECNT 0
8895#define M_DATAFREECNT 0xfffU
8896#define V_DATAFREECNT(x) ((x) << S_DATAFREECNT)
8897#define G_DATAFREECNT(x) (((x) >> S_DATAFREECNT) & M_DATAFREECNT)
8898
8899#define A_T6_PCIE_RSP_ERR_STAT_4 0x5ea0
8900#define A_T6_PCIE_RSP_ERR_STAT_5 0x5ea4
8901#define A_T6_PCIE_RSP_ERR_STAT_6 0x5ea8
8902#define A_T6_PCIE_RSP_ERR_STAT_7 0x5eac
8903#define A_PCIE_RSP_ERR_STAT_8 0x5eb0
8904
8905#define S_RSPERR_263_256 0
8906#define M_RSPERR_263_256 0xffU
8907#define V_RSPERR_263_256(x) ((x) << S_RSPERR_263_256)
8908#define G_RSPERR_263_256(x) (((x) >> S_RSPERR_263_256) & M_RSPERR_263_256)
8909
8910#define A_PCIE_PHY_STAT1 0x5ec0
8911
8912#define S_PHY0_RTUNE_ACK 31
8913#define V_PHY0_RTUNE_ACK(x) ((x) << S_PHY0_RTUNE_ACK)
8914#define F_PHY0_RTUNE_ACK V_PHY0_RTUNE_ACK(1U)
8915
8916#define S_PHY1_RTUNE_ACK 30
8917#define V_PHY1_RTUNE_ACK(x) ((x) << S_PHY1_RTUNE_ACK)
8918#define F_PHY1_RTUNE_ACK V_PHY1_RTUNE_ACK(1U)
8919
8920#define A_PCIE_PHY_CTRL1 0x5ec4
8921
8922#define S_PHY0_RTUNE_REQ 31
8923#define V_PHY0_RTUNE_REQ(x) ((x) << S_PHY0_RTUNE_REQ)
8924#define F_PHY0_RTUNE_REQ V_PHY0_RTUNE_REQ(1U)
8925
8926#define S_PHY1_RTUNE_REQ 30
8927#define V_PHY1_RTUNE_REQ(x) ((x) << S_PHY1_RTUNE_REQ)
8928#define F_PHY1_RTUNE_REQ V_PHY1_RTUNE_REQ(1U)
8929
8930#define S_TXDEEMPH_GEN1 16
8931#define M_TXDEEMPH_GEN1 0xffU
8932#define V_TXDEEMPH_GEN1(x) ((x) << S_TXDEEMPH_GEN1)
8933#define G_TXDEEMPH_GEN1(x) (((x) >> S_TXDEEMPH_GEN1) & M_TXDEEMPH_GEN1)
8934
8935#define S_TXDEEMPH_GEN2_3P5DB 8
8936#define M_TXDEEMPH_GEN2_3P5DB 0xffU
8937#define V_TXDEEMPH_GEN2_3P5DB(x) ((x) << S_TXDEEMPH_GEN2_3P5DB)
8938#define G_TXDEEMPH_GEN2_3P5DB(x) (((x) >> S_TXDEEMPH_GEN2_3P5DB) & M_TXDEEMPH_GEN2_3P5DB)
8939
8940#define S_TXDEEMPH_GEN2_6DB 0
8941#define M_TXDEEMPH_GEN2_6DB 0xffU
8942#define V_TXDEEMPH_GEN2_6DB(x) ((x) << S_TXDEEMPH_GEN2_6DB)
8943#define G_TXDEEMPH_GEN2_6DB(x) (((x) >> S_TXDEEMPH_GEN2_6DB) & M_TXDEEMPH_GEN2_6DB)
8944
8945#define A_PCIE_PCIE_SPARE0 0x5ec8
8946#define A_PCIE_RESET_STAT 0x5ecc
8947
8948#define S_PON_RST_STATE_FLAG 11
8949#define V_PON_RST_STATE_FLAG(x) ((x) << S_PON_RST_STATE_FLAG)
8950#define F_PON_RST_STATE_FLAG V_PON_RST_STATE_FLAG(1U)
8951
8952#define S_BUS_RST_STATE_FLAG 10
8953#define V_BUS_RST_STATE_FLAG(x) ((x) << S_BUS_RST_STATE_FLAG)
8954#define F_BUS_RST_STATE_FLAG V_BUS_RST_STATE_FLAG(1U)
8955
8956#define S_DL_DOWN_PCIECRST_MODE0_STATE_FLAG 9
8957#define V_DL_DOWN_PCIECRST_MODE0_STATE_FLAG(x) ((x) << S_DL_DOWN_PCIECRST_MODE0_STATE_FLAG)
8958#define F_DL_DOWN_PCIECRST_MODE0_STATE_FLAG V_DL_DOWN_PCIECRST_MODE0_STATE_FLAG(1U)
8959
8960#define S_DL_DOWN_PCIECRST_MODE1_STATE_FLAG 8
8961#define V_DL_DOWN_PCIECRST_MODE1_STATE_FLAG(x) ((x) << S_DL_DOWN_PCIECRST_MODE1_STATE_FLAG)
8962#define F_DL_DOWN_PCIECRST_MODE1_STATE_FLAG V_DL_DOWN_PCIECRST_MODE1_STATE_FLAG(1U)
8963
8964#define S_PCIE_WARM_RST_MODE0_STATE_FLAG 7
8965#define V_PCIE_WARM_RST_MODE0_STATE_FLAG(x) ((x) << S_PCIE_WARM_RST_MODE0_STATE_FLAG)
8966#define F_PCIE_WARM_RST_MODE0_STATE_FLAG V_PCIE_WARM_RST_MODE0_STATE_FLAG(1U)
8967
8968#define S_PCIE_WARM_RST_MODE1_STATE_FLAG 6
8969#define V_PCIE_WARM_RST_MODE1_STATE_FLAG(x) ((x) << S_PCIE_WARM_RST_MODE1_STATE_FLAG)
8970#define F_PCIE_WARM_RST_MODE1_STATE_FLAG V_PCIE_WARM_RST_MODE1_STATE_FLAG(1U)
8971
8972#define S_PIO_WARM_RST_MODE0_STATE_FLAG 5
8973#define V_PIO_WARM_RST_MODE0_STATE_FLAG(x) ((x) << S_PIO_WARM_RST_MODE0_STATE_FLAG)
8974#define F_PIO_WARM_RST_MODE0_STATE_FLAG V_PIO_WARM_RST_MODE0_STATE_FLAG(1U)
8975
8976#define S_PIO_WARM_RST_MODE1_STATE_FLAG 4
8977#define V_PIO_WARM_RST_MODE1_STATE_FLAG(x) ((x) << S_PIO_WARM_RST_MODE1_STATE_FLAG)
8978#define F_PIO_WARM_RST_MODE1_STATE_FLAG V_PIO_WARM_RST_MODE1_STATE_FLAG(1U)
8979
8980#define S_LASTRESETSTATE 0
8981#define M_LASTRESETSTATE 0x7U
8982#define V_LASTRESETSTATE(x) ((x) << S_LASTRESETSTATE)
8983#define G_LASTRESETSTATE(x) (((x) >> S_LASTRESETSTATE) & M_LASTRESETSTATE)
8984
8985#define A_PCIE_FUNC_DSTATE 0x5ed0
8986
8987#define S_PF7_DSTATE 21
8988#define M_PF7_DSTATE 0x7U
8989#define V_PF7_DSTATE(x) ((x) << S_PF7_DSTATE)
8990#define G_PF7_DSTATE(x) (((x) >> S_PF7_DSTATE) & M_PF7_DSTATE)
8991
8992#define S_PF6_DSTATE 18
8993#define M_PF6_DSTATE 0x7U
8994#define V_PF6_DSTATE(x) ((x) << S_PF6_DSTATE)
8995#define G_PF6_DSTATE(x) (((x) >> S_PF6_DSTATE) & M_PF6_DSTATE)
8996
8997#define S_PF5_DSTATE 15
8998#define M_PF5_DSTATE 0x7U
8999#define V_PF5_DSTATE(x) ((x) << S_PF5_DSTATE)
9000#define G_PF5_DSTATE(x) (((x) >> S_PF5_DSTATE) & M_PF5_DSTATE)
9001
9002#define S_PF4_DSTATE 12
9003#define M_PF4_DSTATE 0x7U
9004#define V_PF4_DSTATE(x) ((x) << S_PF4_DSTATE)
9005#define G_PF4_DSTATE(x) (((x) >> S_PF4_DSTATE) & M_PF4_DSTATE)
9006
9007#define S_PF3_DSTATE 9
9008#define M_PF3_DSTATE 0x7U
9009#define V_PF3_DSTATE(x) ((x) << S_PF3_DSTATE)
9010#define G_PF3_DSTATE(x) (((x) >> S_PF3_DSTATE) & M_PF3_DSTATE)
9011
9012#define S_PF2_DSTATE 6
9013#define M_PF2_DSTATE 0x7U
9014#define V_PF2_DSTATE(x) ((x) << S_PF2_DSTATE)
9015#define G_PF2_DSTATE(x) (((x) >> S_PF2_DSTATE) & M_PF2_DSTATE)
9016
9017#define S_PF1_DSTATE 3
9018#define M_PF1_DSTATE 0x7U
9019#define V_PF1_DSTATE(x) ((x) << S_PF1_DSTATE)
9020#define G_PF1_DSTATE(x) (((x) >> S_PF1_DSTATE) & M_PF1_DSTATE)
9021
9022#define S_PF0_DSTATE 0
9023#define M_PF0_DSTATE 0x7U
9024#define V_PF0_DSTATE(x) ((x) << S_PF0_DSTATE)
9025#define G_PF0_DSTATE(x) (((x) >> S_PF0_DSTATE) & M_PF0_DSTATE)
9026
9027#define A_PCIE_DEBUG_ADDR_RANGE1 0x5ee0
9028#define A_PCIE_DEBUG_ADDR_RANGE2 0x5ef0
9029#define A_PCIE_DEBUG_ADDR_RANGE_CNT 0x5f00
9030#define A_PCIE_PDEBUG_REG_0X0 0x0
9031#define A_PCIE_PDEBUG_REG_0X1 0x1
9032#define A_PCIE_PDEBUG_REG_0X2 0x2
9033
9034#define S_TAGQ_CH0_TAGS_USED 11
9035#define M_TAGQ_CH0_TAGS_USED 0xffU
9036#define V_TAGQ_CH0_TAGS_USED(x) ((x) << S_TAGQ_CH0_TAGS_USED)
9037#define G_TAGQ_CH0_TAGS_USED(x) (((x) >> S_TAGQ_CH0_TAGS_USED) & M_TAGQ_CH0_TAGS_USED)
9038
9039#define S_REQ_CH0_DATA_EMPTY 10
9040#define V_REQ_CH0_DATA_EMPTY(x) ((x) << S_REQ_CH0_DATA_EMPTY)
9041#define F_REQ_CH0_DATA_EMPTY V_REQ_CH0_DATA_EMPTY(1U)
9042
9043#define S_RDQ_CH0_REQ_EMPTY 9
9044#define V_RDQ_CH0_REQ_EMPTY(x) ((x) << S_RDQ_CH0_REQ_EMPTY)
9045#define F_RDQ_CH0_REQ_EMPTY V_RDQ_CH0_REQ_EMPTY(1U)
9046
9047#define S_REQ_CTL_RD_CH0_WAIT_FOR_TAGTQ 8
9048#define V_REQ_CTL_RD_CH0_WAIT_FOR_TAGTQ(x) ((x) << S_REQ_CTL_RD_CH0_WAIT_FOR_TAGTQ)
9049#define F_REQ_CTL_RD_CH0_WAIT_FOR_TAGTQ V_REQ_CTL_RD_CH0_WAIT_FOR_TAGTQ(1U)
9050
9051#define S_REQ_CTL_RD_CH0_WAIT_FOR_CMD 7
9052#define V_REQ_CTL_RD_CH0_WAIT_FOR_CMD(x) ((x) << S_REQ_CTL_RD_CH0_WAIT_FOR_CMD)
9053#define F_REQ_CTL_RD_CH0_WAIT_FOR_CMD V_REQ_CTL_RD_CH0_WAIT_FOR_CMD(1U)
9054
9055#define S_REQ_CTL_RD_CH0_WAIT_FOR_DATA_MEM 6
9056#define V_REQ_CTL_RD_CH0_WAIT_FOR_DATA_MEM(x) ((x) << S_REQ_CTL_RD_CH0_WAIT_FOR_DATA_MEM)
9057#define F_REQ_CTL_RD_CH0_WAIT_FOR_DATA_MEM V_REQ_CTL_RD_CH0_WAIT_FOR_DATA_MEM(1U)
9058
9059#define S_REQ_CTL_RD_CH0_WAIT_FOR_RDQ 5
9060#define V_REQ_CTL_RD_CH0_WAIT_FOR_RDQ(x) ((x) << S_REQ_CTL_RD_CH0_WAIT_FOR_RDQ)
9061#define F_REQ_CTL_RD_CH0_WAIT_FOR_RDQ V_REQ_CTL_RD_CH0_WAIT_FOR_RDQ(1U)
9062
9063#define S_REQ_CTL_RD_CH0_WAIT_FOR_TXN_DISABLE_FIFO 4
9064#define V_REQ_CTL_RD_CH0_WAIT_FOR_TXN_DISABLE_FIFO(x) ((x) << S_REQ_CTL_RD_CH0_WAIT_FOR_TXN_DISABLE_FIFO)
9065#define F_REQ_CTL_RD_CH0_WAIT_FOR_TXN_DISABLE_FIFO V_REQ_CTL_RD_CH0_WAIT_FOR_TXN_DISABLE_FIFO(1U)
9066
9067#define S_REQ_CTL_RD_CH0_EXIT_BOT_VLD_STARTED 3
9068#define V_REQ_CTL_RD_CH0_EXIT_BOT_VLD_STARTED(x) ((x) << S_REQ_CTL_RD_CH0_EXIT_BOT_VLD_STARTED)
9069#define F_REQ_CTL_RD_CH0_EXIT_BOT_VLD_STARTED V_REQ_CTL_RD_CH0_EXIT_BOT_VLD_STARTED(1U)
9070
9071#define S_REQ_CTL_RD_CH0_EXIT_TOP_VLD_STARTED 2
9072#define V_REQ_CTL_RD_CH0_EXIT_TOP_VLD_STARTED(x) ((x) << S_REQ_CTL_RD_CH0_EXIT_TOP_VLD_STARTED)
9073#define F_REQ_CTL_RD_CH0_EXIT_TOP_VLD_STARTED V_REQ_CTL_RD_CH0_EXIT_TOP_VLD_STARTED(1U)
9074
9075#define S_REQ_CTL_RD_CH0_WAIT_FOR_PAUSE 1
9076#define V_REQ_CTL_RD_CH0_WAIT_FOR_PAUSE(x) ((x) << S_REQ_CTL_RD_CH0_WAIT_FOR_PAUSE)
9077#define F_REQ_CTL_RD_CH0_WAIT_FOR_PAUSE V_REQ_CTL_RD_CH0_WAIT_FOR_PAUSE(1U)
9078
9079#define S_REQ_CTL_RD_CH0_WAIT_FOR_FIFO_DATA 0
9080#define V_REQ_CTL_RD_CH0_WAIT_FOR_FIFO_DATA(x) ((x) << S_REQ_CTL_RD_CH0_WAIT_FOR_FIFO_DATA)
9081#define F_REQ_CTL_RD_CH0_WAIT_FOR_FIFO_DATA V_REQ_CTL_RD_CH0_WAIT_FOR_FIFO_DATA(1U)
9082
9083#define A_PCIE_PDEBUG_REG_0X3 0x3
9084
9085#define S_TAGQ_CH1_TAGS_USED 11
9086#define M_TAGQ_CH1_TAGS_USED 0xffU
9087#define V_TAGQ_CH1_TAGS_USED(x) ((x) << S_TAGQ_CH1_TAGS_USED)
9088#define G_TAGQ_CH1_TAGS_USED(x) (((x) >> S_TAGQ_CH1_TAGS_USED) & M_TAGQ_CH1_TAGS_USED)
9089
9090#define S_REQ_CH1_DATA_EMPTY 10
9091#define V_REQ_CH1_DATA_EMPTY(x) ((x) << S_REQ_CH1_DATA_EMPTY)
9092#define F_REQ_CH1_DATA_EMPTY V_REQ_CH1_DATA_EMPTY(1U)
9093
9094#define S_RDQ_CH1_REQ_EMPTY 9
9095#define V_RDQ_CH1_REQ_EMPTY(x) ((x) << S_RDQ_CH1_REQ_EMPTY)
9096#define F_RDQ_CH1_REQ_EMPTY V_RDQ_CH1_REQ_EMPTY(1U)
9097
9098#define S_REQ_CTL_RD_CH1_WAIT_FOR_TAGTQ 8
9099#define V_REQ_CTL_RD_CH1_WAIT_FOR_TAGTQ(x) ((x) << S_REQ_CTL_RD_CH1_WAIT_FOR_TAGTQ)
9100#define F_REQ_CTL_RD_CH1_WAIT_FOR_TAGTQ V_REQ_CTL_RD_CH1_WAIT_FOR_TAGTQ(1U)
9101
9102#define S_REQ_CTL_RD_CH1_WAIT_FOR_CMD 7
9103#define V_REQ_CTL_RD_CH1_WAIT_FOR_CMD(x) ((x) << S_REQ_CTL_RD_CH1_WAIT_FOR_CMD)
9104#define F_REQ_CTL_RD_CH1_WAIT_FOR_CMD V_REQ_CTL_RD_CH1_WAIT_FOR_CMD(1U)
9105
9106#define S_REQ_CTL_RD_CH1_WAIT_FOR_DATA_MEM 6
9107#define V_REQ_CTL_RD_CH1_WAIT_FOR_DATA_MEM(x) ((x) << S_REQ_CTL_RD_CH1_WAIT_FOR_DATA_MEM)
9108#define F_REQ_CTL_RD_CH1_WAIT_FOR_DATA_MEM V_REQ_CTL_RD_CH1_WAIT_FOR_DATA_MEM(1U)
9109
9110#define S_REQ_CTL_RD_CH1_WAIT_FOR_RDQ 5
9111#define V_REQ_CTL_RD_CH1_WAIT_FOR_RDQ(x) ((x) << S_REQ_CTL_RD_CH1_WAIT_FOR_RDQ)
9112#define F_REQ_CTL_RD_CH1_WAIT_FOR_RDQ V_REQ_CTL_RD_CH1_WAIT_FOR_RDQ(1U)
9113
9114#define S_REQ_CTL_RD_CH1_WAIT_FOR_TXN_DISABLE_FIFO 4
9115#define V_REQ_CTL_RD_CH1_WAIT_FOR_TXN_DISABLE_FIFO(x) ((x) << S_REQ_CTL_RD_CH1_WAIT_FOR_TXN_DISABLE_FIFO)
9116#define F_REQ_CTL_RD_CH1_WAIT_FOR_TXN_DISABLE_FIFO V_REQ_CTL_RD_CH1_WAIT_FOR_TXN_DISABLE_FIFO(1U)
9117
9118#define S_REQ_CTL_RD_CH1_EXIT_BOT_VLD_STARTED 3
9119#define V_REQ_CTL_RD_CH1_EXIT_BOT_VLD_STARTED(x) ((x) << S_REQ_CTL_RD_CH1_EXIT_BOT_VLD_STARTED)
9120#define F_REQ_CTL_RD_CH1_EXIT_BOT_VLD_STARTED V_REQ_CTL_RD_CH1_EXIT_BOT_VLD_STARTED(1U)
9121
9122#define S_REQ_CTL_RD_CH1_EXIT_TOP_VLD_STARTED 2
9123#define V_REQ_CTL_RD_CH1_EXIT_TOP_VLD_STARTED(x) ((x) << S_REQ_CTL_RD_CH1_EXIT_TOP_VLD_STARTED)
9124#define F_REQ_CTL_RD_CH1_EXIT_TOP_VLD_STARTED V_REQ_CTL_RD_CH1_EXIT_TOP_VLD_STARTED(1U)
9125
9126#define S_REQ_CTL_RD_CH1_WAIT_FOR_PAUSE 1
9127#define V_REQ_CTL_RD_CH1_WAIT_FOR_PAUSE(x) ((x) << S_REQ_CTL_RD_CH1_WAIT_FOR_PAUSE)
9128#define F_REQ_CTL_RD_CH1_WAIT_FOR_PAUSE V_REQ_CTL_RD_CH1_WAIT_FOR_PAUSE(1U)
9129
9130#define S_REQ_CTL_RD_CH1_WAIT_FOR_FIFO_DATA 0
9131#define V_REQ_CTL_RD_CH1_WAIT_FOR_FIFO_DATA(x) ((x) << S_REQ_CTL_RD_CH1_WAIT_FOR_FIFO_DATA)
9132#define F_REQ_CTL_RD_CH1_WAIT_FOR_FIFO_DATA V_REQ_CTL_RD_CH1_WAIT_FOR_FIFO_DATA(1U)
9133
9134#define A_PCIE_PDEBUG_REG_0X4 0x4
9135
9136#define S_TAGQ_CH2_TAGS_USED 11
9137#define M_TAGQ_CH2_TAGS_USED 0xffU
9138#define V_TAGQ_CH2_TAGS_USED(x) ((x) << S_TAGQ_CH2_TAGS_USED)
9139#define G_TAGQ_CH2_TAGS_USED(x) (((x) >> S_TAGQ_CH2_TAGS_USED) & M_TAGQ_CH2_TAGS_USED)
9140
9141#define S_REQ_CH2_DATA_EMPTY 10
9142#define V_REQ_CH2_DATA_EMPTY(x) ((x) << S_REQ_CH2_DATA_EMPTY)
9143#define F_REQ_CH2_DATA_EMPTY V_REQ_CH2_DATA_EMPTY(1U)
9144
9145#define S_RDQ_CH2_REQ_EMPTY 9
9146#define V_RDQ_CH2_REQ_EMPTY(x) ((x) << S_RDQ_CH2_REQ_EMPTY)
9147#define F_RDQ_CH2_REQ_EMPTY V_RDQ_CH2_REQ_EMPTY(1U)
9148
9149#define S_REQ_CTL_RD_CH2_WAIT_FOR_TAGTQ 8
9150#define V_REQ_CTL_RD_CH2_WAIT_FOR_TAGTQ(x) ((x) << S_REQ_CTL_RD_CH2_WAIT_FOR_TAGTQ)
9151#define F_REQ_CTL_RD_CH2_WAIT_FOR_TAGTQ V_REQ_CTL_RD_CH2_WAIT_FOR_TAGTQ(1U)
9152
9153#define S_REQ_CTL_RD_CH2_WAIT_FOR_CMD 7
9154#define V_REQ_CTL_RD_CH2_WAIT_FOR_CMD(x) ((x) << S_REQ_CTL_RD_CH2_WAIT_FOR_CMD)
9155#define F_REQ_CTL_RD_CH2_WAIT_FOR_CMD V_REQ_CTL_RD_CH2_WAIT_FOR_CMD(1U)
9156
9157#define S_REQ_CTL_RD_CH2_WAIT_FOR_DATA_MEM 6
9158#define V_REQ_CTL_RD_CH2_WAIT_FOR_DATA_MEM(x) ((x) << S_REQ_CTL_RD_CH2_WAIT_FOR_DATA_MEM)
9159#define F_REQ_CTL_RD_CH2_WAIT_FOR_DATA_MEM V_REQ_CTL_RD_CH2_WAIT_FOR_DATA_MEM(1U)
9160
9161#define S_REQ_CTL_RD_CH2_WAIT_FOR_RDQ 5
9162#define V_REQ_CTL_RD_CH2_WAIT_FOR_RDQ(x) ((x) << S_REQ_CTL_RD_CH2_WAIT_FOR_RDQ)
9163#define F_REQ_CTL_RD_CH2_WAIT_FOR_RDQ V_REQ_CTL_RD_CH2_WAIT_FOR_RDQ(1U)
9164
9165#define S_REQ_CTL_RD_CH2_WAIT_FOR_TXN_DISABLE_FIFO 4
9166#define V_REQ_CTL_RD_CH2_WAIT_FOR_TXN_DISABLE_FIFO(x) ((x) << S_REQ_CTL_RD_CH2_WAIT_FOR_TXN_DISABLE_FIFO)
9167#define F_REQ_CTL_RD_CH2_WAIT_FOR_TXN_DISABLE_FIFO V_REQ_CTL_RD_CH2_WAIT_FOR_TXN_DISABLE_FIFO(1U)
9168
9169#define S_REQ_CTL_RD_CH2_EXIT_BOT_VLD_STARTED 3
9170#define V_REQ_CTL_RD_CH2_EXIT_BOT_VLD_STARTED(x) ((x) << S_REQ_CTL_RD_CH2_EXIT_BOT_VLD_STARTED)
9171#define F_REQ_CTL_RD_CH2_EXIT_BOT_VLD_STARTED V_REQ_CTL_RD_CH2_EXIT_BOT_VLD_STARTED(1U)
9172
9173#define S_REQ_CTL_RD_CH2_EXIT_TOP_VLD_STARTED 2
9174#define V_REQ_CTL_RD_CH2_EXIT_TOP_VLD_STARTED(x) ((x) << S_REQ_CTL_RD_CH2_EXIT_TOP_VLD_STARTED)
9175#define F_REQ_CTL_RD_CH2_EXIT_TOP_VLD_STARTED V_REQ_CTL_RD_CH2_EXIT_TOP_VLD_STARTED(1U)
9176
9177#define S_REQ_CTL_RD_CH2_WAIT_FOR_PAUSE 1
9178#define V_REQ_CTL_RD_CH2_WAIT_FOR_PAUSE(x) ((x) << S_REQ_CTL_RD_CH2_WAIT_FOR_PAUSE)
9179#define F_REQ_CTL_RD_CH2_WAIT_FOR_PAUSE V_REQ_CTL_RD_CH2_WAIT_FOR_PAUSE(1U)
9180
9181#define S_REQ_CTL_RD_CH2_WAIT_FOR_FIFO_DATA 0
9182#define V_REQ_CTL_RD_CH2_WAIT_FOR_FIFO_DATA(x) ((x) << S_REQ_CTL_RD_CH2_WAIT_FOR_FIFO_DATA)
9183#define F_REQ_CTL_RD_CH2_WAIT_FOR_FIFO_DATA V_REQ_CTL_RD_CH2_WAIT_FOR_FIFO_DATA(1U)
9184
9185#define A_PCIE_PDEBUG_REG_0X5 0x5
9186
9187#define S_TAGQ_CH3_TAGS_USED 11
9188#define M_TAGQ_CH3_TAGS_USED 0xffU
9189#define V_TAGQ_CH3_TAGS_USED(x) ((x) << S_TAGQ_CH3_TAGS_USED)
9190#define G_TAGQ_CH3_TAGS_USED(x) (((x) >> S_TAGQ_CH3_TAGS_USED) & M_TAGQ_CH3_TAGS_USED)
9191
9192#define S_REQ_CH3_DATA_EMPTY 10
9193#define V_REQ_CH3_DATA_EMPTY(x) ((x) << S_REQ_CH3_DATA_EMPTY)
9194#define F_REQ_CH3_DATA_EMPTY V_REQ_CH3_DATA_EMPTY(1U)
9195
9196#define S_RDQ_CH3_REQ_EMPTY 9
9197#define V_RDQ_CH3_REQ_EMPTY(x) ((x) << S_RDQ_CH3_REQ_EMPTY)
9198#define F_RDQ_CH3_REQ_EMPTY V_RDQ_CH3_REQ_EMPTY(1U)
9199
9200#define S_REQ_CTL_RD_CH3_WAIT_FOR_TAGTQ 8
9201#define V_REQ_CTL_RD_CH3_WAIT_FOR_TAGTQ(x) ((x) << S_REQ_CTL_RD_CH3_WAIT_FOR_TAGTQ)
9202#define F_REQ_CTL_RD_CH3_WAIT_FOR_TAGTQ V_REQ_CTL_RD_CH3_WAIT_FOR_TAGTQ(1U)
9203
9204#define S_REQ_CTL_RD_CH3_WAIT_FOR_CMD 7
9205#define V_REQ_CTL_RD_CH3_WAIT_FOR_CMD(x) ((x) << S_REQ_CTL_RD_CH3_WAIT_FOR_CMD)
9206#define F_REQ_CTL_RD_CH3_WAIT_FOR_CMD V_REQ_CTL_RD_CH3_WAIT_FOR_CMD(1U)
9207
9208#define S_REQ_CTL_RD_CH3_WAIT_FOR_DATA_MEM 6
9209#define V_REQ_CTL_RD_CH3_WAIT_FOR_DATA_MEM(x) ((x) << S_REQ_CTL_RD_CH3_WAIT_FOR_DATA_MEM)
9210#define F_REQ_CTL_RD_CH3_WAIT_FOR_DATA_MEM V_REQ_CTL_RD_CH3_WAIT_FOR_DATA_MEM(1U)
9211
9212#define S_REQ_CTL_RD_CH3_WAIT_FOR_RDQ 5
9213#define V_REQ_CTL_RD_CH3_WAIT_FOR_RDQ(x) ((x) << S_REQ_CTL_RD_CH3_WAIT_FOR_RDQ)
9214#define F_REQ_CTL_RD_CH3_WAIT_FOR_RDQ V_REQ_CTL_RD_CH3_WAIT_FOR_RDQ(1U)
9215
9216#define S_REQ_CTL_RD_CH3_WAIT_FOR_TXN_DISABLE_FIFO 4
9217#define V_REQ_CTL_RD_CH3_WAIT_FOR_TXN_DISABLE_FIFO(x) ((x) << S_REQ_CTL_RD_CH3_WAIT_FOR_TXN_DISABLE_FIFO)
9218#define F_REQ_CTL_RD_CH3_WAIT_FOR_TXN_DISABLE_FIFO V_REQ_CTL_RD_CH3_WAIT_FOR_TXN_DISABLE_FIFO(1U)
9219
9220#define S_REQ_CTL_RD_CH3_EXIT_BOT_VLD_STARTED 3
9221#define V_REQ_CTL_RD_CH3_EXIT_BOT_VLD_STARTED(x) ((x) << S_REQ_CTL_RD_CH3_EXIT_BOT_VLD_STARTED)
9222#define F_REQ_CTL_RD_CH3_EXIT_BOT_VLD_STARTED V_REQ_CTL_RD_CH3_EXIT_BOT_VLD_STARTED(1U)
9223
9224#define S_REQ_CTL_RD_CH3_EXIT_TOP_VLD_STARTED 2
9225#define V_REQ_CTL_RD_CH3_EXIT_TOP_VLD_STARTED(x) ((x) << S_REQ_CTL_RD_CH3_EXIT_TOP_VLD_STARTED)
9226#define F_REQ_CTL_RD_CH3_EXIT_TOP_VLD_STARTED V_REQ_CTL_RD_CH3_EXIT_TOP_VLD_STARTED(1U)
9227
9228#define S_REQ_CTL_RD_CH3_WAIT_FOR_PAUSE 1
9229#define V_REQ_CTL_RD_CH3_WAIT_FOR_PAUSE(x) ((x) << S_REQ_CTL_RD_CH3_WAIT_FOR_PAUSE)
9230#define F_REQ_CTL_RD_CH3_WAIT_FOR_PAUSE V_REQ_CTL_RD_CH3_WAIT_FOR_PAUSE(1U)
9231
9232#define S_REQ_CTL_RD_CH3_WAIT_FOR_FIFO_DATA 0
9233#define V_REQ_CTL_RD_CH3_WAIT_FOR_FIFO_DATA(x) ((x) << S_REQ_CTL_RD_CH3_WAIT_FOR_FIFO_DATA)
9234#define F_REQ_CTL_RD_CH3_WAIT_FOR_FIFO_DATA V_REQ_CTL_RD_CH3_WAIT_FOR_FIFO_DATA(1U)
9235
9236#define A_PCIE_PDEBUG_REG_0X6 0x6
9237
9238#define S_TAGQ_CH4_TAGS_USED 11
9239#define M_TAGQ_CH4_TAGS_USED 0xffU
9240#define V_TAGQ_CH4_TAGS_USED(x) ((x) << S_TAGQ_CH4_TAGS_USED)
9241#define G_TAGQ_CH4_TAGS_USED(x) (((x) >> S_TAGQ_CH4_TAGS_USED) & M_TAGQ_CH4_TAGS_USED)
9242
9243#define S_REQ_CH4_DATA_EMPTY 10
9244#define V_REQ_CH4_DATA_EMPTY(x) ((x) << S_REQ_CH4_DATA_EMPTY)
9245#define F_REQ_CH4_DATA_EMPTY V_REQ_CH4_DATA_EMPTY(1U)
9246
9247#define S_RDQ_CH4_REQ_EMPTY 9
9248#define V_RDQ_CH4_REQ_EMPTY(x) ((x) << S_RDQ_CH4_REQ_EMPTY)
9249#define F_RDQ_CH4_REQ_EMPTY V_RDQ_CH4_REQ_EMPTY(1U)
9250
9251#define S_REQ_CTL_RD_CH4_WAIT_FOR_TAGTQ 8
9252#define V_REQ_CTL_RD_CH4_WAIT_FOR_TAGTQ(x) ((x) << S_REQ_CTL_RD_CH4_WAIT_FOR_TAGTQ)
9253#define F_REQ_CTL_RD_CH4_WAIT_FOR_TAGTQ V_REQ_CTL_RD_CH4_WAIT_FOR_TAGTQ(1U)
9254
9255#define S_REQ_CTL_RD_CH4_WAIT_FOR_CMD 7
9256#define V_REQ_CTL_RD_CH4_WAIT_FOR_CMD(x) ((x) << S_REQ_CTL_RD_CH4_WAIT_FOR_CMD)
9257#define F_REQ_CTL_RD_CH4_WAIT_FOR_CMD V_REQ_CTL_RD_CH4_WAIT_FOR_CMD(1U)
9258
9259#define S_REQ_CTL_RD_CH4_WAIT_FOR_DATA_MEM 6
9260#define V_REQ_CTL_RD_CH4_WAIT_FOR_DATA_MEM(x) ((x) << S_REQ_CTL_RD_CH4_WAIT_FOR_DATA_MEM)
9261#define F_REQ_CTL_RD_CH4_WAIT_FOR_DATA_MEM V_REQ_CTL_RD_CH4_WAIT_FOR_DATA_MEM(1U)
9262
9263#define S_REQ_CTL_RD_CH4_WAIT_FOR_RDQ 5
9264#define V_REQ_CTL_RD_CH4_WAIT_FOR_RDQ(x) ((x) << S_REQ_CTL_RD_CH4_WAIT_FOR_RDQ)
9265#define F_REQ_CTL_RD_CH4_WAIT_FOR_RDQ V_REQ_CTL_RD_CH4_WAIT_FOR_RDQ(1U)
9266
9267#define S_REQ_CTL_RD_CH4_WAIT_FOR_TXN_DISABLE_FIFO 4
9268#define V_REQ_CTL_RD_CH4_WAIT_FOR_TXN_DISABLE_FIFO(x) ((x) << S_REQ_CTL_RD_CH4_WAIT_FOR_TXN_DISABLE_FIFO)
9269#define F_REQ_CTL_RD_CH4_WAIT_FOR_TXN_DISABLE_FIFO V_REQ_CTL_RD_CH4_WAIT_FOR_TXN_DISABLE_FIFO(1U)
9270
9271#define S_REQ_CTL_RD_CH4_EXIT_BOT_VLD_STARTED 3
9272#define V_REQ_CTL_RD_CH4_EXIT_BOT_VLD_STARTED(x) ((x) << S_REQ_CTL_RD_CH4_EXIT_BOT_VLD_STARTED)
9273#define F_REQ_CTL_RD_CH4_EXIT_BOT_VLD_STARTED V_REQ_CTL_RD_CH4_EXIT_BOT_VLD_STARTED(1U)
9274
9275#define S_REQ_CTL_RD_CH4_EXIT_TOP_VLD_STARTED 2
9276#define V_REQ_CTL_RD_CH4_EXIT_TOP_VLD_STARTED(x) ((x) << S_REQ_CTL_RD_CH4_EXIT_TOP_VLD_STARTED)
9277#define F_REQ_CTL_RD_CH4_EXIT_TOP_VLD_STARTED V_REQ_CTL_RD_CH4_EXIT_TOP_VLD_STARTED(1U)
9278
9279#define S_REQ_CTL_RD_CH4_WAIT_FOR_PAUSE 1
9280#define V_REQ_CTL_RD_CH4_WAIT_FOR_PAUSE(x) ((x) << S_REQ_CTL_RD_CH4_WAIT_FOR_PAUSE)
9281#define F_REQ_CTL_RD_CH4_WAIT_FOR_PAUSE V_REQ_CTL_RD_CH4_WAIT_FOR_PAUSE(1U)
9282
9283#define S_REQ_CTL_RD_CH4_WAIT_FOR_FIFO_DATA 0
9284#define V_REQ_CTL_RD_CH4_WAIT_FOR_FIFO_DATA(x) ((x) << S_REQ_CTL_RD_CH4_WAIT_FOR_FIFO_DATA)
9285#define F_REQ_CTL_RD_CH4_WAIT_FOR_FIFO_DATA V_REQ_CTL_RD_CH4_WAIT_FOR_FIFO_DATA(1U)
9286
9287#define A_PCIE_PDEBUG_REG_0X7 0x7
9288
9289#define S_TAGQ_CH5_TAGS_USED 11
9290#define M_TAGQ_CH5_TAGS_USED 0xffU
9291#define V_TAGQ_CH5_TAGS_USED(x) ((x) << S_TAGQ_CH5_TAGS_USED)
9292#define G_TAGQ_CH5_TAGS_USED(x) (((x) >> S_TAGQ_CH5_TAGS_USED) & M_TAGQ_CH5_TAGS_USED)
9293
9294#define S_REQ_CH5_DATA_EMPTY 10
9295#define V_REQ_CH5_DATA_EMPTY(x) ((x) << S_REQ_CH5_DATA_EMPTY)
9296#define F_REQ_CH5_DATA_EMPTY V_REQ_CH5_DATA_EMPTY(1U)
9297
9298#define S_RDQ_CH5_REQ_EMPTY 9
9299#define V_RDQ_CH5_REQ_EMPTY(x) ((x) << S_RDQ_CH5_REQ_EMPTY)
9300#define F_RDQ_CH5_REQ_EMPTY V_RDQ_CH5_REQ_EMPTY(1U)
9301
9302#define S_REQ_CTL_RD_CH5_WAIT_FOR_TAGTQ 8
9303#define V_REQ_CTL_RD_CH5_WAIT_FOR_TAGTQ(x) ((x) << S_REQ_CTL_RD_CH5_WAIT_FOR_TAGTQ)
9304#define F_REQ_CTL_RD_CH5_WAIT_FOR_TAGTQ V_REQ_CTL_RD_CH5_WAIT_FOR_TAGTQ(1U)
9305
9306#define S_REQ_CTL_RD_CH5_WAIT_FOR_CMD 7
9307#define V_REQ_CTL_RD_CH5_WAIT_FOR_CMD(x) ((x) << S_REQ_CTL_RD_CH5_WAIT_FOR_CMD)
9308#define F_REQ_CTL_RD_CH5_WAIT_FOR_CMD V_REQ_CTL_RD_CH5_WAIT_FOR_CMD(1U)
9309
9310#define S_REQ_CTL_RD_CH5_WAIT_FOR_DATA_MEM 6
9311#define V_REQ_CTL_RD_CH5_WAIT_FOR_DATA_MEM(x) ((x) << S_REQ_CTL_RD_CH5_WAIT_FOR_DATA_MEM)
9312#define F_REQ_CTL_RD_CH5_WAIT_FOR_DATA_MEM V_REQ_CTL_RD_CH5_WAIT_FOR_DATA_MEM(1U)
9313
9314#define S_REQ_CTL_RD_CH5_WAIT_FOR_RDQ 5
9315#define V_REQ_CTL_RD_CH5_WAIT_FOR_RDQ(x) ((x) << S_REQ_CTL_RD_CH5_WAIT_FOR_RDQ)
9316#define F_REQ_CTL_RD_CH5_WAIT_FOR_RDQ V_REQ_CTL_RD_CH5_WAIT_FOR_RDQ(1U)
9317
9318#define S_REQ_CTL_RD_CH5_WAIT_FOR_TXN_DISABLE_FIFO 4
9319#define V_REQ_CTL_RD_CH5_WAIT_FOR_TXN_DISABLE_FIFO(x) ((x) << S_REQ_CTL_RD_CH5_WAIT_FOR_TXN_DISABLE_FIFO)
9320#define F_REQ_CTL_RD_CH5_WAIT_FOR_TXN_DISABLE_FIFO V_REQ_CTL_RD_CH5_WAIT_FOR_TXN_DISABLE_FIFO(1U)
9321
9322#define S_REQ_CTL_RD_CH5_EXIT_BOT_VLD_STARTED 3
9323#define V_REQ_CTL_RD_CH5_EXIT_BOT_VLD_STARTED(x) ((x) << S_REQ_CTL_RD_CH5_EXIT_BOT_VLD_STARTED)
9324#define F_REQ_CTL_RD_CH5_EXIT_BOT_VLD_STARTED V_REQ_CTL_RD_CH5_EXIT_BOT_VLD_STARTED(1U)
9325
9326#define S_REQ_CTL_RD_CH5_EXIT_TOP_VLD_STARTED 2
9327#define V_REQ_CTL_RD_CH5_EXIT_TOP_VLD_STARTED(x) ((x) << S_REQ_CTL_RD_CH5_EXIT_TOP_VLD_STARTED)
9328#define F_REQ_CTL_RD_CH5_EXIT_TOP_VLD_STARTED V_REQ_CTL_RD_CH5_EXIT_TOP_VLD_STARTED(1U)
9329
9330#define S_REQ_CTL_RD_CH5_WAIT_FOR_PAUSE 1
9331#define V_REQ_CTL_RD_CH5_WAIT_FOR_PAUSE(x) ((x) << S_REQ_CTL_RD_CH5_WAIT_FOR_PAUSE)
9332#define F_REQ_CTL_RD_CH5_WAIT_FOR_PAUSE V_REQ_CTL_RD_CH5_WAIT_FOR_PAUSE(1U)
9333
9334#define S_REQ_CTL_RD_CH5_WAIT_FOR_FIFO_DATA 0
9335#define V_REQ_CTL_RD_CH5_WAIT_FOR_FIFO_DATA(x) ((x) << S_REQ_CTL_RD_CH5_WAIT_FOR_FIFO_DATA)
9336#define F_REQ_CTL_RD_CH5_WAIT_FOR_FIFO_DATA V_REQ_CTL_RD_CH5_WAIT_FOR_FIFO_DATA(1U)
9337
9338#define A_PCIE_PDEBUG_REG_0X8 0x8
9339
9340#define S_TAGQ_CH6_TAGS_USED 11
9341#define M_TAGQ_CH6_TAGS_USED 0xffU
9342#define V_TAGQ_CH6_TAGS_USED(x) ((x) << S_TAGQ_CH6_TAGS_USED)
9343#define G_TAGQ_CH6_TAGS_USED(x) (((x) >> S_TAGQ_CH6_TAGS_USED) & M_TAGQ_CH6_TAGS_USED)
9344
9345#define S_REQ_CH6_DATA_EMPTY 10
9346#define V_REQ_CH6_DATA_EMPTY(x) ((x) << S_REQ_CH6_DATA_EMPTY)
9347#define F_REQ_CH6_DATA_EMPTY V_REQ_CH6_DATA_EMPTY(1U)
9348
9349#define S_RDQ_CH6_REQ_EMPTY 9
9350#define V_RDQ_CH6_REQ_EMPTY(x) ((x) << S_RDQ_CH6_REQ_EMPTY)
9351#define F_RDQ_CH6_REQ_EMPTY V_RDQ_CH6_REQ_EMPTY(1U)
9352
9353#define S_REQ_CTL_RD_CH6_WAIT_FOR_TAGTQ 8
9354#define V_REQ_CTL_RD_CH6_WAIT_FOR_TAGTQ(x) ((x) << S_REQ_CTL_RD_CH6_WAIT_FOR_TAGTQ)
9355#define F_REQ_CTL_RD_CH6_WAIT_FOR_TAGTQ V_REQ_CTL_RD_CH6_WAIT_FOR_TAGTQ(1U)
9356
9357#define S_REQ_CTL_RD_CH6_WAIT_FOR_CMD 7
9358#define V_REQ_CTL_RD_CH6_WAIT_FOR_CMD(x) ((x) << S_REQ_CTL_RD_CH6_WAIT_FOR_CMD)
9359#define F_REQ_CTL_RD_CH6_WAIT_FOR_CMD V_REQ_CTL_RD_CH6_WAIT_FOR_CMD(1U)
9360
9361#define S_REQ_CTL_RD_CH6_WAIT_FOR_DATA_MEM 6
9362#define V_REQ_CTL_RD_CH6_WAIT_FOR_DATA_MEM(x) ((x) << S_REQ_CTL_RD_CH6_WAIT_FOR_DATA_MEM)
9363#define F_REQ_CTL_RD_CH6_WAIT_FOR_DATA_MEM V_REQ_CTL_RD_CH6_WAIT_FOR_DATA_MEM(1U)
9364
9365#define S_REQ_CTL_RD_CH6_WAIT_FOR_RDQ 5
9366#define V_REQ_CTL_RD_CH6_WAIT_FOR_RDQ(x) ((x) << S_REQ_CTL_RD_CH6_WAIT_FOR_RDQ)
9367#define F_REQ_CTL_RD_CH6_WAIT_FOR_RDQ V_REQ_CTL_RD_CH6_WAIT_FOR_RDQ(1U)
9368
9369#define S_REQ_CTL_RD_CH6_WAIT_FOR_TXN_DISABLE_FIFO 4
9370#define V_REQ_CTL_RD_CH6_WAIT_FOR_TXN_DISABLE_FIFO(x) ((x) << S_REQ_CTL_RD_CH6_WAIT_FOR_TXN_DISABLE_FIFO)
9371#define F_REQ_CTL_RD_CH6_WAIT_FOR_TXN_DISABLE_FIFO V_REQ_CTL_RD_CH6_WAIT_FOR_TXN_DISABLE_FIFO(1U)
9372
9373#define S_REQ_CTL_RD_CH6_EXIT_BOT_VLD_STARTED 3
9374#define V_REQ_CTL_RD_CH6_EXIT_BOT_VLD_STARTED(x) ((x) << S_REQ_CTL_RD_CH6_EXIT_BOT_VLD_STARTED)
9375#define F_REQ_CTL_RD_CH6_EXIT_BOT_VLD_STARTED V_REQ_CTL_RD_CH6_EXIT_BOT_VLD_STARTED(1U)
9376
9377#define S_REQ_CTL_RD_CH6_EXIT_TOP_VLD_STARTED 2
9378#define V_REQ_CTL_RD_CH6_EXIT_TOP_VLD_STARTED(x) ((x) << S_REQ_CTL_RD_CH6_EXIT_TOP_VLD_STARTED)
9379#define F_REQ_CTL_RD_CH6_EXIT_TOP_VLD_STARTED V_REQ_CTL_RD_CH6_EXIT_TOP_VLD_STARTED(1U)
9380
9381#define S_REQ_CTL_RD_CH6_WAIT_FOR_PAUSE 1
9382#define V_REQ_CTL_RD_CH6_WAIT_FOR_PAUSE(x) ((x) << S_REQ_CTL_RD_CH6_WAIT_FOR_PAUSE)
9383#define F_REQ_CTL_RD_CH6_WAIT_FOR_PAUSE V_REQ_CTL_RD_CH6_WAIT_FOR_PAUSE(1U)
9384
9385#define S_REQ_CTL_RD_CH6_WAIT_FOR_FIFO_DATA 0
9386#define V_REQ_CTL_RD_CH6_WAIT_FOR_FIFO_DATA(x) ((x) << S_REQ_CTL_RD_CH6_WAIT_FOR_FIFO_DATA)
9387#define F_REQ_CTL_RD_CH6_WAIT_FOR_FIFO_DATA V_REQ_CTL_RD_CH6_WAIT_FOR_FIFO_DATA(1U)
9388
9389#define A_PCIE_PDEBUG_REG_0X9 0x9
9390
9391#define S_TAGQ_CH7_TAGS_USED 11
9392#define M_TAGQ_CH7_TAGS_USED 0xffU
9393#define V_TAGQ_CH7_TAGS_USED(x) ((x) << S_TAGQ_CH7_TAGS_USED)
9394#define G_TAGQ_CH7_TAGS_USED(x) (((x) >> S_TAGQ_CH7_TAGS_USED) & M_TAGQ_CH7_TAGS_USED)
9395
9396#define S_REQ_CH7_DATA_EMPTY 10
9397#define V_REQ_CH7_DATA_EMPTY(x) ((x) << S_REQ_CH7_DATA_EMPTY)
9398#define F_REQ_CH7_DATA_EMPTY V_REQ_CH7_DATA_EMPTY(1U)
9399
9400#define S_RDQ_CH7_REQ_EMPTY 9
9401#define V_RDQ_CH7_REQ_EMPTY(x) ((x) << S_RDQ_CH7_REQ_EMPTY)
9402#define F_RDQ_CH7_REQ_EMPTY V_RDQ_CH7_REQ_EMPTY(1U)
9403
9404#define S_REQ_CTL_RD_CH7_WAIT_FOR_TAGTQ 8
9405#define V_REQ_CTL_RD_CH7_WAIT_FOR_TAGTQ(x) ((x) << S_REQ_CTL_RD_CH7_WAIT_FOR_TAGTQ)
9406#define F_REQ_CTL_RD_CH7_WAIT_FOR_TAGTQ V_REQ_CTL_RD_CH7_WAIT_FOR_TAGTQ(1U)
9407
9408#define S_REQ_CTL_RD_CH7_WAIT_FOR_CMD 7
9409#define V_REQ_CTL_RD_CH7_WAIT_FOR_CMD(x) ((x) << S_REQ_CTL_RD_CH7_WAIT_FOR_CMD)
9410#define F_REQ_CTL_RD_CH7_WAIT_FOR_CMD V_REQ_CTL_RD_CH7_WAIT_FOR_CMD(1U)
9411
9412#define S_REQ_CTL_RD_CH7_WAIT_FOR_DATA_MEM 6
9413#define V_REQ_CTL_RD_CH7_WAIT_FOR_DATA_MEM(x) ((x) << S_REQ_CTL_RD_CH7_WAIT_FOR_DATA_MEM)
9414#define F_REQ_CTL_RD_CH7_WAIT_FOR_DATA_MEM V_REQ_CTL_RD_CH7_WAIT_FOR_DATA_MEM(1U)
9415
9416#define S_REQ_CTL_RD_CH7_WAIT_FOR_RDQ 5
9417#define V_REQ_CTL_RD_CH7_WAIT_FOR_RDQ(x) ((x) << S_REQ_CTL_RD_CH7_WAIT_FOR_RDQ)
9418#define F_REQ_CTL_RD_CH7_WAIT_FOR_RDQ V_REQ_CTL_RD_CH7_WAIT_FOR_RDQ(1U)
9419
9420#define S_REQ_CTL_RD_CH7_WAIT_FOR_TXN_DISABLE_FIFO 4
9421#define V_REQ_CTL_RD_CH7_WAIT_FOR_TXN_DISABLE_FIFO(x) ((x) << S_REQ_CTL_RD_CH7_WAIT_FOR_TXN_DISABLE_FIFO)
9422#define F_REQ_CTL_RD_CH7_WAIT_FOR_TXN_DISABLE_FIFO V_REQ_CTL_RD_CH7_WAIT_FOR_TXN_DISABLE_FIFO(1U)
9423
9424#define S_REQ_CTL_RD_CH7_EXIT_BOT_VLD_STARTED 3
9425#define V_REQ_CTL_RD_CH7_EXIT_BOT_VLD_STARTED(x) ((x) << S_REQ_CTL_RD_CH7_EXIT_BOT_VLD_STARTED)
9426#define F_REQ_CTL_RD_CH7_EXIT_BOT_VLD_STARTED V_REQ_CTL_RD_CH7_EXIT_BOT_VLD_STARTED(1U)
9427
9428#define S_REQ_CTL_RD_CH7_EXIT_TOP_VLD_STARTED 2
9429#define V_REQ_CTL_RD_CH7_EXIT_TOP_VLD_STARTED(x) ((x) << S_REQ_CTL_RD_CH7_EXIT_TOP_VLD_STARTED)
9430#define F_REQ_CTL_RD_CH7_EXIT_TOP_VLD_STARTED V_REQ_CTL_RD_CH7_EXIT_TOP_VLD_STARTED(1U)
9431
9432#define S_REQ_CTL_RD_CH7_WAIT_FOR_PAUSE 1
9433#define V_REQ_CTL_RD_CH7_WAIT_FOR_PAUSE(x) ((x) << S_REQ_CTL_RD_CH7_WAIT_FOR_PAUSE)
9434#define F_REQ_CTL_RD_CH7_WAIT_FOR_PAUSE V_REQ_CTL_RD_CH7_WAIT_FOR_PAUSE(1U)
9435
9436#define S_REQ_CTL_RD_CH7_WAIT_FOR_FIFO_DATA 0
9437#define V_REQ_CTL_RD_CH7_WAIT_FOR_FIFO_DATA(x) ((x) << S_REQ_CTL_RD_CH7_WAIT_FOR_FIFO_DATA)
9438#define F_REQ_CTL_RD_CH7_WAIT_FOR_FIFO_DATA V_REQ_CTL_RD_CH7_WAIT_FOR_FIFO_DATA(1U)
9439
9440#define A_PCIE_PDEBUG_REG_0XA 0xa
9441
9442#define S_REQ_CTL_RD_CH0_WAIT_FOR_SEQNUM 27
9443#define V_REQ_CTL_RD_CH0_WAIT_FOR_SEQNUM(x) ((x) << S_REQ_CTL_RD_CH0_WAIT_FOR_SEQNUM)
9444#define F_REQ_CTL_RD_CH0_WAIT_FOR_SEQNUM V_REQ_CTL_RD_CH0_WAIT_FOR_SEQNUM(1U)
9445
9446#define S_REQ_CTL_WR_CH0_SEQNUM 19
9447#define M_REQ_CTL_WR_CH0_SEQNUM 0xffU
9448#define V_REQ_CTL_WR_CH0_SEQNUM(x) ((x) << S_REQ_CTL_WR_CH0_SEQNUM)
9449#define G_REQ_CTL_WR_CH0_SEQNUM(x) (((x) >> S_REQ_CTL_WR_CH0_SEQNUM) & M_REQ_CTL_WR_CH0_SEQNUM)
9450
9451#define S_REQ_CTL_RD_CH0_SEQNUM 11
9452#define M_REQ_CTL_RD_CH0_SEQNUM 0xffU
9453#define V_REQ_CTL_RD_CH0_SEQNUM(x) ((x) << S_REQ_CTL_RD_CH0_SEQNUM)
9454#define G_REQ_CTL_RD_CH0_SEQNUM(x) (((x) >> S_REQ_CTL_RD_CH0_SEQNUM) & M_REQ_CTL_RD_CH0_SEQNUM)
9455
9456#define S_REQ_CTL_WR_CH0_WAIT_FOR_SI_FIFO 4
9457#define V_REQ_CTL_WR_CH0_WAIT_FOR_SI_FIFO(x) ((x) << S_REQ_CTL_WR_CH0_WAIT_FOR_SI_FIFO)
9458#define F_REQ_CTL_WR_CH0_WAIT_FOR_SI_FIFO V_REQ_CTL_WR_CH0_WAIT_FOR_SI_FIFO(1U)
9459
9460#define S_REQ_CTL_WR_CH0_EXIT_BOT_VLD_STARTED 3
9461#define V_REQ_CTL_WR_CH0_EXIT_BOT_VLD_STARTED(x) ((x) << S_REQ_CTL_WR_CH0_EXIT_BOT_VLD_STARTED)
9462#define F_REQ_CTL_WR_CH0_EXIT_BOT_VLD_STARTED V_REQ_CTL_WR_CH0_EXIT_BOT_VLD_STARTED(1U)
9463
9464#define S_REQ_CTL_WR_CH0_EXIT_TOP_VLD_STARTED 2
9465#define V_REQ_CTL_WR_CH0_EXIT_TOP_VLD_STARTED(x) ((x) << S_REQ_CTL_WR_CH0_EXIT_TOP_VLD_STARTED)
9466#define F_REQ_CTL_WR_CH0_EXIT_TOP_VLD_STARTED V_REQ_CTL_WR_CH0_EXIT_TOP_VLD_STARTED(1U)
9467
9468#define S_REQ_CTL_WR_CH0_WAIT_FOR_PAUSE 1
9469#define V_REQ_CTL_WR_CH0_WAIT_FOR_PAUSE(x) ((x) << S_REQ_CTL_WR_CH0_WAIT_FOR_PAUSE)
9470#define F_REQ_CTL_WR_CH0_WAIT_FOR_PAUSE V_REQ_CTL_WR_CH0_WAIT_FOR_PAUSE(1U)
9471
9472#define S_REQ_CTL_WR_CH0_WAIT_FOR_FIFO_DATA 0
9473#define V_REQ_CTL_WR_CH0_WAIT_FOR_FIFO_DATA(x) ((x) << S_REQ_CTL_WR_CH0_WAIT_FOR_FIFO_DATA)
9474#define F_REQ_CTL_WR_CH0_WAIT_FOR_FIFO_DATA V_REQ_CTL_WR_CH0_WAIT_FOR_FIFO_DATA(1U)
9475
9476#define A_PCIE_PDEBUG_REG_0XB 0xb
9477
9478#define S_REQ_CTL_RD_CH1_WAIT_FOR_SEQNUM 27
9479#define V_REQ_CTL_RD_CH1_WAIT_FOR_SEQNUM(x) ((x) << S_REQ_CTL_RD_CH1_WAIT_FOR_SEQNUM)
9480#define F_REQ_CTL_RD_CH1_WAIT_FOR_SEQNUM V_REQ_CTL_RD_CH1_WAIT_FOR_SEQNUM(1U)
9481
9482#define S_REQ_CTL_WR_CH1_SEQNUM 19
9483#define M_REQ_CTL_WR_CH1_SEQNUM 0xffU
9484#define V_REQ_CTL_WR_CH1_SEQNUM(x) ((x) << S_REQ_CTL_WR_CH1_SEQNUM)
9485#define G_REQ_CTL_WR_CH1_SEQNUM(x) (((x) >> S_REQ_CTL_WR_CH1_SEQNUM) & M_REQ_CTL_WR_CH1_SEQNUM)
9486
9487#define S_REQ_CTL_RD_CH1_SEQNUM 11
9488#define M_REQ_CTL_RD_CH1_SEQNUM 0xffU
9489#define V_REQ_CTL_RD_CH1_SEQNUM(x) ((x) << S_REQ_CTL_RD_CH1_SEQNUM)
9490#define G_REQ_CTL_RD_CH1_SEQNUM(x) (((x) >> S_REQ_CTL_RD_CH1_SEQNUM) & M_REQ_CTL_RD_CH1_SEQNUM)
9491
9492#define S_REQ_CTL_WR_CH1_WAIT_FOR_SI_FIFO 4
9493#define V_REQ_CTL_WR_CH1_WAIT_FOR_SI_FIFO(x) ((x) << S_REQ_CTL_WR_CH1_WAIT_FOR_SI_FIFO)
9494#define F_REQ_CTL_WR_CH1_WAIT_FOR_SI_FIFO V_REQ_CTL_WR_CH1_WAIT_FOR_SI_FIFO(1U)
9495
9496#define S_REQ_CTL_WR_CH1_EXIT_BOT_VLD_STARTED 3
9497#define V_REQ_CTL_WR_CH1_EXIT_BOT_VLD_STARTED(x) ((x) << S_REQ_CTL_WR_CH1_EXIT_BOT_VLD_STARTED)
9498#define F_REQ_CTL_WR_CH1_EXIT_BOT_VLD_STARTED V_REQ_CTL_WR_CH1_EXIT_BOT_VLD_STARTED(1U)
9499
9500#define S_REQ_CTL_WR_CH1_EXIT_TOP_VLD_STARTED 2
9501#define V_REQ_CTL_WR_CH1_EXIT_TOP_VLD_STARTED(x) ((x) << S_REQ_CTL_WR_CH1_EXIT_TOP_VLD_STARTED)
9502#define F_REQ_CTL_WR_CH1_EXIT_TOP_VLD_STARTED V_REQ_CTL_WR_CH1_EXIT_TOP_VLD_STARTED(1U)
9503
9504#define S_REQ_CTL_WR_CH1_WAIT_FOR_PAUSE 1
9505#define V_REQ_CTL_WR_CH1_WAIT_FOR_PAUSE(x) ((x) << S_REQ_CTL_WR_CH1_WAIT_FOR_PAUSE)
9506#define F_REQ_CTL_WR_CH1_WAIT_FOR_PAUSE V_REQ_CTL_WR_CH1_WAIT_FOR_PAUSE(1U)
9507
9508#define S_REQ_CTL_WR_CH1_WAIT_FOR_FIFO_DATA 0
9509#define V_REQ_CTL_WR_CH1_WAIT_FOR_FIFO_DATA(x) ((x) << S_REQ_CTL_WR_CH1_WAIT_FOR_FIFO_DATA)
9510#define F_REQ_CTL_WR_CH1_WAIT_FOR_FIFO_DATA V_REQ_CTL_WR_CH1_WAIT_FOR_FIFO_DATA(1U)
9511
9512#define A_PCIE_PDEBUG_REG_0XC 0xc
9513
9514#define S_REQ_CTL_RD_CH2_WAIT_FOR_SEQNUM 27
9515#define V_REQ_CTL_RD_CH2_WAIT_FOR_SEQNUM(x) ((x) << S_REQ_CTL_RD_CH2_WAIT_FOR_SEQNUM)
9516#define F_REQ_CTL_RD_CH2_WAIT_FOR_SEQNUM V_REQ_CTL_RD_CH2_WAIT_FOR_SEQNUM(1U)
9517
9518#define S_REQ_CTL_WR_CH2_SEQNUM 19
9519#define M_REQ_CTL_WR_CH2_SEQNUM 0xffU
9520#define V_REQ_CTL_WR_CH2_SEQNUM(x) ((x) << S_REQ_CTL_WR_CH2_SEQNUM)
9521#define G_REQ_CTL_WR_CH2_SEQNUM(x) (((x) >> S_REQ_CTL_WR_CH2_SEQNUM) & M_REQ_CTL_WR_CH2_SEQNUM)
9522
9523#define S_REQ_CTL_RD_CH2_SEQNUM 11
9524#define M_REQ_CTL_RD_CH2_SEQNUM 0xffU
9525#define V_REQ_CTL_RD_CH2_SEQNUM(x) ((x) << S_REQ_CTL_RD_CH2_SEQNUM)
9526#define G_REQ_CTL_RD_CH2_SEQNUM(x) (((x) >> S_REQ_CTL_RD_CH2_SEQNUM) & M_REQ_CTL_RD_CH2_SEQNUM)
9527
9528#define S_REQ_CTL_WR_CH2_WAIT_FOR_SI_FIFO 4
9529#define V_REQ_CTL_WR_CH2_WAIT_FOR_SI_FIFO(x) ((x) << S_REQ_CTL_WR_CH2_WAIT_FOR_SI_FIFO)
9530#define F_REQ_CTL_WR_CH2_WAIT_FOR_SI_FIFO V_REQ_CTL_WR_CH2_WAIT_FOR_SI_FIFO(1U)
9531
9532#define S_REQ_CTL_WR_CH2_EXIT_BOT_VLD_STARTED 3
9533#define V_REQ_CTL_WR_CH2_EXIT_BOT_VLD_STARTED(x) ((x) << S_REQ_CTL_WR_CH2_EXIT_BOT_VLD_STARTED)
9534#define F_REQ_CTL_WR_CH2_EXIT_BOT_VLD_STARTED V_REQ_CTL_WR_CH2_EXIT_BOT_VLD_STARTED(1U)
9535
9536#define S_REQ_CTL_WR_CH2_EXIT_TOP_VLD_STARTED 2
9537#define V_REQ_CTL_WR_CH2_EXIT_TOP_VLD_STARTED(x) ((x) << S_REQ_CTL_WR_CH2_EXIT_TOP_VLD_STARTED)
9538#define F_REQ_CTL_WR_CH2_EXIT_TOP_VLD_STARTED V_REQ_CTL_WR_CH2_EXIT_TOP_VLD_STARTED(1U)
9539
9540#define S_REQ_CTL_WR_CH2_WAIT_FOR_PAUSE 1
9541#define V_REQ_CTL_WR_CH2_WAIT_FOR_PAUSE(x) ((x) << S_REQ_CTL_WR_CH2_WAIT_FOR_PAUSE)
9542#define F_REQ_CTL_WR_CH2_WAIT_FOR_PAUSE V_REQ_CTL_WR_CH2_WAIT_FOR_PAUSE(1U)
9543
9544#define S_REQ_CTL_WR_CH2_WAIT_FOR_FIFO_DATA 0
9545#define V_REQ_CTL_WR_CH2_WAIT_FOR_FIFO_DATA(x) ((x) << S_REQ_CTL_WR_CH2_WAIT_FOR_FIFO_DATA)
9546#define F_REQ_CTL_WR_CH2_WAIT_FOR_FIFO_DATA V_REQ_CTL_WR_CH2_WAIT_FOR_FIFO_DATA(1U)
9547
9548#define A_PCIE_PDEBUG_REG_0XD 0xd
9549
9550#define S_REQ_CTL_RD_CH3_WAIT_FOR_SEQNUM 27
9551#define V_REQ_CTL_RD_CH3_WAIT_FOR_SEQNUM(x) ((x) << S_REQ_CTL_RD_CH3_WAIT_FOR_SEQNUM)
9552#define F_REQ_CTL_RD_CH3_WAIT_FOR_SEQNUM V_REQ_CTL_RD_CH3_WAIT_FOR_SEQNUM(1U)
9553
9554#define S_REQ_CTL_WR_CH3_SEQNUM 19
9555#define M_REQ_CTL_WR_CH3_SEQNUM 0xffU
9556#define V_REQ_CTL_WR_CH3_SEQNUM(x) ((x) << S_REQ_CTL_WR_CH3_SEQNUM)
9557#define G_REQ_CTL_WR_CH3_SEQNUM(x) (((x) >> S_REQ_CTL_WR_CH3_SEQNUM) & M_REQ_CTL_WR_CH3_SEQNUM)
9558
9559#define S_REQ_CTL_RD_CH3_SEQNUM 11
9560#define M_REQ_CTL_RD_CH3_SEQNUM 0xffU
9561#define V_REQ_CTL_RD_CH3_SEQNUM(x) ((x) << S_REQ_CTL_RD_CH3_SEQNUM)
9562#define G_REQ_CTL_RD_CH3_SEQNUM(x) (((x) >> S_REQ_CTL_RD_CH3_SEQNUM) & M_REQ_CTL_RD_CH3_SEQNUM)
9563
9564#define S_REQ_CTL_WR_CH3_WAIT_FOR_SI_FIFO 4
9565#define V_REQ_CTL_WR_CH3_WAIT_FOR_SI_FIFO(x) ((x) << S_REQ_CTL_WR_CH3_WAIT_FOR_SI_FIFO)
9566#define F_REQ_CTL_WR_CH3_WAIT_FOR_SI_FIFO V_REQ_CTL_WR_CH3_WAIT_FOR_SI_FIFO(1U)
9567
9568#define S_REQ_CTL_WR_CH3_EXIT_BOT_VLD_STARTED 3
9569#define V_REQ_CTL_WR_CH3_EXIT_BOT_VLD_STARTED(x) ((x) << S_REQ_CTL_WR_CH3_EXIT_BOT_VLD_STARTED)
9570#define F_REQ_CTL_WR_CH3_EXIT_BOT_VLD_STARTED V_REQ_CTL_WR_CH3_EXIT_BOT_VLD_STARTED(1U)
9571
9572#define S_REQ_CTL_WR_CH3_EXIT_TOP_VLD_STARTED 2
9573#define V_REQ_CTL_WR_CH3_EXIT_TOP_VLD_STARTED(x) ((x) << S_REQ_CTL_WR_CH3_EXIT_TOP_VLD_STARTED)
9574#define F_REQ_CTL_WR_CH3_EXIT_TOP_VLD_STARTED V_REQ_CTL_WR_CH3_EXIT_TOP_VLD_STARTED(1U)
9575
9576#define S_REQ_CTL_WR_CH3_WAIT_FOR_PAUSE 1
9577#define V_REQ_CTL_WR_CH3_WAIT_FOR_PAUSE(x) ((x) << S_REQ_CTL_WR_CH3_WAIT_FOR_PAUSE)
9578#define F_REQ_CTL_WR_CH3_WAIT_FOR_PAUSE V_REQ_CTL_WR_CH3_WAIT_FOR_PAUSE(1U)
9579
9580#define S_REQ_CTL_WR_CH3_WAIT_FOR_FIFO_DATA 0
9581#define V_REQ_CTL_WR_CH3_WAIT_FOR_FIFO_DATA(x) ((x) << S_REQ_CTL_WR_CH3_WAIT_FOR_FIFO_DATA)
9582#define F_REQ_CTL_WR_CH3_WAIT_FOR_FIFO_DATA V_REQ_CTL_WR_CH3_WAIT_FOR_FIFO_DATA(1U)
9583
9584#define A_PCIE_PDEBUG_REG_0XE 0xe
9585
9586#define S_REQ_CTL_RD_CH4_WAIT_FOR_SEQNUM 27
9587#define V_REQ_CTL_RD_CH4_WAIT_FOR_SEQNUM(x) ((x) << S_REQ_CTL_RD_CH4_WAIT_FOR_SEQNUM)
9588#define F_REQ_CTL_RD_CH4_WAIT_FOR_SEQNUM V_REQ_CTL_RD_CH4_WAIT_FOR_SEQNUM(1U)
9589
9590#define S_REQ_CTL_WR_CH4_SEQNUM 19
9591#define M_REQ_CTL_WR_CH4_SEQNUM 0xffU
9592#define V_REQ_CTL_WR_CH4_SEQNUM(x) ((x) << S_REQ_CTL_WR_CH4_SEQNUM)
9593#define G_REQ_CTL_WR_CH4_SEQNUM(x) (((x) >> S_REQ_CTL_WR_CH4_SEQNUM) & M_REQ_CTL_WR_CH4_SEQNUM)
9594
9595#define S_REQ_CTL_RD_CH4_SEQNUM 11
9596#define M_REQ_CTL_RD_CH4_SEQNUM 0xffU
9597#define V_REQ_CTL_RD_CH4_SEQNUM(x) ((x) << S_REQ_CTL_RD_CH4_SEQNUM)
9598#define G_REQ_CTL_RD_CH4_SEQNUM(x) (((x) >> S_REQ_CTL_RD_CH4_SEQNUM) & M_REQ_CTL_RD_CH4_SEQNUM)
9599
9600#define S_REQ_CTL_WR_CH4_WAIT_FOR_SI_FIFO 4
9601#define V_REQ_CTL_WR_CH4_WAIT_FOR_SI_FIFO(x) ((x) << S_REQ_CTL_WR_CH4_WAIT_FOR_SI_FIFO)
9602#define F_REQ_CTL_WR_CH4_WAIT_FOR_SI_FIFO V_REQ_CTL_WR_CH4_WAIT_FOR_SI_FIFO(1U)
9603
9604#define S_REQ_CTL_WR_CH4_EXIT_BOT_VLD_STARTED 3
9605#define V_REQ_CTL_WR_CH4_EXIT_BOT_VLD_STARTED(x) ((x) << S_REQ_CTL_WR_CH4_EXIT_BOT_VLD_STARTED)
9606#define F_REQ_CTL_WR_CH4_EXIT_BOT_VLD_STARTED V_REQ_CTL_WR_CH4_EXIT_BOT_VLD_STARTED(1U)
9607
9608#define S_REQ_CTL_WR_CH4_EXIT_TOP_VLD_STARTED 2
9609#define V_REQ_CTL_WR_CH4_EXIT_TOP_VLD_STARTED(x) ((x) << S_REQ_CTL_WR_CH4_EXIT_TOP_VLD_STARTED)
9610#define F_REQ_CTL_WR_CH4_EXIT_TOP_VLD_STARTED V_REQ_CTL_WR_CH4_EXIT_TOP_VLD_STARTED(1U)
9611
9612#define S_REQ_CTL_WR_CH4_WAIT_FOR_PAUSE 1
9613#define V_REQ_CTL_WR_CH4_WAIT_FOR_PAUSE(x) ((x) << S_REQ_CTL_WR_CH4_WAIT_FOR_PAUSE)
9614#define F_REQ_CTL_WR_CH4_WAIT_FOR_PAUSE V_REQ_CTL_WR_CH4_WAIT_FOR_PAUSE(1U)
9615
9616#define S_REQ_CTL_WR_CH4_WAIT_FOR_FIFO_DATA 0
9617#define V_REQ_CTL_WR_CH4_WAIT_FOR_FIFO_DATA(x) ((x) << S_REQ_CTL_WR_CH4_WAIT_FOR_FIFO_DATA)
9618#define F_REQ_CTL_WR_CH4_WAIT_FOR_FIFO_DATA V_REQ_CTL_WR_CH4_WAIT_FOR_FIFO_DATA(1U)
9619
9620#define A_PCIE_PDEBUG_REG_0XF 0xf
9621#define A_PCIE_PDEBUG_REG_0X10 0x10
9622
9623#define S_PIPE0_TX3_DATAK_0 31
9624#define V_PIPE0_TX3_DATAK_0(x) ((x) << S_PIPE0_TX3_DATAK_0)
9625#define F_PIPE0_TX3_DATAK_0 V_PIPE0_TX3_DATAK_0(1U)
9626
9627#define S_PIPE0_TX3_DATA_6_0 24
9628#define M_PIPE0_TX3_DATA_6_0 0x7fU
9629#define V_PIPE0_TX3_DATA_6_0(x) ((x) << S_PIPE0_TX3_DATA_6_0)
9630#define G_PIPE0_TX3_DATA_6_0(x) (((x) >> S_PIPE0_TX3_DATA_6_0) & M_PIPE0_TX3_DATA_6_0)
9631
9632#define S_PIPE0_TX2_DATA_7_0 16
9633#define M_PIPE0_TX2_DATA_7_0 0xffU
9634#define V_PIPE0_TX2_DATA_7_0(x) ((x) << S_PIPE0_TX2_DATA_7_0)
9635#define G_PIPE0_TX2_DATA_7_0(x) (((x) >> S_PIPE0_TX2_DATA_7_0) & M_PIPE0_TX2_DATA_7_0)
9636
9637#define S_PIPE0_TX1_DATA_7_0 8
9638#define M_PIPE0_TX1_DATA_7_0 0xffU
9639#define V_PIPE0_TX1_DATA_7_0(x) ((x) << S_PIPE0_TX1_DATA_7_0)
9640#define G_PIPE0_TX1_DATA_7_0(x) (((x) >> S_PIPE0_TX1_DATA_7_0) & M_PIPE0_TX1_DATA_7_0)
9641
9642#define S_PIPE0_TX0_DATAK_0 7
9643#define V_PIPE0_TX0_DATAK_0(x) ((x) << S_PIPE0_TX0_DATAK_0)
9644#define F_PIPE0_TX0_DATAK_0 V_PIPE0_TX0_DATAK_0(1U)
9645
9646#define S_PIPE0_TX0_DATA_6_0 0
9647#define M_PIPE0_TX0_DATA_6_0 0x7fU
9648#define V_PIPE0_TX0_DATA_6_0(x) ((x) << S_PIPE0_TX0_DATA_6_0)
9649#define G_PIPE0_TX0_DATA_6_0(x) (((x) >> S_PIPE0_TX0_DATA_6_0) & M_PIPE0_TX0_DATA_6_0)
9650
9651#define A_PCIE_PDEBUG_REG_0X11 0x11
9652
9653#define S_PIPE0_TX3_DATAK_1 31
9654#define V_PIPE0_TX3_DATAK_1(x) ((x) << S_PIPE0_TX3_DATAK_1)
9655#define F_PIPE0_TX3_DATAK_1 V_PIPE0_TX3_DATAK_1(1U)
9656
9657#define S_PIPE0_TX3_DATA_14_8 24
9658#define M_PIPE0_TX3_DATA_14_8 0x7fU
9659#define V_PIPE0_TX3_DATA_14_8(x) ((x) << S_PIPE0_TX3_DATA_14_8)
9660#define G_PIPE0_TX3_DATA_14_8(x) (((x) >> S_PIPE0_TX3_DATA_14_8) & M_PIPE0_TX3_DATA_14_8)
9661
9662#define S_PIPE0_TX2_DATA_15_8 16
9663#define M_PIPE0_TX2_DATA_15_8 0xffU
9664#define V_PIPE0_TX2_DATA_15_8(x) ((x) << S_PIPE0_TX2_DATA_15_8)
9665#define G_PIPE0_TX2_DATA_15_8(x) (((x) >> S_PIPE0_TX2_DATA_15_8) & M_PIPE0_TX2_DATA_15_8)
9666
9667#define S_PIPE0_TX1_DATA_15_8 8
9668#define M_PIPE0_TX1_DATA_15_8 0xffU
9669#define V_PIPE0_TX1_DATA_15_8(x) ((x) << S_PIPE0_TX1_DATA_15_8)
9670#define G_PIPE0_TX1_DATA_15_8(x) (((x) >> S_PIPE0_TX1_DATA_15_8) & M_PIPE0_TX1_DATA_15_8)
9671
9672#define S_PIPE0_TX0_DATAK_1 7
9673#define V_PIPE0_TX0_DATAK_1(x) ((x) << S_PIPE0_TX0_DATAK_1)
9674#define F_PIPE0_TX0_DATAK_1 V_PIPE0_TX0_DATAK_1(1U)
9675
9676#define S_PIPE0_TX0_DATA_14_8 0
9677#define M_PIPE0_TX0_DATA_14_8 0x7fU
9678#define V_PIPE0_TX0_DATA_14_8(x) ((x) << S_PIPE0_TX0_DATA_14_8)
9679#define G_PIPE0_TX0_DATA_14_8(x) (((x) >> S_PIPE0_TX0_DATA_14_8) & M_PIPE0_TX0_DATA_14_8)
9680
9681#define A_PCIE_PDEBUG_REG_0X12 0x12
9682
9683#define S_PIPE0_TX7_DATAK_0 31
9684#define V_PIPE0_TX7_DATAK_0(x) ((x) << S_PIPE0_TX7_DATAK_0)
9685#define F_PIPE0_TX7_DATAK_0 V_PIPE0_TX7_DATAK_0(1U)
9686
9687#define S_PIPE0_TX7_DATA_6_0 24
9688#define M_PIPE0_TX7_DATA_6_0 0x7fU
9689#define V_PIPE0_TX7_DATA_6_0(x) ((x) << S_PIPE0_TX7_DATA_6_0)
9690#define G_PIPE0_TX7_DATA_6_0(x) (((x) >> S_PIPE0_TX7_DATA_6_0) & M_PIPE0_TX7_DATA_6_0)
9691
9692#define S_PIPE0_TX6_DATA_7_0 16
9693#define M_PIPE0_TX6_DATA_7_0 0xffU
9694#define V_PIPE0_TX6_DATA_7_0(x) ((x) << S_PIPE0_TX6_DATA_7_0)
9695#define G_PIPE0_TX6_DATA_7_0(x) (((x) >> S_PIPE0_TX6_DATA_7_0) & M_PIPE0_TX6_DATA_7_0)
9696
9697#define S_PIPE0_TX5_DATA_7_0 8
9698#define M_PIPE0_TX5_DATA_7_0 0xffU
9699#define V_PIPE0_TX5_DATA_7_0(x) ((x) << S_PIPE0_TX5_DATA_7_0)
9700#define G_PIPE0_TX5_DATA_7_0(x) (((x) >> S_PIPE0_TX5_DATA_7_0) & M_PIPE0_TX5_DATA_7_0)
9701
9702#define S_PIPE0_TX4_DATAK_0 7
9703#define V_PIPE0_TX4_DATAK_0(x) ((x) << S_PIPE0_TX4_DATAK_0)
9704#define F_PIPE0_TX4_DATAK_0 V_PIPE0_TX4_DATAK_0(1U)
9705
9706#define S_PIPE0_TX4_DATA_6_0 0
9707#define M_PIPE0_TX4_DATA_6_0 0x7fU
9708#define V_PIPE0_TX4_DATA_6_0(x) ((x) << S_PIPE0_TX4_DATA_6_0)
9709#define G_PIPE0_TX4_DATA_6_0(x) (((x) >> S_PIPE0_TX4_DATA_6_0) & M_PIPE0_TX4_DATA_6_0)
9710
9711#define A_PCIE_PDEBUG_REG_0X13 0x13
9712
9713#define S_PIPE0_TX7_DATAK_1 31
9714#define V_PIPE0_TX7_DATAK_1(x) ((x) << S_PIPE0_TX7_DATAK_1)
9715#define F_PIPE0_TX7_DATAK_1 V_PIPE0_TX7_DATAK_1(1U)
9716
9717#define S_PIPE0_TX7_DATA_14_8 24
9718#define M_PIPE0_TX7_DATA_14_8 0x7fU
9719#define V_PIPE0_TX7_DATA_14_8(x) ((x) << S_PIPE0_TX7_DATA_14_8)
9720#define G_PIPE0_TX7_DATA_14_8(x) (((x) >> S_PIPE0_TX7_DATA_14_8) & M_PIPE0_TX7_DATA_14_8)
9721
9722#define S_PIPE0_TX6_DATA_15_8 16
9723#define M_PIPE0_TX6_DATA_15_8 0xffU
9724#define V_PIPE0_TX6_DATA_15_8(x) ((x) << S_PIPE0_TX6_DATA_15_8)
9725#define G_PIPE0_TX6_DATA_15_8(x) (((x) >> S_PIPE0_TX6_DATA_15_8) & M_PIPE0_TX6_DATA_15_8)
9726
9727#define S_PIPE0_TX5_DATA_15_8 8
9728#define M_PIPE0_TX5_DATA_15_8 0xffU
9729#define V_PIPE0_TX5_DATA_15_8(x) ((x) << S_PIPE0_TX5_DATA_15_8)
9730#define G_PIPE0_TX5_DATA_15_8(x) (((x) >> S_PIPE0_TX5_DATA_15_8) & M_PIPE0_TX5_DATA_15_8)
9731
9732#define S_PIPE0_TX4_DATAK_1 7
9733#define V_PIPE0_TX4_DATAK_1(x) ((x) << S_PIPE0_TX4_DATAK_1)
9734#define F_PIPE0_TX4_DATAK_1 V_PIPE0_TX4_DATAK_1(1U)
9735
9736#define S_PIPE0_TX4_DATA_14_8 0
9737#define M_PIPE0_TX4_DATA_14_8 0x7fU
9738#define V_PIPE0_TX4_DATA_14_8(x) ((x) << S_PIPE0_TX4_DATA_14_8)
9739#define G_PIPE0_TX4_DATA_14_8(x) (((x) >> S_PIPE0_TX4_DATA_14_8) & M_PIPE0_TX4_DATA_14_8)
9740
9741#define A_PCIE_PDEBUG_REG_0X14 0x14
9742
9743#define S_PIPE0_RX3_VALID_14 31
9744#define V_PIPE0_RX3_VALID_14(x) ((x) << S_PIPE0_RX3_VALID_14)
9745#define F_PIPE0_RX3_VALID_14 V_PIPE0_RX3_VALID_14(1U)
9746
9747#define S_PIPE0_RX3_VALID2_14 24
9748#define M_PIPE0_RX3_VALID2_14 0x7fU
9749#define V_PIPE0_RX3_VALID2_14(x) ((x) << S_PIPE0_RX3_VALID2_14)
9750#define G_PIPE0_RX3_VALID2_14(x) (((x) >> S_PIPE0_RX3_VALID2_14) & M_PIPE0_RX3_VALID2_14)
9751
9752#define S_PIPE0_RX2_VALID_14 16
9753#define M_PIPE0_RX2_VALID_14 0xffU
9754#define V_PIPE0_RX2_VALID_14(x) ((x) << S_PIPE0_RX2_VALID_14)
9755#define G_PIPE0_RX2_VALID_14(x) (((x) >> S_PIPE0_RX2_VALID_14) & M_PIPE0_RX2_VALID_14)
9756
9757#define S_PIPE0_RX1_VALID_14 8
9758#define M_PIPE0_RX1_VALID_14 0xffU
9759#define V_PIPE0_RX1_VALID_14(x) ((x) << S_PIPE0_RX1_VALID_14)
9760#define G_PIPE0_RX1_VALID_14(x) (((x) >> S_PIPE0_RX1_VALID_14) & M_PIPE0_RX1_VALID_14)
9761
9762#define S_PIPE0_RX0_VALID_14 7
9763#define V_PIPE0_RX0_VALID_14(x) ((x) << S_PIPE0_RX0_VALID_14)
9764#define F_PIPE0_RX0_VALID_14 V_PIPE0_RX0_VALID_14(1U)
9765
9766#define S_PIPE0_RX0_VALID2_14 0
9767#define M_PIPE0_RX0_VALID2_14 0x7fU
9768#define V_PIPE0_RX0_VALID2_14(x) ((x) << S_PIPE0_RX0_VALID2_14)
9769#define G_PIPE0_RX0_VALID2_14(x) (((x) >> S_PIPE0_RX0_VALID2_14) & M_PIPE0_RX0_VALID2_14)
9770
9771#define A_PCIE_PDEBUG_REG_0X15 0x15
9772
9773#define S_PIPE0_RX3_VALID_15 31
9774#define V_PIPE0_RX3_VALID_15(x) ((x) << S_PIPE0_RX3_VALID_15)
9775#define F_PIPE0_RX3_VALID_15 V_PIPE0_RX3_VALID_15(1U)
9776
9777#define S_PIPE0_RX3_VALID2_15 24
9778#define M_PIPE0_RX3_VALID2_15 0x7fU
9779#define V_PIPE0_RX3_VALID2_15(x) ((x) << S_PIPE0_RX3_VALID2_15)
9780#define G_PIPE0_RX3_VALID2_15(x) (((x) >> S_PIPE0_RX3_VALID2_15) & M_PIPE0_RX3_VALID2_15)
9781
9782#define S_PIPE0_RX2_VALID_15 16
9783#define M_PIPE0_RX2_VALID_15 0xffU
9784#define V_PIPE0_RX2_VALID_15(x) ((x) << S_PIPE0_RX2_VALID_15)
9785#define G_PIPE0_RX2_VALID_15(x) (((x) >> S_PIPE0_RX2_VALID_15) & M_PIPE0_RX2_VALID_15)
9786
9787#define S_PIPE0_RX1_VALID_15 8
9788#define M_PIPE0_RX1_VALID_15 0xffU
9789#define V_PIPE0_RX1_VALID_15(x) ((x) << S_PIPE0_RX1_VALID_15)
9790#define G_PIPE0_RX1_VALID_15(x) (((x) >> S_PIPE0_RX1_VALID_15) & M_PIPE0_RX1_VALID_15)
9791
9792#define S_PIPE0_RX0_VALID_15 7
9793#define V_PIPE0_RX0_VALID_15(x) ((x) << S_PIPE0_RX0_VALID_15)
9794#define F_PIPE0_RX0_VALID_15 V_PIPE0_RX0_VALID_15(1U)
9795
9796#define S_PIPE0_RX0_VALID2_15 0
9797#define M_PIPE0_RX0_VALID2_15 0x7fU
9798#define V_PIPE0_RX0_VALID2_15(x) ((x) << S_PIPE0_RX0_VALID2_15)
9799#define G_PIPE0_RX0_VALID2_15(x) (((x) >> S_PIPE0_RX0_VALID2_15) & M_PIPE0_RX0_VALID2_15)
9800
9801#define A_PCIE_PDEBUG_REG_0X16 0x16
9802
9803#define S_PIPE0_RX7_VALID_16 31
9804#define V_PIPE0_RX7_VALID_16(x) ((x) << S_PIPE0_RX7_VALID_16)
9805#define F_PIPE0_RX7_VALID_16 V_PIPE0_RX7_VALID_16(1U)
9806
9807#define S_PIPE0_RX7_VALID2_16 24
9808#define M_PIPE0_RX7_VALID2_16 0x7fU
9809#define V_PIPE0_RX7_VALID2_16(x) ((x) << S_PIPE0_RX7_VALID2_16)
9810#define G_PIPE0_RX7_VALID2_16(x) (((x) >> S_PIPE0_RX7_VALID2_16) & M_PIPE0_RX7_VALID2_16)
9811
9812#define S_PIPE0_RX6_VALID_16 16
9813#define M_PIPE0_RX6_VALID_16 0xffU
9814#define V_PIPE0_RX6_VALID_16(x) ((x) << S_PIPE0_RX6_VALID_16)
9815#define G_PIPE0_RX6_VALID_16(x) (((x) >> S_PIPE0_RX6_VALID_16) & M_PIPE0_RX6_VALID_16)
9816
9817#define S_PIPE0_RX5_VALID_16 8
9818#define M_PIPE0_RX5_VALID_16 0xffU
9819#define V_PIPE0_RX5_VALID_16(x) ((x) << S_PIPE0_RX5_VALID_16)
9820#define G_PIPE0_RX5_VALID_16(x) (((x) >> S_PIPE0_RX5_VALID_16) & M_PIPE0_RX5_VALID_16)
9821
9822#define S_PIPE0_RX4_VALID_16 7
9823#define V_PIPE0_RX4_VALID_16(x) ((x) << S_PIPE0_RX4_VALID_16)
9824#define F_PIPE0_RX4_VALID_16 V_PIPE0_RX4_VALID_16(1U)
9825
9826#define S_PIPE0_RX4_VALID2_16 0
9827#define M_PIPE0_RX4_VALID2_16 0x7fU
9828#define V_PIPE0_RX4_VALID2_16(x) ((x) << S_PIPE0_RX4_VALID2_16)
9829#define G_PIPE0_RX4_VALID2_16(x) (((x) >> S_PIPE0_RX4_VALID2_16) & M_PIPE0_RX4_VALID2_16)
9830
9831#define A_PCIE_PDEBUG_REG_0X17 0x17
9832
9833#define S_PIPE0_RX7_VALID_17 31
9834#define V_PIPE0_RX7_VALID_17(x) ((x) << S_PIPE0_RX7_VALID_17)
9835#define F_PIPE0_RX7_VALID_17 V_PIPE0_RX7_VALID_17(1U)
9836
9837#define S_PIPE0_RX7_VALID2_17 24
9838#define M_PIPE0_RX7_VALID2_17 0x7fU
9839#define V_PIPE0_RX7_VALID2_17(x) ((x) << S_PIPE0_RX7_VALID2_17)
9840#define G_PIPE0_RX7_VALID2_17(x) (((x) >> S_PIPE0_RX7_VALID2_17) & M_PIPE0_RX7_VALID2_17)
9841
9842#define S_PIPE0_RX6_VALID_17 16
9843#define M_PIPE0_RX6_VALID_17 0xffU
9844#define V_PIPE0_RX6_VALID_17(x) ((x) << S_PIPE0_RX6_VALID_17)
9845#define G_PIPE0_RX6_VALID_17(x) (((x) >> S_PIPE0_RX6_VALID_17) & M_PIPE0_RX6_VALID_17)
9846
9847#define S_PIPE0_RX5_VALID_17 8
9848#define M_PIPE0_RX5_VALID_17 0xffU
9849#define V_PIPE0_RX5_VALID_17(x) ((x) << S_PIPE0_RX5_VALID_17)
9850#define G_PIPE0_RX5_VALID_17(x) (((x) >> S_PIPE0_RX5_VALID_17) & M_PIPE0_RX5_VALID_17)
9851
9852#define S_PIPE0_RX4_VALID_17 7
9853#define V_PIPE0_RX4_VALID_17(x) ((x) << S_PIPE0_RX4_VALID_17)
9854#define F_PIPE0_RX4_VALID_17 V_PIPE0_RX4_VALID_17(1U)
9855
9856#define S_PIPE0_RX4_VALID2_17 0
9857#define M_PIPE0_RX4_VALID2_17 0x7fU
9858#define V_PIPE0_RX4_VALID2_17(x) ((x) << S_PIPE0_RX4_VALID2_17)
9859#define G_PIPE0_RX4_VALID2_17(x) (((x) >> S_PIPE0_RX4_VALID2_17) & M_PIPE0_RX4_VALID2_17)
9860
9861#define A_PCIE_PDEBUG_REG_0X18 0x18
9862
9863#define S_PIPE0_RX7_POLARITY 31
9864#define V_PIPE0_RX7_POLARITY(x) ((x) << S_PIPE0_RX7_POLARITY)
9865#define F_PIPE0_RX7_POLARITY V_PIPE0_RX7_POLARITY(1U)
9866
9867#define S_PIPE0_RX7_STATUS 28
9868#define M_PIPE0_RX7_STATUS 0x7U
9869#define V_PIPE0_RX7_STATUS(x) ((x) << S_PIPE0_RX7_STATUS)
9870#define G_PIPE0_RX7_STATUS(x) (((x) >> S_PIPE0_RX7_STATUS) & M_PIPE0_RX7_STATUS)
9871
9872#define S_PIPE0_RX6_POLARITY 27
9873#define V_PIPE0_RX6_POLARITY(x) ((x) << S_PIPE0_RX6_POLARITY)
9874#define F_PIPE0_RX6_POLARITY V_PIPE0_RX6_POLARITY(1U)
9875
9876#define S_PIPE0_RX6_STATUS 24
9877#define M_PIPE0_RX6_STATUS 0x7U
9878#define V_PIPE0_RX6_STATUS(x) ((x) << S_PIPE0_RX6_STATUS)
9879#define G_PIPE0_RX6_STATUS(x) (((x) >> S_PIPE0_RX6_STATUS) & M_PIPE0_RX6_STATUS)
9880
9881#define S_PIPE0_RX5_POLARITY 23
9882#define V_PIPE0_RX5_POLARITY(x) ((x) << S_PIPE0_RX5_POLARITY)
9883#define F_PIPE0_RX5_POLARITY V_PIPE0_RX5_POLARITY(1U)
9884
9885#define S_PIPE0_RX5_STATUS 20
9886#define M_PIPE0_RX5_STATUS 0x7U
9887#define V_PIPE0_RX5_STATUS(x) ((x) << S_PIPE0_RX5_STATUS)
9888#define G_PIPE0_RX5_STATUS(x) (((x) >> S_PIPE0_RX5_STATUS) & M_PIPE0_RX5_STATUS)
9889
9890#define S_PIPE0_RX4_POLARITY 19
9891#define V_PIPE0_RX4_POLARITY(x) ((x) << S_PIPE0_RX4_POLARITY)
9892#define F_PIPE0_RX4_POLARITY V_PIPE0_RX4_POLARITY(1U)
9893
9894#define S_PIPE0_RX4_STATUS 16
9895#define M_PIPE0_RX4_STATUS 0x7U
9896#define V_PIPE0_RX4_STATUS(x) ((x) << S_PIPE0_RX4_STATUS)
9897#define G_PIPE0_RX4_STATUS(x) (((x) >> S_PIPE0_RX4_STATUS) & M_PIPE0_RX4_STATUS)
9898
9899#define S_PIPE0_RX3_POLARITY 15
9900#define V_PIPE0_RX3_POLARITY(x) ((x) << S_PIPE0_RX3_POLARITY)
9901#define F_PIPE0_RX3_POLARITY V_PIPE0_RX3_POLARITY(1U)
9902
9903#define S_PIPE0_RX3_STATUS 12
9904#define M_PIPE0_RX3_STATUS 0x7U
9905#define V_PIPE0_RX3_STATUS(x) ((x) << S_PIPE0_RX3_STATUS)
9906#define G_PIPE0_RX3_STATUS(x) (((x) >> S_PIPE0_RX3_STATUS) & M_PIPE0_RX3_STATUS)
9907
9908#define S_PIPE0_RX2_POLARITY 11
9909#define V_PIPE0_RX2_POLARITY(x) ((x) << S_PIPE0_RX2_POLARITY)
9910#define F_PIPE0_RX2_POLARITY V_PIPE0_RX2_POLARITY(1U)
9911
9912#define S_PIPE0_RX2_STATUS 8
9913#define M_PIPE0_RX2_STATUS 0x7U
9914#define V_PIPE0_RX2_STATUS(x) ((x) << S_PIPE0_RX2_STATUS)
9915#define G_PIPE0_RX2_STATUS(x) (((x) >> S_PIPE0_RX2_STATUS) & M_PIPE0_RX2_STATUS)
9916
9917#define S_PIPE0_RX1_POLARITY 7
9918#define V_PIPE0_RX1_POLARITY(x) ((x) << S_PIPE0_RX1_POLARITY)
9919#define F_PIPE0_RX1_POLARITY V_PIPE0_RX1_POLARITY(1U)
9920
9921#define S_PIPE0_RX1_STATUS 4
9922#define M_PIPE0_RX1_STATUS 0x7U
9923#define V_PIPE0_RX1_STATUS(x) ((x) << S_PIPE0_RX1_STATUS)
9924#define G_PIPE0_RX1_STATUS(x) (((x) >> S_PIPE0_RX1_STATUS) & M_PIPE0_RX1_STATUS)
9925
9926#define S_PIPE0_RX0_POLARITY 3
9927#define V_PIPE0_RX0_POLARITY(x) ((x) << S_PIPE0_RX0_POLARITY)
9928#define F_PIPE0_RX0_POLARITY V_PIPE0_RX0_POLARITY(1U)
9929
9930#define S_PIPE0_RX0_STATUS 0
9931#define M_PIPE0_RX0_STATUS 0x7U
9932#define V_PIPE0_RX0_STATUS(x) ((x) << S_PIPE0_RX0_STATUS)
9933#define G_PIPE0_RX0_STATUS(x) (((x) >> S_PIPE0_RX0_STATUS) & M_PIPE0_RX0_STATUS)
9934
9935#define A_PCIE_PDEBUG_REG_0X19 0x19
9936
9937#define S_PIPE0_TX7_COMPLIANCE 31
9938#define V_PIPE0_TX7_COMPLIANCE(x) ((x) << S_PIPE0_TX7_COMPLIANCE)
9939#define F_PIPE0_TX7_COMPLIANCE V_PIPE0_TX7_COMPLIANCE(1U)
9940
9941#define S_PIPE0_TX6_COMPLIANCE 30
9942#define V_PIPE0_TX6_COMPLIANCE(x) ((x) << S_PIPE0_TX6_COMPLIANCE)
9943#define F_PIPE0_TX6_COMPLIANCE V_PIPE0_TX6_COMPLIANCE(1U)
9944
9945#define S_PIPE0_TX5_COMPLIANCE 29
9946#define V_PIPE0_TX5_COMPLIANCE(x) ((x) << S_PIPE0_TX5_COMPLIANCE)
9947#define F_PIPE0_TX5_COMPLIANCE V_PIPE0_TX5_COMPLIANCE(1U)
9948
9949#define S_PIPE0_TX4_COMPLIANCE 28
9950#define V_PIPE0_TX4_COMPLIANCE(x) ((x) << S_PIPE0_TX4_COMPLIANCE)
9951#define F_PIPE0_TX4_COMPLIANCE V_PIPE0_TX4_COMPLIANCE(1U)
9952
9953#define S_PIPE0_TX3_COMPLIANCE 27
9954#define V_PIPE0_TX3_COMPLIANCE(x) ((x) << S_PIPE0_TX3_COMPLIANCE)
9955#define F_PIPE0_TX3_COMPLIANCE V_PIPE0_TX3_COMPLIANCE(1U)
9956
9957#define S_PIPE0_TX2_COMPLIANCE 26
9958#define V_PIPE0_TX2_COMPLIANCE(x) ((x) << S_PIPE0_TX2_COMPLIANCE)
9959#define F_PIPE0_TX2_COMPLIANCE V_PIPE0_TX2_COMPLIANCE(1U)
9960
9961#define S_PIPE0_TX1_COMPLIANCE 25
9962#define V_PIPE0_TX1_COMPLIANCE(x) ((x) << S_PIPE0_TX1_COMPLIANCE)
9963#define F_PIPE0_TX1_COMPLIANCE V_PIPE0_TX1_COMPLIANCE(1U)
9964
9965#define S_PIPE0_TX0_COMPLIANCE 24
9966#define V_PIPE0_TX0_COMPLIANCE(x) ((x) << S_PIPE0_TX0_COMPLIANCE)
9967#define F_PIPE0_TX0_COMPLIANCE V_PIPE0_TX0_COMPLIANCE(1U)
9968
9969#define S_PIPE0_TX7_ELECIDLE 23
9970#define V_PIPE0_TX7_ELECIDLE(x) ((x) << S_PIPE0_TX7_ELECIDLE)
9971#define F_PIPE0_TX7_ELECIDLE V_PIPE0_TX7_ELECIDLE(1U)
9972
9973#define S_PIPE0_TX6_ELECIDLE 22
9974#define V_PIPE0_TX6_ELECIDLE(x) ((x) << S_PIPE0_TX6_ELECIDLE)
9975#define F_PIPE0_TX6_ELECIDLE V_PIPE0_TX6_ELECIDLE(1U)
9976
9977#define S_PIPE0_TX5_ELECIDLE 21
9978#define V_PIPE0_TX5_ELECIDLE(x) ((x) << S_PIPE0_TX5_ELECIDLE)
9979#define F_PIPE0_TX5_ELECIDLE V_PIPE0_TX5_ELECIDLE(1U)
9980
9981#define S_PIPE0_TX4_ELECIDLE 20
9982#define V_PIPE0_TX4_ELECIDLE(x) ((x) << S_PIPE0_TX4_ELECIDLE)
9983#define F_PIPE0_TX4_ELECIDLE V_PIPE0_TX4_ELECIDLE(1U)
9984
9985#define S_PIPE0_TX3_ELECIDLE 19
9986#define V_PIPE0_TX3_ELECIDLE(x) ((x) << S_PIPE0_TX3_ELECIDLE)
9987#define F_PIPE0_TX3_ELECIDLE V_PIPE0_TX3_ELECIDLE(1U)
9988
9989#define S_PIPE0_TX2_ELECIDLE 18
9990#define V_PIPE0_TX2_ELECIDLE(x) ((x) << S_PIPE0_TX2_ELECIDLE)
9991#define F_PIPE0_TX2_ELECIDLE V_PIPE0_TX2_ELECIDLE(1U)
9992
9993#define S_PIPE0_TX1_ELECIDLE 17
9994#define V_PIPE0_TX1_ELECIDLE(x) ((x) << S_PIPE0_TX1_ELECIDLE)
9995#define F_PIPE0_TX1_ELECIDLE V_PIPE0_TX1_ELECIDLE(1U)
9996
9997#define S_PIPE0_TX0_ELECIDLE 16
9998#define V_PIPE0_TX0_ELECIDLE(x) ((x) << S_PIPE0_TX0_ELECIDLE)
9999#define F_PIPE0_TX0_ELECIDLE V_PIPE0_TX0_ELECIDLE(1U)
10000
10001#define S_PIPE0_RX7_POLARITY_19 15
10002#define V_PIPE0_RX7_POLARITY_19(x) ((x) << S_PIPE0_RX7_POLARITY_19)
10003#define F_PIPE0_RX7_POLARITY_19 V_PIPE0_RX7_POLARITY_19(1U)
10004
10005#define S_PIPE0_RX6_POLARITY_19 14
10006#define V_PIPE0_RX6_POLARITY_19(x) ((x) << S_PIPE0_RX6_POLARITY_19)
10007#define F_PIPE0_RX6_POLARITY_19 V_PIPE0_RX6_POLARITY_19(1U)
10008
10009#define S_PIPE0_RX5_POLARITY_19 13
10010#define V_PIPE0_RX5_POLARITY_19(x) ((x) << S_PIPE0_RX5_POLARITY_19)
10011#define F_PIPE0_RX5_POLARITY_19 V_PIPE0_RX5_POLARITY_19(1U)
10012
10013#define S_PIPE0_RX4_POLARITY_19 12
10014#define V_PIPE0_RX4_POLARITY_19(x) ((x) << S_PIPE0_RX4_POLARITY_19)
10015#define F_PIPE0_RX4_POLARITY_19 V_PIPE0_RX4_POLARITY_19(1U)
10016
10017#define S_PIPE0_RX3_POLARITY_19 11
10018#define V_PIPE0_RX3_POLARITY_19(x) ((x) << S_PIPE0_RX3_POLARITY_19)
10019#define F_PIPE0_RX3_POLARITY_19 V_PIPE0_RX3_POLARITY_19(1U)
10020
10021#define S_PIPE0_RX2_POLARITY_19 10
10022#define V_PIPE0_RX2_POLARITY_19(x) ((x) << S_PIPE0_RX2_POLARITY_19)
10023#define F_PIPE0_RX2_POLARITY_19 V_PIPE0_RX2_POLARITY_19(1U)
10024
10025#define S_PIPE0_RX1_POLARITY_19 9
10026#define V_PIPE0_RX1_POLARITY_19(x) ((x) << S_PIPE0_RX1_POLARITY_19)
10027#define F_PIPE0_RX1_POLARITY_19 V_PIPE0_RX1_POLARITY_19(1U)
10028
10029#define S_PIPE0_RX0_POLARITY_19 8
10030#define V_PIPE0_RX0_POLARITY_19(x) ((x) << S_PIPE0_RX0_POLARITY_19)
10031#define F_PIPE0_RX0_POLARITY_19 V_PIPE0_RX0_POLARITY_19(1U)
10032
10033#define S_PIPE0_RX7_ELECIDLE 7
10034#define V_PIPE0_RX7_ELECIDLE(x) ((x) << S_PIPE0_RX7_ELECIDLE)
10035#define F_PIPE0_RX7_ELECIDLE V_PIPE0_RX7_ELECIDLE(1U)
10036
10037#define S_PIPE0_RX6_ELECIDLE 6
10038#define V_PIPE0_RX6_ELECIDLE(x) ((x) << S_PIPE0_RX6_ELECIDLE)
10039#define F_PIPE0_RX6_ELECIDLE V_PIPE0_RX6_ELECIDLE(1U)
10040
10041#define S_PIPE0_RX5_ELECIDLE 5
10042#define V_PIPE0_RX5_ELECIDLE(x) ((x) << S_PIPE0_RX5_ELECIDLE)
10043#define F_PIPE0_RX5_ELECIDLE V_PIPE0_RX5_ELECIDLE(1U)
10044
10045#define S_PIPE0_RX4_ELECIDLE 4
10046#define V_PIPE0_RX4_ELECIDLE(x) ((x) << S_PIPE0_RX4_ELECIDLE)
10047#define F_PIPE0_RX4_ELECIDLE V_PIPE0_RX4_ELECIDLE(1U)
10048
10049#define S_PIPE0_RX3_ELECIDLE 3
10050#define V_PIPE0_RX3_ELECIDLE(x) ((x) << S_PIPE0_RX3_ELECIDLE)
10051#define F_PIPE0_RX3_ELECIDLE V_PIPE0_RX3_ELECIDLE(1U)
10052
10053#define S_PIPE0_RX2_ELECIDLE 2
10054#define V_PIPE0_RX2_ELECIDLE(x) ((x) << S_PIPE0_RX2_ELECIDLE)
10055#define F_PIPE0_RX2_ELECIDLE V_PIPE0_RX2_ELECIDLE(1U)
10056
10057#define S_PIPE0_RX1_ELECIDLE 1
10058#define V_PIPE0_RX1_ELECIDLE(x) ((x) << S_PIPE0_RX1_ELECIDLE)
10059#define F_PIPE0_RX1_ELECIDLE V_PIPE0_RX1_ELECIDLE(1U)
10060
10061#define S_PIPE0_RX0_ELECIDLE 0
10062#define V_PIPE0_RX0_ELECIDLE(x) ((x) << S_PIPE0_RX0_ELECIDLE)
10063#define F_PIPE0_RX0_ELECIDLE V_PIPE0_RX0_ELECIDLE(1U)
10064
10065#define A_PCIE_PDEBUG_REG_0X1A 0x1a
10066
10067#define S_PIPE0_RESET_N 21
10068#define V_PIPE0_RESET_N(x) ((x) << S_PIPE0_RESET_N)
10069#define F_PIPE0_RESET_N V_PIPE0_RESET_N(1U)
10070
10071#define S_PCS_COMMON_CLOCKS 20
10072#define V_PCS_COMMON_CLOCKS(x) ((x) << S_PCS_COMMON_CLOCKS)
10073#define F_PCS_COMMON_CLOCKS V_PCS_COMMON_CLOCKS(1U)
10074
10075#define S_PCS_CLK_REQ 19
10076#define V_PCS_CLK_REQ(x) ((x) << S_PCS_CLK_REQ)
10077#define F_PCS_CLK_REQ V_PCS_CLK_REQ(1U)
10078
10079#define S_PIPE_CLKREQ_N 18
10080#define V_PIPE_CLKREQ_N(x) ((x) << S_PIPE_CLKREQ_N)
10081#define F_PIPE_CLKREQ_N V_PIPE_CLKREQ_N(1U)
10082
10083#define S_MAC_CLKREQ_N_TO_MUX 17
10084#define V_MAC_CLKREQ_N_TO_MUX(x) ((x) << S_MAC_CLKREQ_N_TO_MUX)
10085#define F_MAC_CLKREQ_N_TO_MUX V_MAC_CLKREQ_N_TO_MUX(1U)
10086
10087#define S_PIPE0_TX2RX_LOOPBK 16
10088#define V_PIPE0_TX2RX_LOOPBK(x) ((x) << S_PIPE0_TX2RX_LOOPBK)
10089#define F_PIPE0_TX2RX_LOOPBK V_PIPE0_TX2RX_LOOPBK(1U)
10090
10091#define S_PIPE0_TX_SWING 15
10092#define V_PIPE0_TX_SWING(x) ((x) << S_PIPE0_TX_SWING)
10093#define F_PIPE0_TX_SWING V_PIPE0_TX_SWING(1U)
10094
10095#define S_PIPE0_TX_MARGIN 12
10096#define M_PIPE0_TX_MARGIN 0x7U
10097#define V_PIPE0_TX_MARGIN(x) ((x) << S_PIPE0_TX_MARGIN)
10098#define G_PIPE0_TX_MARGIN(x) (((x) >> S_PIPE0_TX_MARGIN) & M_PIPE0_TX_MARGIN)
10099
10100#define S_PIPE0_TX_DEEMPH 11
10101#define V_PIPE0_TX_DEEMPH(x) ((x) << S_PIPE0_TX_DEEMPH)
10102#define F_PIPE0_TX_DEEMPH V_PIPE0_TX_DEEMPH(1U)
10103
10104#define S_PIPE0_TX_DETECTRX 10
10105#define V_PIPE0_TX_DETECTRX(x) ((x) << S_PIPE0_TX_DETECTRX)
10106#define F_PIPE0_TX_DETECTRX V_PIPE0_TX_DETECTRX(1U)
10107
10108#define S_PIPE0_POWERDOWN 8
10109#define M_PIPE0_POWERDOWN 0x3U
10110#define V_PIPE0_POWERDOWN(x) ((x) << S_PIPE0_POWERDOWN)
10111#define G_PIPE0_POWERDOWN(x) (((x) >> S_PIPE0_POWERDOWN) & M_PIPE0_POWERDOWN)
10112
10113#define S_PHY_MAC_PHYSTATUS 0
10114#define M_PHY_MAC_PHYSTATUS 0xffU
10115#define V_PHY_MAC_PHYSTATUS(x) ((x) << S_PHY_MAC_PHYSTATUS)
10116#define G_PHY_MAC_PHYSTATUS(x) (((x) >> S_PHY_MAC_PHYSTATUS) & M_PHY_MAC_PHYSTATUS)
10117
10118#define A_PCIE_PDEBUG_REG_0X1B 0x1b
10119
10120#define S_PIPE0_RX7_EQ_IN_PROG 31
10121#define V_PIPE0_RX7_EQ_IN_PROG(x) ((x) << S_PIPE0_RX7_EQ_IN_PROG)
10122#define F_PIPE0_RX7_EQ_IN_PROG V_PIPE0_RX7_EQ_IN_PROG(1U)
10123
10124#define S_PIPE0_RX7_EQ_INVLD_REQ 30
10125#define V_PIPE0_RX7_EQ_INVLD_REQ(x) ((x) << S_PIPE0_RX7_EQ_INVLD_REQ)
10126#define F_PIPE0_RX7_EQ_INVLD_REQ V_PIPE0_RX7_EQ_INVLD_REQ(1U)
10127
10128#define S_PIPE0_RX7_SYNCHEADER 28
10129#define M_PIPE0_RX7_SYNCHEADER 0x3U
10130#define V_PIPE0_RX7_SYNCHEADER(x) ((x) << S_PIPE0_RX7_SYNCHEADER)
10131#define G_PIPE0_RX7_SYNCHEADER(x) (((x) >> S_PIPE0_RX7_SYNCHEADER) & M_PIPE0_RX7_SYNCHEADER)
10132
10133#define S_PIPE0_RX6_EQ_IN_PROG 27
10134#define V_PIPE0_RX6_EQ_IN_PROG(x) ((x) << S_PIPE0_RX6_EQ_IN_PROG)
10135#define F_PIPE0_RX6_EQ_IN_PROG V_PIPE0_RX6_EQ_IN_PROG(1U)
10136
10137#define S_PIPE0_RX6_EQ_INVLD_REQ 26
10138#define V_PIPE0_RX6_EQ_INVLD_REQ(x) ((x) << S_PIPE0_RX6_EQ_INVLD_REQ)
10139#define F_PIPE0_RX6_EQ_INVLD_REQ V_PIPE0_RX6_EQ_INVLD_REQ(1U)
10140
10141#define S_PIPE0_RX6_SYNCHEADER 24
10142#define M_PIPE0_RX6_SYNCHEADER 0x3U
10143#define V_PIPE0_RX6_SYNCHEADER(x) ((x) << S_PIPE0_RX6_SYNCHEADER)
10144#define G_PIPE0_RX6_SYNCHEADER(x) (((x) >> S_PIPE0_RX6_SYNCHEADER) & M_PIPE0_RX6_SYNCHEADER)
10145
10146#define S_PIPE0_RX5_EQ_IN_PROG 23
10147#define V_PIPE0_RX5_EQ_IN_PROG(x) ((x) << S_PIPE0_RX5_EQ_IN_PROG)
10148#define F_PIPE0_RX5_EQ_IN_PROG V_PIPE0_RX5_EQ_IN_PROG(1U)
10149
10150#define S_PIPE0_RX5_EQ_INVLD_REQ 22
10151#define V_PIPE0_RX5_EQ_INVLD_REQ(x) ((x) << S_PIPE0_RX5_EQ_INVLD_REQ)
10152#define F_PIPE0_RX5_EQ_INVLD_REQ V_PIPE0_RX5_EQ_INVLD_REQ(1U)
10153
10154#define S_PIPE0_RX5_SYNCHEADER 20
10155#define M_PIPE0_RX5_SYNCHEADER 0x3U
10156#define V_PIPE0_RX5_SYNCHEADER(x) ((x) << S_PIPE0_RX5_SYNCHEADER)
10157#define G_PIPE0_RX5_SYNCHEADER(x) (((x) >> S_PIPE0_RX5_SYNCHEADER) & M_PIPE0_RX5_SYNCHEADER)
10158
10159#define S_PIPE0_RX4_EQ_IN_PROG 19
10160#define V_PIPE0_RX4_EQ_IN_PROG(x) ((x) << S_PIPE0_RX4_EQ_IN_PROG)
10161#define F_PIPE0_RX4_EQ_IN_PROG V_PIPE0_RX4_EQ_IN_PROG(1U)
10162
10163#define S_PIPE0_RX4_EQ_INVLD_REQ 18
10164#define V_PIPE0_RX4_EQ_INVLD_REQ(x) ((x) << S_PIPE0_RX4_EQ_INVLD_REQ)
10165#define F_PIPE0_RX4_EQ_INVLD_REQ V_PIPE0_RX4_EQ_INVLD_REQ(1U)
10166
10167#define S_PIPE0_RX4_SYNCHEADER 16
10168#define M_PIPE0_RX4_SYNCHEADER 0x3U
10169#define V_PIPE0_RX4_SYNCHEADER(x) ((x) << S_PIPE0_RX4_SYNCHEADER)
10170#define G_PIPE0_RX4_SYNCHEADER(x) (((x) >> S_PIPE0_RX4_SYNCHEADER) & M_PIPE0_RX4_SYNCHEADER)
10171
10172#define S_PIPE0_RX3_EQ_IN_PROG 15
10173#define V_PIPE0_RX3_EQ_IN_PROG(x) ((x) << S_PIPE0_RX3_EQ_IN_PROG)
10174#define F_PIPE0_RX3_EQ_IN_PROG V_PIPE0_RX3_EQ_IN_PROG(1U)
10175
10176#define S_PIPE0_RX3_EQ_INVLD_REQ 14
10177#define V_PIPE0_RX3_EQ_INVLD_REQ(x) ((x) << S_PIPE0_RX3_EQ_INVLD_REQ)
10178#define F_PIPE0_RX3_EQ_INVLD_REQ V_PIPE0_RX3_EQ_INVLD_REQ(1U)
10179
10180#define S_PIPE0_RX3_SYNCHEADER 12
10181#define M_PIPE0_RX3_SYNCHEADER 0x3U
10182#define V_PIPE0_RX3_SYNCHEADER(x) ((x) << S_PIPE0_RX3_SYNCHEADER)
10183#define G_PIPE0_RX3_SYNCHEADER(x) (((x) >> S_PIPE0_RX3_SYNCHEADER) & M_PIPE0_RX3_SYNCHEADER)
10184
10185#define S_PIPE0_RX2_EQ_IN_PROG 11
10186#define V_PIPE0_RX2_EQ_IN_PROG(x) ((x) << S_PIPE0_RX2_EQ_IN_PROG)
10187#define F_PIPE0_RX2_EQ_IN_PROG V_PIPE0_RX2_EQ_IN_PROG(1U)
10188
10189#define S_PIPE0_RX2_EQ_INVLD_REQ 10
10190#define V_PIPE0_RX2_EQ_INVLD_REQ(x) ((x) << S_PIPE0_RX2_EQ_INVLD_REQ)
10191#define F_PIPE0_RX2_EQ_INVLD_REQ V_PIPE0_RX2_EQ_INVLD_REQ(1U)
10192
10193#define S_PIPE0_RX2_SYNCHEADER 8
10194#define M_PIPE0_RX2_SYNCHEADER 0x3U
10195#define V_PIPE0_RX2_SYNCHEADER(x) ((x) << S_PIPE0_RX2_SYNCHEADER)
10196#define G_PIPE0_RX2_SYNCHEADER(x) (((x) >> S_PIPE0_RX2_SYNCHEADER) & M_PIPE0_RX2_SYNCHEADER)
10197
10198#define S_PIPE0_RX1_EQ_IN_PROG 7
10199#define V_PIPE0_RX1_EQ_IN_PROG(x) ((x) << S_PIPE0_RX1_EQ_IN_PROG)
10200#define F_PIPE0_RX1_EQ_IN_PROG V_PIPE0_RX1_EQ_IN_PROG(1U)
10201
10202#define S_PIPE0_RX1_EQ_INVLD_REQ 6
10203#define V_PIPE0_RX1_EQ_INVLD_REQ(x) ((x) << S_PIPE0_RX1_EQ_INVLD_REQ)
10204#define F_PIPE0_RX1_EQ_INVLD_REQ V_PIPE0_RX1_EQ_INVLD_REQ(1U)
10205
10206#define S_PIPE0_RX1_SYNCHEADER 4
10207#define M_PIPE0_RX1_SYNCHEADER 0x3U
10208#define V_PIPE0_RX1_SYNCHEADER(x) ((x) << S_PIPE0_RX1_SYNCHEADER)
10209#define G_PIPE0_RX1_SYNCHEADER(x) (((x) >> S_PIPE0_RX1_SYNCHEADER) & M_PIPE0_RX1_SYNCHEADER)
10210
10211#define S_PIPE0_RX0_EQ_IN_PROG 3
10212#define V_PIPE0_RX0_EQ_IN_PROG(x) ((x) << S_PIPE0_RX0_EQ_IN_PROG)
10213#define F_PIPE0_RX0_EQ_IN_PROG V_PIPE0_RX0_EQ_IN_PROG(1U)
10214
10215#define S_PIPE0_RX0_EQ_INVLD_REQ 2
10216#define V_PIPE0_RX0_EQ_INVLD_REQ(x) ((x) << S_PIPE0_RX0_EQ_INVLD_REQ)
10217#define F_PIPE0_RX0_EQ_INVLD_REQ V_PIPE0_RX0_EQ_INVLD_REQ(1U)
10218
10219#define S_PIPE0_RX0_SYNCHEADER 0
10220#define M_PIPE0_RX0_SYNCHEADER 0x3U
10221#define V_PIPE0_RX0_SYNCHEADER(x) ((x) << S_PIPE0_RX0_SYNCHEADER)
10222#define G_PIPE0_RX0_SYNCHEADER(x) (((x) >> S_PIPE0_RX0_SYNCHEADER) & M_PIPE0_RX0_SYNCHEADER)
10223
10224#define A_PCIE_PDEBUG_REG_0X1C 0x1c
10225
10226#define S_SI_REQVFID 24
10227#define M_SI_REQVFID 0xffU
10228#define V_SI_REQVFID(x) ((x) << S_SI_REQVFID)
10229#define G_SI_REQVFID(x) (((x) >> S_SI_REQVFID) & M_SI_REQVFID)
10230
10231#define S_SI_REQVEC 13
10232#define M_SI_REQVEC 0x7ffU
10233#define V_SI_REQVEC(x) ((x) << S_SI_REQVEC)
10234#define G_SI_REQVEC(x) (((x) >> S_SI_REQVEC) & M_SI_REQVEC)
10235
10236#define S_SI_REQTCVAL 10
10237#define M_SI_REQTCVAL 0x7U
10238#define V_SI_REQTCVAL(x) ((x) << S_SI_REQTCVAL)
10239#define G_SI_REQTCVAL(x) (((x) >> S_SI_REQTCVAL) & M_SI_REQTCVAL)
10240
10241#define S_SI_REQRDY 9
10242#define V_SI_REQRDY(x) ((x) << S_SI_REQRDY)
10243#define F_SI_REQRDY V_SI_REQRDY(1U)
10244
10245#define S_SI_REQVLD 8
10246#define V_SI_REQVLD(x) ((x) << S_SI_REQVLD)
10247#define F_SI_REQVLD V_SI_REQVLD(1U)
10248
10249#define S_T5_AI 0
10250#define M_T5_AI 0xffU
10251#define V_T5_AI(x) ((x) << S_T5_AI)
10252#define G_T5_AI(x) (((x) >> S_T5_AI) & M_T5_AI)
10253
10254#define A_PCIE_PDEBUG_REG_0X1D 0x1d
10255
10256#define S_GNTSI 31
10257#define V_GNTSI(x) ((x) << S_GNTSI)
10258#define F_GNTSI V_GNTSI(1U)
10259
10260#define S_DROPINTFORFLR 30
10261#define V_DROPINTFORFLR(x) ((x) << S_DROPINTFORFLR)
10262#define F_DROPINTFORFLR V_DROPINTFORFLR(1U)
10263
10264#define S_SMARB 27
10265#define M_SMARB 0x7U
10266#define V_SMARB(x) ((x) << S_SMARB)
10267#define G_SMARB(x) (((x) >> S_SMARB) & M_SMARB)
10268
10269#define S_SMDEFR 24
10270#define M_SMDEFR 0x7U
10271#define V_SMDEFR(x) ((x) << S_SMDEFR)
10272#define G_SMDEFR(x) (((x) >> S_SMDEFR) & M_SMDEFR)
10273
10274#define S_SYS_INT 16
10275#define M_SYS_INT 0xffU
10276#define V_SYS_INT(x) ((x) << S_SYS_INT)
10277#define G_SYS_INT(x) (((x) >> S_SYS_INT) & M_SYS_INT)
10278
10279#define S_CFG_INTXCLR 8
10280#define M_CFG_INTXCLR 0xffU
10281#define V_CFG_INTXCLR(x) ((x) << S_CFG_INTXCLR)
10282#define G_CFG_INTXCLR(x) (((x) >> S_CFG_INTXCLR) & M_CFG_INTXCLR)
10283
10284#define S_PIO_INTXCLR 0
10285#define M_PIO_INTXCLR 0xffU
10286#define V_PIO_INTXCLR(x) ((x) << S_PIO_INTXCLR)
10287#define G_PIO_INTXCLR(x) (((x) >> S_PIO_INTXCLR) & M_PIO_INTXCLR)
10288
10289#define A_PCIE_PDEBUG_REG_0X1E 0x1e
10290
10291#define S_PLI_TABDATWREN 31
10292#define V_PLI_TABDATWREN(x) ((x) << S_PLI_TABDATWREN)
10293#define F_PLI_TABDATWREN V_PLI_TABDATWREN(1U)
10294
10295#define S_TAB_RDENA 30
10296#define V_TAB_RDENA(x) ((x) << S_TAB_RDENA)
10297#define F_TAB_RDENA V_TAB_RDENA(1U)
10298
10299#define S_TAB_RDENA2 19
10300#define M_TAB_RDENA2 0x7ffU
10301#define V_TAB_RDENA2(x) ((x) << S_TAB_RDENA2)
10302#define G_TAB_RDENA2(x) (((x) >> S_TAB_RDENA2) & M_TAB_RDENA2)
10303
10304#define S_PLI_REQADDR 10
10305#define M_PLI_REQADDR 0x1ffU
10306#define V_PLI_REQADDR(x) ((x) << S_PLI_REQADDR)
10307#define G_PLI_REQADDR(x) (((x) >> S_PLI_REQADDR) & M_PLI_REQADDR)
10308
10309#define S_PLI_REQVFID 2
10310#define M_PLI_REQVFID 0xffU
10311#define V_PLI_REQVFID(x) ((x) << S_PLI_REQVFID)
10312#define G_PLI_REQVFID(x) (((x) >> S_PLI_REQVFID) & M_PLI_REQVFID)
10313
10314#define S_PLI_REQTABHIT 1
10315#define V_PLI_REQTABHIT(x) ((x) << S_PLI_REQTABHIT)
10316#define F_PLI_REQTABHIT V_PLI_REQTABHIT(1U)
10317
10318#define S_PLI_REQRDVLD 0
10319#define V_PLI_REQRDVLD(x) ((x) << S_PLI_REQRDVLD)
10320#define F_PLI_REQRDVLD V_PLI_REQRDVLD(1U)
10321
10322#define A_PCIE_PDEBUG_REG_0X1F 0x1f
10323#define A_PCIE_PDEBUG_REG_0X20 0x20
10324#define A_PCIE_PDEBUG_REG_0X21 0x21
10325
10326#define S_PLI_REQPBASTART 20
10327#define M_PLI_REQPBASTART 0xfffU
10328#define V_PLI_REQPBASTART(x) ((x) << S_PLI_REQPBASTART)
10329#define G_PLI_REQPBASTART(x) (((x) >> S_PLI_REQPBASTART) & M_PLI_REQPBASTART)
10330
10331#define S_PLI_REQPBAEND 9
10332#define M_PLI_REQPBAEND 0x7ffU
10333#define V_PLI_REQPBAEND(x) ((x) << S_PLI_REQPBAEND)
10334#define G_PLI_REQPBAEND(x) (((x) >> S_PLI_REQPBAEND) & M_PLI_REQPBAEND)
10335
10336#define S_T5_PLI_REQVFID 2
10337#define M_T5_PLI_REQVFID 0x7fU
10338#define V_T5_PLI_REQVFID(x) ((x) << S_T5_PLI_REQVFID)
10339#define G_T5_PLI_REQVFID(x) (((x) >> S_T5_PLI_REQVFID) & M_T5_PLI_REQVFID)
10340
10341#define S_PLI_REQPBAHIT 1
10342#define V_PLI_REQPBAHIT(x) ((x) << S_PLI_REQPBAHIT)
10343#define F_PLI_REQPBAHIT V_PLI_REQPBAHIT(1U)
10344
10345#define A_PCIE_PDEBUG_REG_0X22 0x22
10346
10347#define S_GNTSI1 31
10348#define V_GNTSI1(x) ((x) << S_GNTSI1)
10349#define F_GNTSI1 V_GNTSI1(1U)
10350
10351#define S_GNTSI2 30
10352#define V_GNTSI2(x) ((x) << S_GNTSI2)
10353#define F_GNTSI2 V_GNTSI2(1U)
10354
10355#define S_GNTSI3 27
10356#define M_GNTSI3 0x7U
10357#define V_GNTSI3(x) ((x) << S_GNTSI3)
10358#define G_GNTSI3(x) (((x) >> S_GNTSI3) & M_GNTSI3)
10359
10360#define S_GNTSI4 16
10361#define M_GNTSI4 0x7ffU
10362#define V_GNTSI4(x) ((x) << S_GNTSI4)
10363#define G_GNTSI4(x) (((x) >> S_GNTSI4) & M_GNTSI4)
10364
10365#define S_GNTSI5 8
10366#define M_GNTSI5 0xffU
10367#define V_GNTSI5(x) ((x) << S_GNTSI5)
10368#define G_GNTSI5(x) (((x) >> S_GNTSI5) & M_GNTSI5)
10369
10370#define S_GNTSI6 7
10371#define V_GNTSI6(x) ((x) << S_GNTSI6)
10372#define F_GNTSI6 V_GNTSI6(1U)
10373
10374#define S_GNTSI7 6
10375#define V_GNTSI7(x) ((x) << S_GNTSI7)
10376#define F_GNTSI7 V_GNTSI7(1U)
10377
10378#define S_GNTSI8 5
10379#define V_GNTSI8(x) ((x) << S_GNTSI8)
10380#define F_GNTSI8 V_GNTSI8(1U)
10381
10382#define S_GNTSI9 4
10383#define V_GNTSI9(x) ((x) << S_GNTSI9)
10384#define F_GNTSI9 V_GNTSI9(1U)
10385
10386#define S_GNTSIA 3
10387#define V_GNTSIA(x) ((x) << S_GNTSIA)
10388#define F_GNTSIA V_GNTSIA(1U)
10389
10390#define S_GNTAI 2
10391#define V_GNTAI(x) ((x) << S_GNTAI)
10392#define F_GNTAI V_GNTAI(1U)
10393
10394#define S_GNTDB 1
10395#define V_GNTDB(x) ((x) << S_GNTDB)
10396#define F_GNTDB V_GNTDB(1U)
10397
10398#define S_GNTDI 0
10399#define V_GNTDI(x) ((x) << S_GNTDI)
10400#define F_GNTDI V_GNTDI(1U)
10401
10402#define A_PCIE_PDEBUG_REG_0X23 0x23
10403
10404#define S_DI_REQVLD 31
10405#define V_DI_REQVLD(x) ((x) << S_DI_REQVLD)
10406#define F_DI_REQVLD V_DI_REQVLD(1U)
10407
10408#define S_DI_REQRDY 30
10409#define V_DI_REQRDY(x) ((x) << S_DI_REQRDY)
10410#define F_DI_REQRDY V_DI_REQRDY(1U)
10411
10412#define S_DI_REQWREN 19
10413#define M_DI_REQWREN 0x7ffU
10414#define V_DI_REQWREN(x) ((x) << S_DI_REQWREN)
10415#define G_DI_REQWREN(x) (((x) >> S_DI_REQWREN) & M_DI_REQWREN)
10416
10417#define S_DI_REQMSIEN 18
10418#define V_DI_REQMSIEN(x) ((x) << S_DI_REQMSIEN)
10419#define F_DI_REQMSIEN V_DI_REQMSIEN(1U)
10420
10421#define S_DI_REQMSXEN 17
10422#define V_DI_REQMSXEN(x) ((x) << S_DI_REQMSXEN)
10423#define F_DI_REQMSXEN V_DI_REQMSXEN(1U)
10424
10425#define S_DI_REQMSXVFIDMSK 16
10426#define V_DI_REQMSXVFIDMSK(x) ((x) << S_DI_REQMSXVFIDMSK)
10427#define F_DI_REQMSXVFIDMSK V_DI_REQMSXVFIDMSK(1U)
10428
10429#define S_DI_REQWREN2 2
10430#define M_DI_REQWREN2 0x3fffU
10431#define V_DI_REQWREN2(x) ((x) << S_DI_REQWREN2)
10432#define G_DI_REQWREN2(x) (((x) >> S_DI_REQWREN2) & M_DI_REQWREN2)
10433
10434#define S_DI_REQRDEN 1
10435#define V_DI_REQRDEN(x) ((x) << S_DI_REQRDEN)
10436#define F_DI_REQRDEN V_DI_REQRDEN(1U)
10437
10438#define S_DI_REQWREN3 0
10439#define V_DI_REQWREN3(x) ((x) << S_DI_REQWREN3)
10440#define F_DI_REQWREN3 V_DI_REQWREN3(1U)
10441
10442#define A_PCIE_PDEBUG_REG_0X24 0x24
10443#define A_PCIE_PDEBUG_REG_0X25 0x25
10444#define A_PCIE_PDEBUG_REG_0X26 0x26
10445#define A_PCIE_PDEBUG_REG_0X27 0x27
10446
10447#define S_FID_STI_RSPVLD 31
10448#define V_FID_STI_RSPVLD(x) ((x) << S_FID_STI_RSPVLD)
10449#define F_FID_STI_RSPVLD V_FID_STI_RSPVLD(1U)
10450
10451#define S_TAB_STIRDENA 30
10452#define V_TAB_STIRDENA(x) ((x) << S_TAB_STIRDENA)
10453#define F_TAB_STIRDENA V_TAB_STIRDENA(1U)
10454
10455#define S_TAB_STIWRENA 29
10456#define V_TAB_STIWRENA(x) ((x) << S_TAB_STIWRENA)
10457#define F_TAB_STIWRENA V_TAB_STIWRENA(1U)
10458
10459#define S_TAB_STIRDENA2 18
10460#define M_TAB_STIRDENA2 0x7ffU
10461#define V_TAB_STIRDENA2(x) ((x) << S_TAB_STIRDENA2)
10462#define G_TAB_STIRDENA2(x) (((x) >> S_TAB_STIRDENA2) & M_TAB_STIRDENA2)
10463
10464#define S_T5_PLI_REQTABHIT 7
10465#define M_T5_PLI_REQTABHIT 0x7ffU
10466#define V_T5_PLI_REQTABHIT(x) ((x) << S_T5_PLI_REQTABHIT)
10467#define G_T5_PLI_REQTABHIT(x) (((x) >> S_T5_PLI_REQTABHIT) & M_T5_PLI_REQTABHIT)
10468
10469#define S_T5_GNTSI 0
10470#define M_T5_GNTSI 0x7fU
10471#define V_T5_GNTSI(x) ((x) << S_T5_GNTSI)
10472#define G_T5_GNTSI(x) (((x) >> S_T5_GNTSI) & M_T5_GNTSI)
10473
10474#define A_PCIE_PDEBUG_REG_0X28 0x28
10475
10476#define S_PLI_REQWRVLD 31
10477#define V_PLI_REQWRVLD(x) ((x) << S_PLI_REQWRVLD)
10478#define F_PLI_REQWRVLD V_PLI_REQWRVLD(1U)
10479
10480#define S_T5_PLI_REQPBAHIT 30
10481#define V_T5_PLI_REQPBAHIT(x) ((x) << S_T5_PLI_REQPBAHIT)
10482#define F_T5_PLI_REQPBAHIT V_T5_PLI_REQPBAHIT(1U)
10483
10484#define S_PLI_TABADDRLWREN 29
10485#define V_PLI_TABADDRLWREN(x) ((x) << S_PLI_TABADDRLWREN)
10486#define F_PLI_TABADDRLWREN V_PLI_TABADDRLWREN(1U)
10487
10488#define S_PLI_TABADDRHWREN 28
10489#define V_PLI_TABADDRHWREN(x) ((x) << S_PLI_TABADDRHWREN)
10490#define F_PLI_TABADDRHWREN V_PLI_TABADDRHWREN(1U)
10491
10492#define S_T5_PLI_TABDATWREN 27
10493#define V_T5_PLI_TABDATWREN(x) ((x) << S_T5_PLI_TABDATWREN)
10494#define F_T5_PLI_TABDATWREN V_T5_PLI_TABDATWREN(1U)
10495
10496#define S_PLI_TABMSKWREN 26
10497#define V_PLI_TABMSKWREN(x) ((x) << S_PLI_TABMSKWREN)
10498#define F_PLI_TABMSKWREN V_PLI_TABMSKWREN(1U)
10499
10500#define S_AI_REQVLD 23
10501#define M_AI_REQVLD 0x7U
10502#define V_AI_REQVLD(x) ((x) << S_AI_REQVLD)
10503#define G_AI_REQVLD(x) (((x) >> S_AI_REQVLD) & M_AI_REQVLD)
10504
10505#define S_AI_REQVLD2 22
10506#define V_AI_REQVLD2(x) ((x) << S_AI_REQVLD2)
10507#define F_AI_REQVLD2 V_AI_REQVLD2(1U)
10508
10509#define S_AI_REQRDY 21
10510#define V_AI_REQRDY(x) ((x) << S_AI_REQRDY)
10511#define F_AI_REQRDY V_AI_REQRDY(1U)
10512
10513#define S_VEN_MSI_REQ_28 18
10514#define M_VEN_MSI_REQ_28 0x7U
10515#define V_VEN_MSI_REQ_28(x) ((x) << S_VEN_MSI_REQ_28)
10516#define G_VEN_MSI_REQ_28(x) (((x) >> S_VEN_MSI_REQ_28) & M_VEN_MSI_REQ_28)
10517
10518#define S_VEN_MSI_REQ2 11
10519#define M_VEN_MSI_REQ2 0x7fU
10520#define V_VEN_MSI_REQ2(x) ((x) << S_VEN_MSI_REQ2)
10521#define G_VEN_MSI_REQ2(x) (((x) >> S_VEN_MSI_REQ2) & M_VEN_MSI_REQ2)
10522
10523#define S_VEN_MSI_REQ3 6
10524#define M_VEN_MSI_REQ3 0x1fU
10525#define V_VEN_MSI_REQ3(x) ((x) << S_VEN_MSI_REQ3)
10526#define G_VEN_MSI_REQ3(x) (((x) >> S_VEN_MSI_REQ3) & M_VEN_MSI_REQ3)
10527
10528#define S_VEN_MSI_REQ4 3
10529#define M_VEN_MSI_REQ4 0x7U
10530#define V_VEN_MSI_REQ4(x) ((x) << S_VEN_MSI_REQ4)
10531#define G_VEN_MSI_REQ4(x) (((x) >> S_VEN_MSI_REQ4) & M_VEN_MSI_REQ4)
10532
10533#define S_VEN_MSI_REQ5 2
10534#define V_VEN_MSI_REQ5(x) ((x) << S_VEN_MSI_REQ5)
10535#define F_VEN_MSI_REQ5 V_VEN_MSI_REQ5(1U)
10536
10537#define S_VEN_MSI_GRANT 1
10538#define V_VEN_MSI_GRANT(x) ((x) << S_VEN_MSI_GRANT)
10539#define F_VEN_MSI_GRANT V_VEN_MSI_GRANT(1U)
10540
10541#define S_VEN_MSI_REQ6 0
10542#define V_VEN_MSI_REQ6(x) ((x) << S_VEN_MSI_REQ6)
10543#define F_VEN_MSI_REQ6 V_VEN_MSI_REQ6(1U)
10544
10545#define A_PCIE_PDEBUG_REG_0X29 0x29
10546
10547#define S_TRGT1_REQDATAVLD 16
10548#define M_TRGT1_REQDATAVLD 0xffffU
10549#define V_TRGT1_REQDATAVLD(x) ((x) << S_TRGT1_REQDATAVLD)
10550#define G_TRGT1_REQDATAVLD(x) (((x) >> S_TRGT1_REQDATAVLD) & M_TRGT1_REQDATAVLD)
10551
10552#define S_TRGT1_REQDATAVLD2 12
10553#define M_TRGT1_REQDATAVLD2 0xfU
10554#define V_TRGT1_REQDATAVLD2(x) ((x) << S_TRGT1_REQDATAVLD2)
10555#define G_TRGT1_REQDATAVLD2(x) (((x) >> S_TRGT1_REQDATAVLD2) & M_TRGT1_REQDATAVLD2)
10556
10557#define S_TRGT1_REQDATAVLD3 11
10558#define V_TRGT1_REQDATAVLD3(x) ((x) << S_TRGT1_REQDATAVLD3)
10559#define F_TRGT1_REQDATAVLD3 V_TRGT1_REQDATAVLD3(1U)
10560
10561#define S_TRGT1_REQDATAVLD4 10
10562#define V_TRGT1_REQDATAVLD4(x) ((x) << S_TRGT1_REQDATAVLD4)
10563#define F_TRGT1_REQDATAVLD4 V_TRGT1_REQDATAVLD4(1U)
10564
10565#define S_TRGT1_REQDATAVLD5 9
10566#define V_TRGT1_REQDATAVLD5(x) ((x) << S_TRGT1_REQDATAVLD5)
10567#define F_TRGT1_REQDATAVLD5 V_TRGT1_REQDATAVLD5(1U)
10568
10569#define S_TRGT1_REQDATAVLD6 8
10570#define V_TRGT1_REQDATAVLD6(x) ((x) << S_TRGT1_REQDATAVLD6)
10571#define F_TRGT1_REQDATAVLD6 V_TRGT1_REQDATAVLD6(1U)
10572
10573#define S_TRGT1_REQDATAVLD7 4
10574#define M_TRGT1_REQDATAVLD7 0xfU
10575#define V_TRGT1_REQDATAVLD7(x) ((x) << S_TRGT1_REQDATAVLD7)
10576#define G_TRGT1_REQDATAVLD7(x) (((x) >> S_TRGT1_REQDATAVLD7) & M_TRGT1_REQDATAVLD7)
10577
10578#define S_TRGT1_REQDATAVLD8 2
10579#define M_TRGT1_REQDATAVLD8 0x3U
10580#define V_TRGT1_REQDATAVLD8(x) ((x) << S_TRGT1_REQDATAVLD8)
10581#define G_TRGT1_REQDATAVLD8(x) (((x) >> S_TRGT1_REQDATAVLD8) & M_TRGT1_REQDATAVLD8)
10582
10583#define S_TRGT1_REQDATARDY 1
10584#define V_TRGT1_REQDATARDY(x) ((x) << S_TRGT1_REQDATARDY)
10585#define F_TRGT1_REQDATARDY V_TRGT1_REQDATARDY(1U)
10586
10587#define S_TRGT1_REQDATAVLD0 0
10588#define V_TRGT1_REQDATAVLD0(x) ((x) << S_TRGT1_REQDATAVLD0)
10589#define F_TRGT1_REQDATAVLD0 V_TRGT1_REQDATAVLD0(1U)
10590
10591#define A_PCIE_PDEBUG_REG_0X2A 0x2a
10592#define A_PCIE_PDEBUG_REG_0X2B 0x2b
10593
10594#define S_RADM_TRGT1_ADDR 20
10595#define M_RADM_TRGT1_ADDR 0xfffU
10596#define V_RADM_TRGT1_ADDR(x) ((x) << S_RADM_TRGT1_ADDR)
10597#define G_RADM_TRGT1_ADDR(x) (((x) >> S_RADM_TRGT1_ADDR) & M_RADM_TRGT1_ADDR)
10598
10599#define S_RADM_TRGT1_DWEN 16
10600#define M_RADM_TRGT1_DWEN 0xfU
10601#define V_RADM_TRGT1_DWEN(x) ((x) << S_RADM_TRGT1_DWEN)
10602#define G_RADM_TRGT1_DWEN(x) (((x) >> S_RADM_TRGT1_DWEN) & M_RADM_TRGT1_DWEN)
10603
10604#define S_RADM_TRGT1_FMT 14
10605#define M_RADM_TRGT1_FMT 0x3U
10606#define V_RADM_TRGT1_FMT(x) ((x) << S_RADM_TRGT1_FMT)
10607#define G_RADM_TRGT1_FMT(x) (((x) >> S_RADM_TRGT1_FMT) & M_RADM_TRGT1_FMT)
10608
10609#define S_RADM_TRGT1_TYPE 9
10610#define M_RADM_TRGT1_TYPE 0x1fU
10611#define V_RADM_TRGT1_TYPE(x) ((x) << S_RADM_TRGT1_TYPE)
10612#define G_RADM_TRGT1_TYPE(x) (((x) >> S_RADM_TRGT1_TYPE) & M_RADM_TRGT1_TYPE)
10613
10614#define S_RADM_TRGT1_IN_MEMBAR_RANGE 6
10615#define M_RADM_TRGT1_IN_MEMBAR_RANGE 0x7U
10616#define V_RADM_TRGT1_IN_MEMBAR_RANGE(x) ((x) << S_RADM_TRGT1_IN_MEMBAR_RANGE)
10617#define G_RADM_TRGT1_IN_MEMBAR_RANGE(x) (((x) >> S_RADM_TRGT1_IN_MEMBAR_RANGE) & M_RADM_TRGT1_IN_MEMBAR_RANGE)
10618
10619#define S_RADM_TRGT1_ECRC_ERR 5
10620#define V_RADM_TRGT1_ECRC_ERR(x) ((x) << S_RADM_TRGT1_ECRC_ERR)
10621#define F_RADM_TRGT1_ECRC_ERR V_RADM_TRGT1_ECRC_ERR(1U)
10622
10623#define S_RADM_TRGT1_DLLP_ABORT 4
10624#define V_RADM_TRGT1_DLLP_ABORT(x) ((x) << S_RADM_TRGT1_DLLP_ABORT)
10625#define F_RADM_TRGT1_DLLP_ABORT V_RADM_TRGT1_DLLP_ABORT(1U)
10626
10627#define S_RADM_TRGT1_TLP_ABORT 3
10628#define V_RADM_TRGT1_TLP_ABORT(x) ((x) << S_RADM_TRGT1_TLP_ABORT)
10629#define F_RADM_TRGT1_TLP_ABORT V_RADM_TRGT1_TLP_ABORT(1U)
10630
10631#define S_RADM_TRGT1_EOT 2
10632#define V_RADM_TRGT1_EOT(x) ((x) << S_RADM_TRGT1_EOT)
10633#define F_RADM_TRGT1_EOT V_RADM_TRGT1_EOT(1U)
10634
10635#define S_RADM_TRGT1_DV_2B 1
10636#define V_RADM_TRGT1_DV_2B(x) ((x) << S_RADM_TRGT1_DV_2B)
10637#define F_RADM_TRGT1_DV_2B V_RADM_TRGT1_DV_2B(1U)
10638
10639#define S_RADM_TRGT1_HV_2B 0
10640#define V_RADM_TRGT1_HV_2B(x) ((x) << S_RADM_TRGT1_HV_2B)
10641#define F_RADM_TRGT1_HV_2B V_RADM_TRGT1_HV_2B(1U)
10642
10643#define A_PCIE_PDEBUG_REG_0X2C 0x2c
10644
10645#define S_STATEMPIO 29
10646#define M_STATEMPIO 0x7U
10647#define V_STATEMPIO(x) ((x) << S_STATEMPIO)
10648#define G_STATEMPIO(x) (((x) >> S_STATEMPIO) & M_STATEMPIO)
10649
10650#define S_STATECPL 25
10651#define M_STATECPL 0xfU
10652#define V_STATECPL(x) ((x) << S_STATECPL)
10653#define G_STATECPL(x) (((x) >> S_STATECPL) & M_STATECPL)
10654
10655#define S_STATEALIN 22
10656#define M_STATEALIN 0x7U
10657#define V_STATEALIN(x) ((x) << S_STATEALIN)
10658#define G_STATEALIN(x) (((x) >> S_STATEALIN) & M_STATEALIN)
10659
10660#define S_STATEPL 19
10661#define M_STATEPL 0x7U
10662#define V_STATEPL(x) ((x) << S_STATEPL)
10663#define G_STATEPL(x) (((x) >> S_STATEPL) & M_STATEPL)
10664
10665#define S_STATEMARSP 18
10666#define V_STATEMARSP(x) ((x) << S_STATEMARSP)
10667#define F_STATEMARSP V_STATEMARSP(1U)
10668
10669#define S_MA_TAGSINUSE 11
10670#define M_MA_TAGSINUSE 0x7fU
10671#define V_MA_TAGSINUSE(x) ((x) << S_MA_TAGSINUSE)
10672#define G_MA_TAGSINUSE(x) (((x) >> S_MA_TAGSINUSE) & M_MA_TAGSINUSE)
10673
10674#define S_RADM_TRGT1_HSRDY 10
10675#define V_RADM_TRGT1_HSRDY(x) ((x) << S_RADM_TRGT1_HSRDY)
10676#define F_RADM_TRGT1_HSRDY V_RADM_TRGT1_HSRDY(1U)
10677
10678#define S_RADM_TRGT1_DSRDY 9
10679#define V_RADM_TRGT1_DSRDY(x) ((x) << S_RADM_TRGT1_DSRDY)
10680#define F_RADM_TRGT1_DSRDY V_RADM_TRGT1_DSRDY(1U)
10681
10682#define S_ALIND_REQWRDATAVLD 8
10683#define V_ALIND_REQWRDATAVLD(x) ((x) << S_ALIND_REQWRDATAVLD)
10684#define F_ALIND_REQWRDATAVLD V_ALIND_REQWRDATAVLD(1U)
10685
10686#define S_FID_LKUPWRHDRVLD 7
10687#define V_FID_LKUPWRHDRVLD(x) ((x) << S_FID_LKUPWRHDRVLD)
10688#define F_FID_LKUPWRHDRVLD V_FID_LKUPWRHDRVLD(1U)
10689
10690#define S_MPIO_WRVLD 6
10691#define V_MPIO_WRVLD(x) ((x) << S_MPIO_WRVLD)
10692#define F_MPIO_WRVLD V_MPIO_WRVLD(1U)
10693
10694#define S_TRGT1_RADM_HALT 5
10695#define V_TRGT1_RADM_HALT(x) ((x) << S_TRGT1_RADM_HALT)
10696#define F_TRGT1_RADM_HALT V_TRGT1_RADM_HALT(1U)
10697
10698#define S_RADM_TRGT1_DV_2C 4
10699#define V_RADM_TRGT1_DV_2C(x) ((x) << S_RADM_TRGT1_DV_2C)
10700#define F_RADM_TRGT1_DV_2C V_RADM_TRGT1_DV_2C(1U)
10701
10702#define S_RADM_TRGT1_DV_2C_2 3
10703#define V_RADM_TRGT1_DV_2C_2(x) ((x) << S_RADM_TRGT1_DV_2C_2)
10704#define F_RADM_TRGT1_DV_2C_2 V_RADM_TRGT1_DV_2C_2(1U)
10705
10706#define S_RADM_TRGT1_TLP_ABORT_2C 2
10707#define V_RADM_TRGT1_TLP_ABORT_2C(x) ((x) << S_RADM_TRGT1_TLP_ABORT_2C)
10708#define F_RADM_TRGT1_TLP_ABORT_2C V_RADM_TRGT1_TLP_ABORT_2C(1U)
10709
10710#define S_RADM_TRGT1_DLLP_ABORT_2C 1
10711#define V_RADM_TRGT1_DLLP_ABORT_2C(x) ((x) << S_RADM_TRGT1_DLLP_ABORT_2C)
10712#define F_RADM_TRGT1_DLLP_ABORT_2C V_RADM_TRGT1_DLLP_ABORT_2C(1U)
10713
10714#define S_RADM_TRGT1_ECRC_ERR_2C 0
10715#define V_RADM_TRGT1_ECRC_ERR_2C(x) ((x) << S_RADM_TRGT1_ECRC_ERR_2C)
10716#define F_RADM_TRGT1_ECRC_ERR_2C V_RADM_TRGT1_ECRC_ERR_2C(1U)
10717
10718#define A_PCIE_PDEBUG_REG_0X2D 0x2d
10719
10720#define S_RADM_TRGT1_HV_2D 31
10721#define V_RADM_TRGT1_HV_2D(x) ((x) << S_RADM_TRGT1_HV_2D)
10722#define F_RADM_TRGT1_HV_2D V_RADM_TRGT1_HV_2D(1U)
10723
10724#define S_RADM_TRGT1_DV_2D 30
10725#define V_RADM_TRGT1_DV_2D(x) ((x) << S_RADM_TRGT1_DV_2D)
10726#define F_RADM_TRGT1_DV_2D V_RADM_TRGT1_DV_2D(1U)
10727
10728#define S_RADM_TRGT1_HV2 23
10729#define M_RADM_TRGT1_HV2 0x7fU
10730#define V_RADM_TRGT1_HV2(x) ((x) << S_RADM_TRGT1_HV2)
10731#define G_RADM_TRGT1_HV2(x) (((x) >> S_RADM_TRGT1_HV2) & M_RADM_TRGT1_HV2)
10732
10733#define S_RADM_TRGT1_HV3 20
10734#define M_RADM_TRGT1_HV3 0x7U
10735#define V_RADM_TRGT1_HV3(x) ((x) << S_RADM_TRGT1_HV3)
10736#define G_RADM_TRGT1_HV3(x) (((x) >> S_RADM_TRGT1_HV3) & M_RADM_TRGT1_HV3)
10737
10738#define S_RADM_TRGT1_HV4 16
10739#define M_RADM_TRGT1_HV4 0xfU
10740#define V_RADM_TRGT1_HV4(x) ((x) << S_RADM_TRGT1_HV4)
10741#define G_RADM_TRGT1_HV4(x) (((x) >> S_RADM_TRGT1_HV4) & M_RADM_TRGT1_HV4)
10742
10743#define S_RADM_TRGT1_HV5 12
10744#define M_RADM_TRGT1_HV5 0xfU
10745#define V_RADM_TRGT1_HV5(x) ((x) << S_RADM_TRGT1_HV5)
10746#define G_RADM_TRGT1_HV5(x) (((x) >> S_RADM_TRGT1_HV5) & M_RADM_TRGT1_HV5)
10747
10748#define S_RADM_TRGT1_HV6 11
10749#define V_RADM_TRGT1_HV6(x) ((x) << S_RADM_TRGT1_HV6)
10750#define F_RADM_TRGT1_HV6 V_RADM_TRGT1_HV6(1U)
10751
10752#define S_RADM_TRGT1_HV7 10
10753#define V_RADM_TRGT1_HV7(x) ((x) << S_RADM_TRGT1_HV7)
10754#define F_RADM_TRGT1_HV7 V_RADM_TRGT1_HV7(1U)
10755
10756#define S_RADM_TRGT1_HV8 7
10757#define M_RADM_TRGT1_HV8 0x7U
10758#define V_RADM_TRGT1_HV8(x) ((x) << S_RADM_TRGT1_HV8)
10759#define G_RADM_TRGT1_HV8(x) (((x) >> S_RADM_TRGT1_HV8) & M_RADM_TRGT1_HV8)
10760
10761#define S_RADM_TRGT1_HV9 6
10762#define V_RADM_TRGT1_HV9(x) ((x) << S_RADM_TRGT1_HV9)
10763#define F_RADM_TRGT1_HV9 V_RADM_TRGT1_HV9(1U)
10764
10765#define S_RADM_TRGT1_HVA 5
10766#define V_RADM_TRGT1_HVA(x) ((x) << S_RADM_TRGT1_HVA)
10767#define F_RADM_TRGT1_HVA V_RADM_TRGT1_HVA(1U)
10768
10769#define S_RADM_TRGT1_DSRDY_2D 4
10770#define V_RADM_TRGT1_DSRDY_2D(x) ((x) << S_RADM_TRGT1_DSRDY_2D)
10771#define F_RADM_TRGT1_DSRDY_2D V_RADM_TRGT1_DSRDY_2D(1U)
10772
10773#define S_RADM_TRGT1_WRCNT 0
10774#define M_RADM_TRGT1_WRCNT 0xfU
10775#define V_RADM_TRGT1_WRCNT(x) ((x) << S_RADM_TRGT1_WRCNT)
10776#define G_RADM_TRGT1_WRCNT(x) (((x) >> S_RADM_TRGT1_WRCNT) & M_RADM_TRGT1_WRCNT)
10777
10778#define A_PCIE_PDEBUG_REG_0X2E 0x2e
10779
10780#define S_RADM_TRGT1_HV_2E 30
10781#define M_RADM_TRGT1_HV_2E 0x3U
10782#define V_RADM_TRGT1_HV_2E(x) ((x) << S_RADM_TRGT1_HV_2E)
10783#define G_RADM_TRGT1_HV_2E(x) (((x) >> S_RADM_TRGT1_HV_2E) & M_RADM_TRGT1_HV_2E)
10784
10785#define S_RADM_TRGT1_HV_2E_2 20
10786#define M_RADM_TRGT1_HV_2E_2 0x3ffU
10787#define V_RADM_TRGT1_HV_2E_2(x) ((x) << S_RADM_TRGT1_HV_2E_2)
10788#define G_RADM_TRGT1_HV_2E_2(x) (((x) >> S_RADM_TRGT1_HV_2E_2) & M_RADM_TRGT1_HV_2E_2)
10789
10790#define S_RADM_TRGT1_HV_WE_3 12
10791#define M_RADM_TRGT1_HV_WE_3 0xffU
10792#define V_RADM_TRGT1_HV_WE_3(x) ((x) << S_RADM_TRGT1_HV_WE_3)
10793#define G_RADM_TRGT1_HV_WE_3(x) (((x) >> S_RADM_TRGT1_HV_WE_3) & M_RADM_TRGT1_HV_WE_3)
10794
10795#define S_ALIN_REQDATAVLD4 8
10796#define M_ALIN_REQDATAVLD4 0xfU
10797#define V_ALIN_REQDATAVLD4(x) ((x) << S_ALIN_REQDATAVLD4)
10798#define G_ALIN_REQDATAVLD4(x) (((x) >> S_ALIN_REQDATAVLD4) & M_ALIN_REQDATAVLD4)
10799
10800#define S_ALIN_REQDATAVLD5 7
10801#define V_ALIN_REQDATAVLD5(x) ((x) << S_ALIN_REQDATAVLD5)
10802#define F_ALIN_REQDATAVLD5 V_ALIN_REQDATAVLD5(1U)
10803
10804#define S_ALIN_REQDATAVLD6 6
10805#define V_ALIN_REQDATAVLD6(x) ((x) << S_ALIN_REQDATAVLD6)
10806#define F_ALIN_REQDATAVLD6 V_ALIN_REQDATAVLD6(1U)
10807
10808#define S_ALIN_REQDATAVLD7 4
10809#define M_ALIN_REQDATAVLD7 0x3U
10810#define V_ALIN_REQDATAVLD7(x) ((x) << S_ALIN_REQDATAVLD7)
10811#define G_ALIN_REQDATAVLD7(x) (((x) >> S_ALIN_REQDATAVLD7) & M_ALIN_REQDATAVLD7)
10812
10813#define S_ALIN_REQDATAVLD8 3
10814#define V_ALIN_REQDATAVLD8(x) ((x) << S_ALIN_REQDATAVLD8)
10815#define F_ALIN_REQDATAVLD8 V_ALIN_REQDATAVLD8(1U)
10816
10817#define S_ALIN_REQDATAVLD9 2
10818#define V_ALIN_REQDATAVLD9(x) ((x) << S_ALIN_REQDATAVLD9)
10819#define F_ALIN_REQDATAVLD9 V_ALIN_REQDATAVLD9(1U)
10820
10821#define S_ALIN_REQDATARDY 1
10822#define V_ALIN_REQDATARDY(x) ((x) << S_ALIN_REQDATARDY)
10823#define F_ALIN_REQDATARDY V_ALIN_REQDATARDY(1U)
10824
10825#define S_ALIN_REQDATAVLDA 0
10826#define V_ALIN_REQDATAVLDA(x) ((x) << S_ALIN_REQDATAVLDA)
10827#define F_ALIN_REQDATAVLDA V_ALIN_REQDATAVLDA(1U)
10828
10829#define A_PCIE_PDEBUG_REG_0X2F 0x2f
10830#define A_PCIE_PDEBUG_REG_0X30 0x30
10831
10832#define S_RADM_TRGT1_HV_30 25
10833#define M_RADM_TRGT1_HV_30 0x7fU
10834#define V_RADM_TRGT1_HV_30(x) ((x) << S_RADM_TRGT1_HV_30)
10835#define G_RADM_TRGT1_HV_30(x) (((x) >> S_RADM_TRGT1_HV_30) & M_RADM_TRGT1_HV_30)
10836
10837#define S_PIO_WRCNT 15
10838#define M_PIO_WRCNT 0x3ffU
10839#define V_PIO_WRCNT(x) ((x) << S_PIO_WRCNT)
10840#define G_PIO_WRCNT(x) (((x) >> S_PIO_WRCNT) & M_PIO_WRCNT)
10841
10842#define S_ALIND_REQWRCNT 12
10843#define M_ALIND_REQWRCNT 0x7U
10844#define V_ALIND_REQWRCNT(x) ((x) << S_ALIND_REQWRCNT)
10845#define G_ALIND_REQWRCNT(x) (((x) >> S_ALIND_REQWRCNT) & M_ALIND_REQWRCNT)
10846
10847#define S_FID_LKUPWRCNT 9
10848#define M_FID_LKUPWRCNT 0x7U
10849#define V_FID_LKUPWRCNT(x) ((x) << S_FID_LKUPWRCNT)
10850#define G_FID_LKUPWRCNT(x) (((x) >> S_FID_LKUPWRCNT) & M_FID_LKUPWRCNT)
10851
10852#define S_ALIND_REQRDDATAVLD 8
10853#define V_ALIND_REQRDDATAVLD(x) ((x) << S_ALIND_REQRDDATAVLD)
10854#define F_ALIND_REQRDDATAVLD V_ALIND_REQRDDATAVLD(1U)
10855
10856#define S_ALIND_REQRDDATARDY 7
10857#define V_ALIND_REQRDDATARDY(x) ((x) << S_ALIND_REQRDDATARDY)
10858#define F_ALIND_REQRDDATARDY V_ALIND_REQRDDATARDY(1U)
10859
10860#define S_ALIND_REQRDDATAVLD2 6
10861#define V_ALIND_REQRDDATAVLD2(x) ((x) << S_ALIND_REQRDDATAVLD2)
10862#define F_ALIND_REQRDDATAVLD2 V_ALIND_REQRDDATAVLD2(1U)
10863
10864#define S_ALIND_REQWRDATAVLD3 3
10865#define M_ALIND_REQWRDATAVLD3 0x7U
10866#define V_ALIND_REQWRDATAVLD3(x) ((x) << S_ALIND_REQWRDATAVLD3)
10867#define G_ALIND_REQWRDATAVLD3(x) (((x) >> S_ALIND_REQWRDATAVLD3) & M_ALIND_REQWRDATAVLD3)
10868
10869#define S_ALIND_REQWRDATAVLD4 2
10870#define V_ALIND_REQWRDATAVLD4(x) ((x) << S_ALIND_REQWRDATAVLD4)
10871#define F_ALIND_REQWRDATAVLD4 V_ALIND_REQWRDATAVLD4(1U)
10872
10873#define S_ALIND_REQWRDATARDYOPEN 1
10874#define V_ALIND_REQWRDATARDYOPEN(x) ((x) << S_ALIND_REQWRDATARDYOPEN)
10875#define F_ALIND_REQWRDATARDYOPEN V_ALIND_REQWRDATARDYOPEN(1U)
10876
10877#define S_ALIND_REQWRDATAVLD5 0
10878#define V_ALIND_REQWRDATAVLD5(x) ((x) << S_ALIND_REQWRDATAVLD5)
10879#define F_ALIND_REQWRDATAVLD5 V_ALIND_REQWRDATAVLD5(1U)
10880
10881#define A_PCIE_PDEBUG_REG_0X31 0x31
10882#define A_PCIE_PDEBUG_REG_0X32 0x32
10883#define A_PCIE_PDEBUG_REG_0X33 0x33
10884#define A_PCIE_PDEBUG_REG_0X34 0x34
10885#define A_PCIE_PDEBUG_REG_0X35 0x35
10886
10887#define S_T5_MPIO_WRVLD 19
10888#define M_T5_MPIO_WRVLD 0x1fffU
10889#define V_T5_MPIO_WRVLD(x) ((x) << S_T5_MPIO_WRVLD)
10890#define G_T5_MPIO_WRVLD(x) (((x) >> S_T5_MPIO_WRVLD) & M_T5_MPIO_WRVLD)
10891
10892#define S_FID_LKUPRDHDRVLD 18
10893#define V_FID_LKUPRDHDRVLD(x) ((x) << S_FID_LKUPRDHDRVLD)
10894#define F_FID_LKUPRDHDRVLD V_FID_LKUPRDHDRVLD(1U)
10895
10896#define S_FID_LKUPRDHDRVLD2 17
10897#define V_FID_LKUPRDHDRVLD2(x) ((x) << S_FID_LKUPRDHDRVLD2)
10898#define F_FID_LKUPRDHDRVLD2 V_FID_LKUPRDHDRVLD2(1U)
10899
10900#define S_FID_LKUPRDHDRVLD3 16
10901#define V_FID_LKUPRDHDRVLD3(x) ((x) << S_FID_LKUPRDHDRVLD3)
10902#define F_FID_LKUPRDHDRVLD3 V_FID_LKUPRDHDRVLD3(1U)
10903
10904#define S_FID_LKUPRDHDRVLD4 15
10905#define V_FID_LKUPRDHDRVLD4(x) ((x) << S_FID_LKUPRDHDRVLD4)
10906#define F_FID_LKUPRDHDRVLD4 V_FID_LKUPRDHDRVLD4(1U)
10907
10908#define S_FID_LKUPRDHDRVLD5 14
10909#define V_FID_LKUPRDHDRVLD5(x) ((x) << S_FID_LKUPRDHDRVLD5)
10910#define F_FID_LKUPRDHDRVLD5 V_FID_LKUPRDHDRVLD5(1U)
10911
10912#define S_FID_LKUPRDHDRVLD6 13
10913#define V_FID_LKUPRDHDRVLD6(x) ((x) << S_FID_LKUPRDHDRVLD6)
10914#define F_FID_LKUPRDHDRVLD6 V_FID_LKUPRDHDRVLD6(1U)
10915
10916#define S_FID_LKUPRDHDRVLD7 12
10917#define V_FID_LKUPRDHDRVLD7(x) ((x) << S_FID_LKUPRDHDRVLD7)
10918#define F_FID_LKUPRDHDRVLD7 V_FID_LKUPRDHDRVLD7(1U)
10919
10920#define S_FID_LKUPRDHDRVLD8 11
10921#define V_FID_LKUPRDHDRVLD8(x) ((x) << S_FID_LKUPRDHDRVLD8)
10922#define F_FID_LKUPRDHDRVLD8 V_FID_LKUPRDHDRVLD8(1U)
10923
10924#define S_FID_LKUPRDHDRVLD9 10
10925#define V_FID_LKUPRDHDRVLD9(x) ((x) << S_FID_LKUPRDHDRVLD9)
10926#define F_FID_LKUPRDHDRVLD9 V_FID_LKUPRDHDRVLD9(1U)
10927
10928#define S_FID_LKUPRDHDRVLDA 9
10929#define V_FID_LKUPRDHDRVLDA(x) ((x) << S_FID_LKUPRDHDRVLDA)
10930#define F_FID_LKUPRDHDRVLDA V_FID_LKUPRDHDRVLDA(1U)
10931
10932#define S_FID_LKUPRDHDRVLDB 8
10933#define V_FID_LKUPRDHDRVLDB(x) ((x) << S_FID_LKUPRDHDRVLDB)
10934#define F_FID_LKUPRDHDRVLDB V_FID_LKUPRDHDRVLDB(1U)
10935
10936#define S_FID_LKUPRDHDRVLDC 7
10937#define V_FID_LKUPRDHDRVLDC(x) ((x) << S_FID_LKUPRDHDRVLDC)
10938#define F_FID_LKUPRDHDRVLDC V_FID_LKUPRDHDRVLDC(1U)
10939
10940#define S_MPIO_WRVLD1 6
10941#define V_MPIO_WRVLD1(x) ((x) << S_MPIO_WRVLD1)
10942#define F_MPIO_WRVLD1 V_MPIO_WRVLD1(1U)
10943
10944#define S_MPIO_WRVLD2 5
10945#define V_MPIO_WRVLD2(x) ((x) << S_MPIO_WRVLD2)
10946#define F_MPIO_WRVLD2 V_MPIO_WRVLD2(1U)
10947
10948#define S_MPIO_WRVLD3 4
10949#define V_MPIO_WRVLD3(x) ((x) << S_MPIO_WRVLD3)
10950#define F_MPIO_WRVLD3 V_MPIO_WRVLD3(1U)
10951
10952#define S_MPIO_WRVLD4 0
10953#define M_MPIO_WRVLD4 0xfU
10954#define V_MPIO_WRVLD4(x) ((x) << S_MPIO_WRVLD4)
10955#define G_MPIO_WRVLD4(x) (((x) >> S_MPIO_WRVLD4) & M_MPIO_WRVLD4)
10956
10957#define A_PCIE_PDEBUG_REG_0X36 0x36
10958#define A_PCIE_PDEBUG_REG_0X37 0x37
10959#define A_PCIE_PDEBUG_REG_0X38 0x38
10960#define A_PCIE_PDEBUG_REG_0X39 0x39
10961#define A_PCIE_PDEBUG_REG_0X3A 0x3a
10962
10963#define S_CLIENT0_TLP_VFUNC_ACTIVE 31
10964#define V_CLIENT0_TLP_VFUNC_ACTIVE(x) ((x) << S_CLIENT0_TLP_VFUNC_ACTIVE)
10965#define F_CLIENT0_TLP_VFUNC_ACTIVE V_CLIENT0_TLP_VFUNC_ACTIVE(1U)
10966
10967#define S_CLIENT0_TLP_VFUNC_NUM 24
10968#define M_CLIENT0_TLP_VFUNC_NUM 0x7fU
10969#define V_CLIENT0_TLP_VFUNC_NUM(x) ((x) << S_CLIENT0_TLP_VFUNC_NUM)
10970#define G_CLIENT0_TLP_VFUNC_NUM(x) (((x) >> S_CLIENT0_TLP_VFUNC_NUM) & M_CLIENT0_TLP_VFUNC_NUM)
10971
10972#define S_CLIENT0_TLP_FUNC_NUM 21
10973#define M_CLIENT0_TLP_FUNC_NUM 0x7U
10974#define V_CLIENT0_TLP_FUNC_NUM(x) ((x) << S_CLIENT0_TLP_FUNC_NUM)
10975#define G_CLIENT0_TLP_FUNC_NUM(x) (((x) >> S_CLIENT0_TLP_FUNC_NUM) & M_CLIENT0_TLP_FUNC_NUM)
10976
10977#define S_CLIENT0_TLP_BYTE_EN 13
10978#define M_CLIENT0_TLP_BYTE_EN 0xffU
10979#define V_CLIENT0_TLP_BYTE_EN(x) ((x) << S_CLIENT0_TLP_BYTE_EN)
10980#define G_CLIENT0_TLP_BYTE_EN(x) (((x) >> S_CLIENT0_TLP_BYTE_EN) & M_CLIENT0_TLP_BYTE_EN)
10981
10982#define S_CLIENT0_TLP_BYTE_LEN 0
10983#define M_CLIENT0_TLP_BYTE_LEN 0x1fffU
10984#define V_CLIENT0_TLP_BYTE_LEN(x) ((x) << S_CLIENT0_TLP_BYTE_LEN)
10985#define G_CLIENT0_TLP_BYTE_LEN(x) (((x) >> S_CLIENT0_TLP_BYTE_LEN) & M_CLIENT0_TLP_BYTE_LEN)
10986
10987#define A_PCIE_PDEBUG_REG_0X3B 0x3b
10988
10989#define S_XADM_CLIENT0_HALT 31
10990#define V_XADM_CLIENT0_HALT(x) ((x) << S_XADM_CLIENT0_HALT)
10991#define F_XADM_CLIENT0_HALT V_XADM_CLIENT0_HALT(1U)
10992
10993#define S_CLIENT0_TLP_DV 30
10994#define V_CLIENT0_TLP_DV(x) ((x) << S_CLIENT0_TLP_DV)
10995#define F_CLIENT0_TLP_DV V_CLIENT0_TLP_DV(1U)
10996
10997#define S_CLIENT0_ADDR_ALIGN_EN 29
10998#define V_CLIENT0_ADDR_ALIGN_EN(x) ((x) << S_CLIENT0_ADDR_ALIGN_EN)
10999#define F_CLIENT0_ADDR_ALIGN_EN V_CLIENT0_ADDR_ALIGN_EN(1U)
11000
11001#define S_CLIENT0_CPL_BCM 28
11002#define V_CLIENT0_CPL_BCM(x) ((x) << S_CLIENT0_CPL_BCM)
11003#define F_CLIENT0_CPL_BCM V_CLIENT0_CPL_BCM(1U)
11004
11005#define S_CLIENT0_TLP_EP 27
11006#define V_CLIENT0_TLP_EP(x) ((x) << S_CLIENT0_TLP_EP)
11007#define F_CLIENT0_TLP_EP V_CLIENT0_TLP_EP(1U)
11008
11009#define S_CLIENT0_CPL_STATUS 24
11010#define M_CLIENT0_CPL_STATUS 0x7U
11011#define V_CLIENT0_CPL_STATUS(x) ((x) << S_CLIENT0_CPL_STATUS)
11012#define G_CLIENT0_CPL_STATUS(x) (((x) >> S_CLIENT0_CPL_STATUS) & M_CLIENT0_CPL_STATUS)
11013
11014#define S_CLIENT0_TLP_TD 23
11015#define V_CLIENT0_TLP_TD(x) ((x) << S_CLIENT0_TLP_TD)
11016#define F_CLIENT0_TLP_TD V_CLIENT0_TLP_TD(1U)
11017
11018#define S_CLIENT0_TLP_TYPE 18
11019#define M_CLIENT0_TLP_TYPE 0x1fU
11020#define V_CLIENT0_TLP_TYPE(x) ((x) << S_CLIENT0_TLP_TYPE)
11021#define G_CLIENT0_TLP_TYPE(x) (((x) >> S_CLIENT0_TLP_TYPE) & M_CLIENT0_TLP_TYPE)
11022
11023#define S_CLIENT0_TLP_FMT 16
11024#define M_CLIENT0_TLP_FMT 0x3U
11025#define V_CLIENT0_TLP_FMT(x) ((x) << S_CLIENT0_TLP_FMT)
11026#define G_CLIENT0_TLP_FMT(x) (((x) >> S_CLIENT0_TLP_FMT) & M_CLIENT0_TLP_FMT)
11027
11028#define S_CLIENT0_TLP_BAD_EOT 15
11029#define V_CLIENT0_TLP_BAD_EOT(x) ((x) << S_CLIENT0_TLP_BAD_EOT)
11030#define F_CLIENT0_TLP_BAD_EOT V_CLIENT0_TLP_BAD_EOT(1U)
11031
11032#define S_CLIENT0_TLP_EOT 14
11033#define V_CLIENT0_TLP_EOT(x) ((x) << S_CLIENT0_TLP_EOT)
11034#define F_CLIENT0_TLP_EOT V_CLIENT0_TLP_EOT(1U)
11035
11036#define S_CLIENT0_TLP_ATTR 11
11037#define M_CLIENT0_TLP_ATTR 0x7U
11038#define V_CLIENT0_TLP_ATTR(x) ((x) << S_CLIENT0_TLP_ATTR)
11039#define G_CLIENT0_TLP_ATTR(x) (((x) >> S_CLIENT0_TLP_ATTR) & M_CLIENT0_TLP_ATTR)
11040
11041#define S_CLIENT0_TLP_TC 8
11042#define M_CLIENT0_TLP_TC 0x7U
11043#define V_CLIENT0_TLP_TC(x) ((x) << S_CLIENT0_TLP_TC)
11044#define G_CLIENT0_TLP_TC(x) (((x) >> S_CLIENT0_TLP_TC) & M_CLIENT0_TLP_TC)
11045
11046#define S_CLIENT0_TLP_TID 0
11047#define M_CLIENT0_TLP_TID 0xffU
11048#define V_CLIENT0_TLP_TID(x) ((x) << S_CLIENT0_TLP_TID)
11049#define G_CLIENT0_TLP_TID(x) (((x) >> S_CLIENT0_TLP_TID) & M_CLIENT0_TLP_TID)
11050
11051#define A_PCIE_PDEBUG_REG_0X3C 0x3c
11052
11053#define S_MEM_RSPRRAVLD 31
11054#define V_MEM_RSPRRAVLD(x) ((x) << S_MEM_RSPRRAVLD)
11055#define F_MEM_RSPRRAVLD V_MEM_RSPRRAVLD(1U)
11056
11057#define S_MEM_RSPRRARDY 30
11058#define V_MEM_RSPRRARDY(x) ((x) << S_MEM_RSPRRARDY)
11059#define F_MEM_RSPRRARDY V_MEM_RSPRRARDY(1U)
11060
11061#define S_PIO_RSPRRAVLD 29
11062#define V_PIO_RSPRRAVLD(x) ((x) << S_PIO_RSPRRAVLD)
11063#define F_PIO_RSPRRAVLD V_PIO_RSPRRAVLD(1U)
11064
11065#define S_PIO_RSPRRARDY 28
11066#define V_PIO_RSPRRARDY(x) ((x) << S_PIO_RSPRRARDY)
11067#define F_PIO_RSPRRARDY V_PIO_RSPRRARDY(1U)
11068
11069#define S_MEM_RSPRDVLD 27
11070#define V_MEM_RSPRDVLD(x) ((x) << S_MEM_RSPRDVLD)
11071#define F_MEM_RSPRDVLD V_MEM_RSPRDVLD(1U)
11072
11073#define S_MEM_RSPRDRRARDY 26
11074#define V_MEM_RSPRDRRARDY(x) ((x) << S_MEM_RSPRDRRARDY)
11075#define F_MEM_RSPRDRRARDY V_MEM_RSPRDRRARDY(1U)
11076
11077#define S_PIO_RSPRDVLD 25
11078#define V_PIO_RSPRDVLD(x) ((x) << S_PIO_RSPRDVLD)
11079#define F_PIO_RSPRDVLD V_PIO_RSPRDVLD(1U)
11080
11081#define S_PIO_RSPRDRRARDY 24
11082#define V_PIO_RSPRDRRARDY(x) ((x) << S_PIO_RSPRDRRARDY)
11083#define F_PIO_RSPRDRRARDY V_PIO_RSPRDRRARDY(1U)
11084
11085#define S_TGT_TAGQ_RDVLD 16
11086#define M_TGT_TAGQ_RDVLD 0xffU
11087#define V_TGT_TAGQ_RDVLD(x) ((x) << S_TGT_TAGQ_RDVLD)
11088#define G_TGT_TAGQ_RDVLD(x) (((x) >> S_TGT_TAGQ_RDVLD) & M_TGT_TAGQ_RDVLD)
11089
11090#define S_CPLTXNDISABLE 8
11091#define M_CPLTXNDISABLE 0xffU
11092#define V_CPLTXNDISABLE(x) ((x) << S_CPLTXNDISABLE)
11093#define G_CPLTXNDISABLE(x) (((x) >> S_CPLTXNDISABLE) & M_CPLTXNDISABLE)
11094
11095#define S_CPLTXNDISABLE2 7
11096#define V_CPLTXNDISABLE2(x) ((x) << S_CPLTXNDISABLE2)
11097#define F_CPLTXNDISABLE2 V_CPLTXNDISABLE2(1U)
11098
11099#define S_CLIENT0_TLP_HV 0
11100#define M_CLIENT0_TLP_HV 0x7fU
11101#define V_CLIENT0_TLP_HV(x) ((x) << S_CLIENT0_TLP_HV)
11102#define G_CLIENT0_TLP_HV(x) (((x) >> S_CLIENT0_TLP_HV) & M_CLIENT0_TLP_HV)
11103
11104#define A_PCIE_PDEBUG_REG_0X3D 0x3d
11105#define A_PCIE_PDEBUG_REG_0X3E 0x3e
11106#define A_PCIE_PDEBUG_REG_0X3F 0x3f
11107#define A_PCIE_PDEBUG_REG_0X40 0x40
11108#define A_PCIE_PDEBUG_REG_0X41 0x41
11109#define A_PCIE_PDEBUG_REG_0X42 0x42
11110#define A_PCIE_PDEBUG_REG_0X43 0x43
11111#define A_PCIE_PDEBUG_REG_0X44 0x44
11112#define A_PCIE_PDEBUG_REG_0X45 0x45
11113#define A_PCIE_PDEBUG_REG_0X46 0x46
11114#define A_PCIE_PDEBUG_REG_0X47 0x47
11115#define A_PCIE_PDEBUG_REG_0X48 0x48
11116#define A_PCIE_PDEBUG_REG_0X49 0x49
11117#define A_PCIE_PDEBUG_REG_0X4A 0x4a
11118#define A_PCIE_PDEBUG_REG_0X4B 0x4b
11119#define A_PCIE_PDEBUG_REG_0X4C 0x4c
11120#define A_PCIE_PDEBUG_REG_0X4D 0x4d
11121#define A_PCIE_PDEBUG_REG_0X4E 0x4e
11122#define A_PCIE_PDEBUG_REG_0X4F 0x4f
11123#define A_PCIE_PDEBUG_REG_0X50 0x50
11124#define A_PCIE_CDEBUG_REG_0X0 0x0
11125#define A_PCIE_CDEBUG_REG_0X1 0x1
11126#define A_PCIE_CDEBUG_REG_0X2 0x2
11127
11128#define S_FLR_REQVLD 31
11129#define V_FLR_REQVLD(x) ((x) << S_FLR_REQVLD)
11130#define F_FLR_REQVLD V_FLR_REQVLD(1U)
11131
11132#define S_D_RSPVLD 28
11133#define M_D_RSPVLD 0x7U
11134#define V_D_RSPVLD(x) ((x) << S_D_RSPVLD)
11135#define G_D_RSPVLD(x) (((x) >> S_D_RSPVLD) & M_D_RSPVLD)
11136
11137#define S_D_RSPVLD2 27
11138#define V_D_RSPVLD2(x) ((x) << S_D_RSPVLD2)
11139#define F_D_RSPVLD2 V_D_RSPVLD2(1U)
11140
11141#define S_D_RSPVLD3 26
11142#define V_D_RSPVLD3(x) ((x) << S_D_RSPVLD3)
11143#define F_D_RSPVLD3 V_D_RSPVLD3(1U)
11144
11145#define S_D_RSPVLD4 25
11146#define V_D_RSPVLD4(x) ((x) << S_D_RSPVLD4)
11147#define F_D_RSPVLD4 V_D_RSPVLD4(1U)
11148
11149#define S_D_RSPVLD5 24
11150#define V_D_RSPVLD5(x) ((x) << S_D_RSPVLD5)
11151#define F_D_RSPVLD5 V_D_RSPVLD5(1U)
11152
11153#define S_D_RSPVLD6 20
11154#define M_D_RSPVLD6 0xfU
11155#define V_D_RSPVLD6(x) ((x) << S_D_RSPVLD6)
11156#define G_D_RSPVLD6(x) (((x) >> S_D_RSPVLD6) & M_D_RSPVLD6)
11157
11158#define S_D_RSPAFULL 16
11159#define M_D_RSPAFULL 0xfU
11160#define V_D_RSPAFULL(x) ((x) << S_D_RSPAFULL)
11161#define G_D_RSPAFULL(x) (((x) >> S_D_RSPAFULL) & M_D_RSPAFULL)
11162
11163#define S_D_RDREQVLD 12
11164#define M_D_RDREQVLD 0xfU
11165#define V_D_RDREQVLD(x) ((x) << S_D_RDREQVLD)
11166#define G_D_RDREQVLD(x) (((x) >> S_D_RDREQVLD) & M_D_RDREQVLD)
11167
11168#define S_D_RDREQAFULL 8
11169#define M_D_RDREQAFULL 0xfU
11170#define V_D_RDREQAFULL(x) ((x) << S_D_RDREQAFULL)
11171#define G_D_RDREQAFULL(x) (((x) >> S_D_RDREQAFULL) & M_D_RDREQAFULL)
11172
11173#define S_D_WRREQVLD 4
11174#define M_D_WRREQVLD 0xfU
11175#define V_D_WRREQVLD(x) ((x) << S_D_WRREQVLD)
11176#define G_D_WRREQVLD(x) (((x) >> S_D_WRREQVLD) & M_D_WRREQVLD)
11177
11178#define S_D_WRREQAFULL 0
11179#define M_D_WRREQAFULL 0xfU
11180#define V_D_WRREQAFULL(x) ((x) << S_D_WRREQAFULL)
11181#define G_D_WRREQAFULL(x) (((x) >> S_D_WRREQAFULL) & M_D_WRREQAFULL)
11182
11183#define A_PCIE_CDEBUG_REG_0X3 0x3
11184
11185#define S_C_REQVLD 19
11186#define M_C_REQVLD 0x1fffU
11187#define V_C_REQVLD(x) ((x) << S_C_REQVLD)
11188#define G_C_REQVLD(x) (((x) >> S_C_REQVLD) & M_C_REQVLD)
11189
11190#define S_C_RSPVLD2 16
11191#define M_C_RSPVLD2 0x7U
11192#define V_C_RSPVLD2(x) ((x) << S_C_RSPVLD2)
11193#define G_C_RSPVLD2(x) (((x) >> S_C_RSPVLD2) & M_C_RSPVLD2)
11194
11195#define S_C_RSPVLD3 15
11196#define V_C_RSPVLD3(x) ((x) << S_C_RSPVLD3)
11197#define F_C_RSPVLD3 V_C_RSPVLD3(1U)
11198
11199#define S_C_RSPVLD4 14
11200#define V_C_RSPVLD4(x) ((x) << S_C_RSPVLD4)
11201#define F_C_RSPVLD4 V_C_RSPVLD4(1U)
11202
11203#define S_C_RSPVLD5 13
11204#define V_C_RSPVLD5(x) ((x) << S_C_RSPVLD5)
11205#define F_C_RSPVLD5 V_C_RSPVLD5(1U)
11206
11207#define S_C_RSPVLD6 12
11208#define V_C_RSPVLD6(x) ((x) << S_C_RSPVLD6)
11209#define F_C_RSPVLD6 V_C_RSPVLD6(1U)
11210
11211#define S_C_RSPVLD7 9
11212#define M_C_RSPVLD7 0x7U
11213#define V_C_RSPVLD7(x) ((x) << S_C_RSPVLD7)
11214#define G_C_RSPVLD7(x) (((x) >> S_C_RSPVLD7) & M_C_RSPVLD7)
11215
11216#define S_C_RSPAFULL 6
11217#define M_C_RSPAFULL 0x7U
11218#define V_C_RSPAFULL(x) ((x) << S_C_RSPAFULL)
11219#define G_C_RSPAFULL(x) (((x) >> S_C_RSPAFULL) & M_C_RSPAFULL)
11220
11221#define S_C_REQVLD8 3
11222#define M_C_REQVLD8 0x7U
11223#define V_C_REQVLD8(x) ((x) << S_C_REQVLD8)
11224#define G_C_REQVLD8(x) (((x) >> S_C_REQVLD8) & M_C_REQVLD8)
11225
11226#define S_C_REQAFULL 0
11227#define M_C_REQAFULL 0x7U
11228#define V_C_REQAFULL(x) ((x) << S_C_REQAFULL)
11229#define G_C_REQAFULL(x) (((x) >> S_C_REQAFULL) & M_C_REQAFULL)
11230
11231#define A_PCIE_CDEBUG_REG_0X4 0x4
11232
11233#define S_H_REQVLD 7
11234#define M_H_REQVLD 0x1ffffffU
11235#define V_H_REQVLD(x) ((x) << S_H_REQVLD)
11236#define G_H_REQVLD(x) (((x) >> S_H_REQVLD) & M_H_REQVLD)
11237
11238#define S_H_RSPVLD 6
11239#define V_H_RSPVLD(x) ((x) << S_H_RSPVLD)
11240#define F_H_RSPVLD V_H_RSPVLD(1U)
11241
11242#define S_H_RSPVLD2 5
11243#define V_H_RSPVLD2(x) ((x) << S_H_RSPVLD2)
11244#define F_H_RSPVLD2 V_H_RSPVLD2(1U)
11245
11246#define S_H_RSPVLD3 4
11247#define V_H_RSPVLD3(x) ((x) << S_H_RSPVLD3)
11248#define F_H_RSPVLD3 V_H_RSPVLD3(1U)
11249
11250#define S_H_RSPVLD4 3
11251#define V_H_RSPVLD4(x) ((x) << S_H_RSPVLD4)
11252#define F_H_RSPVLD4 V_H_RSPVLD4(1U)
11253
11254#define S_H_RSPAFULL 2
11255#define V_H_RSPAFULL(x) ((x) << S_H_RSPAFULL)
11256#define F_H_RSPAFULL V_H_RSPAFULL(1U)
11257
11258#define S_H_REQVLD2 1
11259#define V_H_REQVLD2(x) ((x) << S_H_REQVLD2)
11260#define F_H_REQVLD2 V_H_REQVLD2(1U)
11261
11262#define S_H_REQAFULL 0
11263#define V_H_REQAFULL(x) ((x) << S_H_REQAFULL)
11264#define F_H_REQAFULL V_H_REQAFULL(1U)
11265
11266#define A_PCIE_CDEBUG_REG_0X5 0x5
11267
11268#define S_ER_RSPVLD 16
11269#define M_ER_RSPVLD 0xffffU
11270#define V_ER_RSPVLD(x) ((x) << S_ER_RSPVLD)
11271#define G_ER_RSPVLD(x) (((x) >> S_ER_RSPVLD) & M_ER_RSPVLD)
11272
11273#define S_ER_REQVLD2 5
11274#define M_ER_REQVLD2 0x7ffU
11275#define V_ER_REQVLD2(x) ((x) << S_ER_REQVLD2)
11276#define G_ER_REQVLD2(x) (((x) >> S_ER_REQVLD2) & M_ER_REQVLD2)
11277
11278#define S_ER_REQVLD3 2
11279#define M_ER_REQVLD3 0x7U
11280#define V_ER_REQVLD3(x) ((x) << S_ER_REQVLD3)
11281#define G_ER_REQVLD3(x) (((x) >> S_ER_REQVLD3) & M_ER_REQVLD3)
11282
11283#define S_ER_RSPVLD4 1
11284#define V_ER_RSPVLD4(x) ((x) << S_ER_RSPVLD4)
11285#define F_ER_RSPVLD4 V_ER_RSPVLD4(1U)
11286
11287#define S_ER_REQVLD5 0
11288#define V_ER_REQVLD5(x) ((x) << S_ER_REQVLD5)
11289#define F_ER_REQVLD5 V_ER_REQVLD5(1U)
11290
11291#define A_PCIE_CDEBUG_REG_0X6 0x6
11292
11293#define S_PL_BAR2_REQVLD 4
11294#define M_PL_BAR2_REQVLD 0xfffffffU
11295#define V_PL_BAR2_REQVLD(x) ((x) << S_PL_BAR2_REQVLD)
11296#define G_PL_BAR2_REQVLD(x) (((x) >> S_PL_BAR2_REQVLD) & M_PL_BAR2_REQVLD)
11297
11298#define S_PL_BAR2_REQVLD2 3
11299#define V_PL_BAR2_REQVLD2(x) ((x) << S_PL_BAR2_REQVLD2)
11300#define F_PL_BAR2_REQVLD2 V_PL_BAR2_REQVLD2(1U)
11301
11302#define S_PL_BAR2_REQVLDE 2
11303#define V_PL_BAR2_REQVLDE(x) ((x) << S_PL_BAR2_REQVLDE)
11304#define F_PL_BAR2_REQVLDE V_PL_BAR2_REQVLDE(1U)
11305
11306#define S_PL_BAR2_REQFULL 1
11307#define V_PL_BAR2_REQFULL(x) ((x) << S_PL_BAR2_REQFULL)
11308#define F_PL_BAR2_REQFULL V_PL_BAR2_REQFULL(1U)
11309
11310#define S_PL_BAR2_REQVLD4 0
11311#define V_PL_BAR2_REQVLD4(x) ((x) << S_PL_BAR2_REQVLD4)
11312#define F_PL_BAR2_REQVLD4 V_PL_BAR2_REQVLD4(1U)
11313
11314#define A_PCIE_CDEBUG_REG_0X7 0x7
11315#define A_PCIE_CDEBUG_REG_0X8 0x8
11316#define A_PCIE_CDEBUG_REG_0X9 0x9
11317#define A_PCIE_CDEBUG_REG_0XA 0xa
11318
11319#define S_VPD_RSPVLD 20
11320#define M_VPD_RSPVLD 0xfffU
11321#define V_VPD_RSPVLD(x) ((x) << S_VPD_RSPVLD)
11322#define G_VPD_RSPVLD(x) (((x) >> S_VPD_RSPVLD) & M_VPD_RSPVLD)
11323
11324#define S_VPD_REQVLD2 9
11325#define M_VPD_REQVLD2 0x7ffU
11326#define V_VPD_REQVLD2(x) ((x) << S_VPD_REQVLD2)
11327#define G_VPD_REQVLD2(x) (((x) >> S_VPD_REQVLD2) & M_VPD_REQVLD2)
11328
11329#define S_VPD_REQVLD3 6
11330#define M_VPD_REQVLD3 0x7U
11331#define V_VPD_REQVLD3(x) ((x) << S_VPD_REQVLD3)
11332#define G_VPD_REQVLD3(x) (((x) >> S_VPD_REQVLD3) & M_VPD_REQVLD3)
11333
11334#define S_VPD_REQVLD4 5
11335#define V_VPD_REQVLD4(x) ((x) << S_VPD_REQVLD4)
11336#define F_VPD_REQVLD4 V_VPD_REQVLD4(1U)
11337
11338#define S_VPD_REQVLD5 3
11339#define M_VPD_REQVLD5 0x3U
11340#define V_VPD_REQVLD5(x) ((x) << S_VPD_REQVLD5)
11341#define G_VPD_REQVLD5(x) (((x) >> S_VPD_REQVLD5) & M_VPD_REQVLD5)
11342
11343#define S_VPD_RSPVLD2 2
11344#define V_VPD_RSPVLD2(x) ((x) << S_VPD_RSPVLD2)
11345#define F_VPD_RSPVLD2 V_VPD_RSPVLD2(1U)
11346
11347#define S_VPD_RSPVLD3 1
11348#define V_VPD_RSPVLD3(x) ((x) << S_VPD_RSPVLD3)
11349#define F_VPD_RSPVLD3 V_VPD_RSPVLD3(1U)
11350
11351#define S_VPD_REQVLD6 0
11352#define V_VPD_REQVLD6(x) ((x) << S_VPD_REQVLD6)
11353#define F_VPD_REQVLD6 V_VPD_REQVLD6(1U)
11354
11355#define A_PCIE_CDEBUG_REG_0XB 0xb
11356
11357#define S_MA_REQDATAVLD 28
11358#define M_MA_REQDATAVLD 0xfU
11359#define V_MA_REQDATAVLD(x) ((x) << S_MA_REQDATAVLD)
11360#define G_MA_REQDATAVLD(x) (((x) >> S_MA_REQDATAVLD) & M_MA_REQDATAVLD)
11361
11362#define S_MA_REQADDRVLD 27
11363#define V_MA_REQADDRVLD(x) ((x) << S_MA_REQADDRVLD)
11364#define F_MA_REQADDRVLD V_MA_REQADDRVLD(1U)
11365
11366#define S_MA_REQADDRVLD2 26
11367#define V_MA_REQADDRVLD2(x) ((x) << S_MA_REQADDRVLD2)
11368#define F_MA_REQADDRVLD2 V_MA_REQADDRVLD2(1U)
11369
11370#define S_MA_RSPDATAVLD2 22
11371#define M_MA_RSPDATAVLD2 0xfU
11372#define V_MA_RSPDATAVLD2(x) ((x) << S_MA_RSPDATAVLD2)
11373#define G_MA_RSPDATAVLD2(x) (((x) >> S_MA_RSPDATAVLD2) & M_MA_RSPDATAVLD2)
11374
11375#define S_MA_REQADDRVLD3 20
11376#define M_MA_REQADDRVLD3 0x3U
11377#define V_MA_REQADDRVLD3(x) ((x) << S_MA_REQADDRVLD3)
11378#define G_MA_REQADDRVLD3(x) (((x) >> S_MA_REQADDRVLD3) & M_MA_REQADDRVLD3)
11379
11380#define S_MA_REQADDRVLD4 4
11381#define M_MA_REQADDRVLD4 0xffffU
11382#define V_MA_REQADDRVLD4(x) ((x) << S_MA_REQADDRVLD4)
11383#define G_MA_REQADDRVLD4(x) (((x) >> S_MA_REQADDRVLD4) & M_MA_REQADDRVLD4)
11384
11385#define S_MA_REQADDRVLD5 3
11386#define V_MA_REQADDRVLD5(x) ((x) << S_MA_REQADDRVLD5)
11387#define F_MA_REQADDRVLD5 V_MA_REQADDRVLD5(1U)
11388
11389#define S_MA_REQADDRVLD6 2
11390#define V_MA_REQADDRVLD6(x) ((x) << S_MA_REQADDRVLD6)
11391#define F_MA_REQADDRVLD6 V_MA_REQADDRVLD6(1U)
11392
11393#define S_MA_REQADDRRDY 1
11394#define V_MA_REQADDRRDY(x) ((x) << S_MA_REQADDRRDY)
11395#define F_MA_REQADDRRDY V_MA_REQADDRRDY(1U)
11396
11397#define S_MA_REQADDRVLD7 0
11398#define V_MA_REQADDRVLD7(x) ((x) << S_MA_REQADDRVLD7)
11399#define F_MA_REQADDRVLD7 V_MA_REQADDRVLD7(1U)
11400
11401#define A_PCIE_CDEBUG_REG_0XC 0xc
11402#define A_PCIE_CDEBUG_REG_0XD 0xd
11403#define A_PCIE_CDEBUG_REG_0XE 0xe
11404#define A_PCIE_CDEBUG_REG_0XF 0xf
11405#define A_PCIE_CDEBUG_REG_0X10 0x10
11406#define A_PCIE_CDEBUG_REG_0X11 0x11
11407#define A_PCIE_CDEBUG_REG_0X12 0x12
11408#define A_PCIE_CDEBUG_REG_0X13 0x13
11409#define A_PCIE_CDEBUG_REG_0X14 0x14
11410#define A_PCIE_CDEBUG_REG_0X15 0x15
11411
11412#define S_PLM_REQVLD 19
11413#define M_PLM_REQVLD 0x1fffU
11414#define V_PLM_REQVLD(x) ((x) << S_PLM_REQVLD)
11415#define G_PLM_REQVLD(x) (((x) >> S_PLM_REQVLD) & M_PLM_REQVLD)
11416
11417#define S_PLM_REQVLD2 18
11418#define V_PLM_REQVLD2(x) ((x) << S_PLM_REQVLD2)
11419#define F_PLM_REQVLD2 V_PLM_REQVLD2(1U)
11420
11421#define S_PLM_RSPVLD3 17
11422#define V_PLM_RSPVLD3(x) ((x) << S_PLM_RSPVLD3)
11423#define F_PLM_RSPVLD3 V_PLM_RSPVLD3(1U)
11424
11425#define S_PLM_REQVLD4 16
11426#define V_PLM_REQVLD4(x) ((x) << S_PLM_REQVLD4)
11427#define F_PLM_REQVLD4 V_PLM_REQVLD4(1U)
11428
11429#define S_PLM_REQVLD5 15
11430#define V_PLM_REQVLD5(x) ((x) << S_PLM_REQVLD5)
11431#define F_PLM_REQVLD5 V_PLM_REQVLD5(1U)
11432
11433#define S_PLM_REQVLD6 14
11434#define V_PLM_REQVLD6(x) ((x) << S_PLM_REQVLD6)
11435#define F_PLM_REQVLD6 V_PLM_REQVLD6(1U)
11436
11437#define S_PLM_REQVLD7 13
11438#define V_PLM_REQVLD7(x) ((x) << S_PLM_REQVLD7)
11439#define F_PLM_REQVLD7 V_PLM_REQVLD7(1U)
11440
11441#define S_PLM_REQVLD8 12
11442#define V_PLM_REQVLD8(x) ((x) << S_PLM_REQVLD8)
11443#define F_PLM_REQVLD8 V_PLM_REQVLD8(1U)
11444
11445#define S_PLM_REQVLD9 4
11446#define M_PLM_REQVLD9 0xffU
11447#define V_PLM_REQVLD9(x) ((x) << S_PLM_REQVLD9)
11448#define G_PLM_REQVLD9(x) (((x) >> S_PLM_REQVLD9) & M_PLM_REQVLD9)
11449
11450#define S_PLM_REQVLDA 1
11451#define M_PLM_REQVLDA 0x7U
11452#define V_PLM_REQVLDA(x) ((x) << S_PLM_REQVLDA)
11453#define G_PLM_REQVLDA(x) (((x) >> S_PLM_REQVLDA) & M_PLM_REQVLDA)
11454
11455#define S_PLM_REQVLDB 0
11456#define V_PLM_REQVLDB(x) ((x) << S_PLM_REQVLDB)
11457#define F_PLM_REQVLDB V_PLM_REQVLDB(1U)
11458
11459#define A_PCIE_CDEBUG_REG_0X16 0x16
11460#define A_PCIE_CDEBUG_REG_0X17 0x17
11461#define A_PCIE_CDEBUG_REG_0X18 0x18
11462#define A_PCIE_CDEBUG_REG_0X19 0x19
11463#define A_PCIE_CDEBUG_REG_0X1A 0x1a
11464#define A_PCIE_CDEBUG_REG_0X1B 0x1b
11465#define A_PCIE_CDEBUG_REG_0X1C 0x1c
11466#define A_PCIE_CDEBUG_REG_0X1D 0x1d
11467#define A_PCIE_CDEBUG_REG_0X1E 0x1e
11468#define A_PCIE_CDEBUG_REG_0X1F 0x1f
11469#define A_PCIE_CDEBUG_REG_0X20 0x20
11470#define A_PCIE_CDEBUG_REG_0X21 0x21
11471#define A_PCIE_CDEBUG_REG_0X22 0x22
11472#define A_PCIE_CDEBUG_REG_0X23 0x23
11473#define A_PCIE_CDEBUG_REG_0X24 0x24
11474#define A_PCIE_CDEBUG_REG_0X25 0x25
11475#define A_PCIE_CDEBUG_REG_0X26 0x26
11476#define A_PCIE_CDEBUG_REG_0X27 0x27
11477#define A_PCIE_CDEBUG_REG_0X28 0x28
11478#define A_PCIE_CDEBUG_REG_0X29 0x29
11479#define A_PCIE_CDEBUG_REG_0X2A 0x2a
11480#define A_PCIE_CDEBUG_REG_0X2B 0x2b
11481#define A_PCIE_CDEBUG_REG_0X2C 0x2c
11482#define A_PCIE_CDEBUG_REG_0X2D 0x2d
11483#define A_PCIE_CDEBUG_REG_0X2E 0x2e
11484#define A_PCIE_CDEBUG_REG_0X2F 0x2f
11485#define A_PCIE_CDEBUG_REG_0X30 0x30
11486#define A_PCIE_CDEBUG_REG_0X31 0x31
11487#define A_PCIE_CDEBUG_REG_0X32 0x32
11488#define A_PCIE_CDEBUG_REG_0X33 0x33
11489#define A_PCIE_CDEBUG_REG_0X34 0x34
11490#define A_PCIE_CDEBUG_REG_0X35 0x35
11491#define A_PCIE_CDEBUG_REG_0X36 0x36
11492#define A_PCIE_CDEBUG_REG_0X37 0x37
11493
11494/* registers for module DBG */
11495#define DBG_BASE_ADDR 0x6000
11496
11497#define A_DBG_DBG0_CFG 0x6000
11498
11499#define S_MODULESELECT 12
11500#define M_MODULESELECT 0xffU
11501#define V_MODULESELECT(x) ((x) << S_MODULESELECT)
11502#define G_MODULESELECT(x) (((x) >> S_MODULESELECT) & M_MODULESELECT)
11503
11504#define S_REGSELECT 4
11505#define M_REGSELECT 0xffU
11506#define V_REGSELECT(x) ((x) << S_REGSELECT)
11507#define G_REGSELECT(x) (((x) >> S_REGSELECT) & M_REGSELECT)
11508
11509#define S_CLKSELECT 0
11510#define M_CLKSELECT 0xfU
11511#define V_CLKSELECT(x) ((x) << S_CLKSELECT)
11512#define G_CLKSELECT(x) (((x) >> S_CLKSELECT) & M_CLKSELECT)
11513
11514#define A_DBG_DBG0_EN 0x6004
11515
11516#define S_PORTEN_PONR 16
11517#define V_PORTEN_PONR(x) ((x) << S_PORTEN_PONR)
11518#define F_PORTEN_PONR V_PORTEN_PONR(1U)
11519
11520#define S_PORTEN_POND 12
11521#define V_PORTEN_POND(x) ((x) << S_PORTEN_POND)
11522#define F_PORTEN_POND V_PORTEN_POND(1U)
11523
11524#define S_SDRHALFWORD0 8
11525#define V_SDRHALFWORD0(x) ((x) << S_SDRHALFWORD0)
11526#define F_SDRHALFWORD0 V_SDRHALFWORD0(1U)
11527
11528#define S_DDREN 4
11529#define V_DDREN(x) ((x) << S_DDREN)
11530#define F_DDREN V_DDREN(1U)
11531
11532#define S_DBG_PORTEN 0
11533#define V_DBG_PORTEN(x) ((x) << S_DBG_PORTEN)
11534#define F_DBG_PORTEN V_DBG_PORTEN(1U)
11535
11536#define A_DBG_DBG1_CFG 0x6008
11537#define A_DBG_DBG1_EN 0x600c
11538
11539#define S_CLK_EN_ON_DBG1 20
11540#define V_CLK_EN_ON_DBG1(x) ((x) << S_CLK_EN_ON_DBG1)
11541#define F_CLK_EN_ON_DBG1 V_CLK_EN_ON_DBG1(1U)
11542
11543#define A_DBG_GPIO_EN 0x6010
11544
11545#define S_GPIO15_OEN 31
11546#define V_GPIO15_OEN(x) ((x) << S_GPIO15_OEN)
11547#define F_GPIO15_OEN V_GPIO15_OEN(1U)
11548
11549#define S_GPIO14_OEN 30
11550#define V_GPIO14_OEN(x) ((x) << S_GPIO14_OEN)
11551#define F_GPIO14_OEN V_GPIO14_OEN(1U)
11552
11553#define S_GPIO13_OEN 29
11554#define V_GPIO13_OEN(x) ((x) << S_GPIO13_OEN)
11555#define F_GPIO13_OEN V_GPIO13_OEN(1U)
11556
11557#define S_GPIO12_OEN 28
11558#define V_GPIO12_OEN(x) ((x) << S_GPIO12_OEN)
11559#define F_GPIO12_OEN V_GPIO12_OEN(1U)
11560
11561#define S_GPIO11_OEN 27
11562#define V_GPIO11_OEN(x) ((x) << S_GPIO11_OEN)
11563#define F_GPIO11_OEN V_GPIO11_OEN(1U)
11564
11565#define S_GPIO10_OEN 26
11566#define V_GPIO10_OEN(x) ((x) << S_GPIO10_OEN)
11567#define F_GPIO10_OEN V_GPIO10_OEN(1U)
11568
11569#define S_GPIO9_OEN 25
11570#define V_GPIO9_OEN(x) ((x) << S_GPIO9_OEN)
11571#define F_GPIO9_OEN V_GPIO9_OEN(1U)
11572
11573#define S_GPIO8_OEN 24
11574#define V_GPIO8_OEN(x) ((x) << S_GPIO8_OEN)
11575#define F_GPIO8_OEN V_GPIO8_OEN(1U)
11576
11577#define S_GPIO7_OEN 23
11578#define V_GPIO7_OEN(x) ((x) << S_GPIO7_OEN)
11579#define F_GPIO7_OEN V_GPIO7_OEN(1U)
11580
11581#define S_GPIO6_OEN 22
11582#define V_GPIO6_OEN(x) ((x) << S_GPIO6_OEN)
11583#define F_GPIO6_OEN V_GPIO6_OEN(1U)
11584
11585#define S_GPIO5_OEN 21
11586#define V_GPIO5_OEN(x) ((x) << S_GPIO5_OEN)
11587#define F_GPIO5_OEN V_GPIO5_OEN(1U)
11588
11589#define S_GPIO4_OEN 20
11590#define V_GPIO4_OEN(x) ((x) << S_GPIO4_OEN)
11591#define F_GPIO4_OEN V_GPIO4_OEN(1U)
11592
11593#define S_GPIO3_OEN 19
11594#define V_GPIO3_OEN(x) ((x) << S_GPIO3_OEN)
11595#define F_GPIO3_OEN V_GPIO3_OEN(1U)
11596
11597#define S_GPIO2_OEN 18
11598#define V_GPIO2_OEN(x) ((x) << S_GPIO2_OEN)
11599#define F_GPIO2_OEN V_GPIO2_OEN(1U)
11600
11601#define S_GPIO1_OEN 17
11602#define V_GPIO1_OEN(x) ((x) << S_GPIO1_OEN)
11603#define F_GPIO1_OEN V_GPIO1_OEN(1U)
11604
11605#define S_GPIO0_OEN 16
11606#define V_GPIO0_OEN(x) ((x) << S_GPIO0_OEN)
11607#define F_GPIO0_OEN V_GPIO0_OEN(1U)
11608
11609#define S_GPIO15_OUT_VAL 15
11610#define V_GPIO15_OUT_VAL(x) ((x) << S_GPIO15_OUT_VAL)
11611#define F_GPIO15_OUT_VAL V_GPIO15_OUT_VAL(1U)
11612
11613#define S_GPIO14_OUT_VAL 14
11614#define V_GPIO14_OUT_VAL(x) ((x) << S_GPIO14_OUT_VAL)
11615#define F_GPIO14_OUT_VAL V_GPIO14_OUT_VAL(1U)
11616
11617#define S_GPIO13_OUT_VAL 13
11618#define V_GPIO13_OUT_VAL(x) ((x) << S_GPIO13_OUT_VAL)
11619#define F_GPIO13_OUT_VAL V_GPIO13_OUT_VAL(1U)
11620
11621#define S_GPIO12_OUT_VAL 12
11622#define V_GPIO12_OUT_VAL(x) ((x) << S_GPIO12_OUT_VAL)
11623#define F_GPIO12_OUT_VAL V_GPIO12_OUT_VAL(1U)
11624
11625#define S_GPIO11_OUT_VAL 11
11626#define V_GPIO11_OUT_VAL(x) ((x) << S_GPIO11_OUT_VAL)
11627#define F_GPIO11_OUT_VAL V_GPIO11_OUT_VAL(1U)
11628
11629#define S_GPIO10_OUT_VAL 10
11630#define V_GPIO10_OUT_VAL(x) ((x) << S_GPIO10_OUT_VAL)
11631#define F_GPIO10_OUT_VAL V_GPIO10_OUT_VAL(1U)
11632
11633#define S_GPIO9_OUT_VAL 9
11634#define V_GPIO9_OUT_VAL(x) ((x) << S_GPIO9_OUT_VAL)
11635#define F_GPIO9_OUT_VAL V_GPIO9_OUT_VAL(1U)
11636
11637#define S_GPIO8_OUT_VAL 8
11638#define V_GPIO8_OUT_VAL(x) ((x) << S_GPIO8_OUT_VAL)
11639#define F_GPIO8_OUT_VAL V_GPIO8_OUT_VAL(1U)
11640
11641#define S_GPIO7_OUT_VAL 7
11642#define V_GPIO7_OUT_VAL(x) ((x) << S_GPIO7_OUT_VAL)
11643#define F_GPIO7_OUT_VAL V_GPIO7_OUT_VAL(1U)
11644
11645#define S_GPIO6_OUT_VAL 6
11646#define V_GPIO6_OUT_VAL(x) ((x) << S_GPIO6_OUT_VAL)
11647#define F_GPIO6_OUT_VAL V_GPIO6_OUT_VAL(1U)
11648
11649#define S_GPIO5_OUT_VAL 5
11650#define V_GPIO5_OUT_VAL(x) ((x) << S_GPIO5_OUT_VAL)
11651#define F_GPIO5_OUT_VAL V_GPIO5_OUT_VAL(1U)
11652
11653#define S_GPIO4_OUT_VAL 4
11654#define V_GPIO4_OUT_VAL(x) ((x) << S_GPIO4_OUT_VAL)
11655#define F_GPIO4_OUT_VAL V_GPIO4_OUT_VAL(1U)
11656
11657#define S_GPIO3_OUT_VAL 3
11658#define V_GPIO3_OUT_VAL(x) ((x) << S_GPIO3_OUT_VAL)
11659#define F_GPIO3_OUT_VAL V_GPIO3_OUT_VAL(1U)
11660
11661#define S_GPIO2_OUT_VAL 2
11662#define V_GPIO2_OUT_VAL(x) ((x) << S_GPIO2_OUT_VAL)
11663#define F_GPIO2_OUT_VAL V_GPIO2_OUT_VAL(1U)
11664
11665#define S_GPIO1_OUT_VAL 1
11666#define V_GPIO1_OUT_VAL(x) ((x) << S_GPIO1_OUT_VAL)
11667#define F_GPIO1_OUT_VAL V_GPIO1_OUT_VAL(1U)
11668
11669#define S_GPIO0_OUT_VAL 0
11670#define V_GPIO0_OUT_VAL(x) ((x) << S_GPIO0_OUT_VAL)
11671#define F_GPIO0_OUT_VAL V_GPIO0_OUT_VAL(1U)
11672
11673#define A_DBG_GPIO_IN 0x6014
11674
11675#define S_GPIO15_CHG_DET 31
11676#define V_GPIO15_CHG_DET(x) ((x) << S_GPIO15_CHG_DET)
11677#define F_GPIO15_CHG_DET V_GPIO15_CHG_DET(1U)
11678
11679#define S_GPIO14_CHG_DET 30
11680#define V_GPIO14_CHG_DET(x) ((x) << S_GPIO14_CHG_DET)
11681#define F_GPIO14_CHG_DET V_GPIO14_CHG_DET(1U)
11682
11683#define S_GPIO13_CHG_DET 29
11684#define V_GPIO13_CHG_DET(x) ((x) << S_GPIO13_CHG_DET)
11685#define F_GPIO13_CHG_DET V_GPIO13_CHG_DET(1U)
11686
11687#define S_GPIO12_CHG_DET 28
11688#define V_GPIO12_CHG_DET(x) ((x) << S_GPIO12_CHG_DET)
11689#define F_GPIO12_CHG_DET V_GPIO12_CHG_DET(1U)
11690
11691#define S_GPIO11_CHG_DET 27
11692#define V_GPIO11_CHG_DET(x) ((x) << S_GPIO11_CHG_DET)
11693#define F_GPIO11_CHG_DET V_GPIO11_CHG_DET(1U)
11694
11695#define S_GPIO10_CHG_DET 26
11696#define V_GPIO10_CHG_DET(x) ((x) << S_GPIO10_CHG_DET)
11697#define F_GPIO10_CHG_DET V_GPIO10_CHG_DET(1U)
11698
11699#define S_GPIO9_CHG_DET 25
11700#define V_GPIO9_CHG_DET(x) ((x) << S_GPIO9_CHG_DET)
11701#define F_GPIO9_CHG_DET V_GPIO9_CHG_DET(1U)
11702
11703#define S_GPIO8_CHG_DET 24
11704#define V_GPIO8_CHG_DET(x) ((x) << S_GPIO8_CHG_DET)
11705#define F_GPIO8_CHG_DET V_GPIO8_CHG_DET(1U)
11706
11707#define S_GPIO7_CHG_DET 23
11708#define V_GPIO7_CHG_DET(x) ((x) << S_GPIO7_CHG_DET)
11709#define F_GPIO7_CHG_DET V_GPIO7_CHG_DET(1U)
11710
11711#define S_GPIO6_CHG_DET 22
11712#define V_GPIO6_CHG_DET(x) ((x) << S_GPIO6_CHG_DET)
11713#define F_GPIO6_CHG_DET V_GPIO6_CHG_DET(1U)
11714
11715#define S_GPIO5_CHG_DET 21
11716#define V_GPIO5_CHG_DET(x) ((x) << S_GPIO5_CHG_DET)
11717#define F_GPIO5_CHG_DET V_GPIO5_CHG_DET(1U)
11718
11719#define S_GPIO4_CHG_DET 20
11720#define V_GPIO4_CHG_DET(x) ((x) << S_GPIO4_CHG_DET)
11721#define F_GPIO4_CHG_DET V_GPIO4_CHG_DET(1U)
11722
11723#define S_GPIO3_CHG_DET 19
11724#define V_GPIO3_CHG_DET(x) ((x) << S_GPIO3_CHG_DET)
11725#define F_GPIO3_CHG_DET V_GPIO3_CHG_DET(1U)
11726
11727#define S_GPIO2_CHG_DET 18
11728#define V_GPIO2_CHG_DET(x) ((x) << S_GPIO2_CHG_DET)
11729#define F_GPIO2_CHG_DET V_GPIO2_CHG_DET(1U)
11730
11731#define S_GPIO1_CHG_DET 17
11732#define V_GPIO1_CHG_DET(x) ((x) << S_GPIO1_CHG_DET)
11733#define F_GPIO1_CHG_DET V_GPIO1_CHG_DET(1U)
11734
11735#define S_GPIO0_CHG_DET 16
11736#define V_GPIO0_CHG_DET(x) ((x) << S_GPIO0_CHG_DET)
11737#define F_GPIO0_CHG_DET V_GPIO0_CHG_DET(1U)
11738
11739#define S_GPIO15_IN 15
11740#define V_GPIO15_IN(x) ((x) << S_GPIO15_IN)
11741#define F_GPIO15_IN V_GPIO15_IN(1U)
11742
11743#define S_GPIO14_IN 14
11744#define V_GPIO14_IN(x) ((x) << S_GPIO14_IN)
11745#define F_GPIO14_IN V_GPIO14_IN(1U)
11746
11747#define S_GPIO13_IN 13
11748#define V_GPIO13_IN(x) ((x) << S_GPIO13_IN)
11749#define F_GPIO13_IN V_GPIO13_IN(1U)
11750
11751#define S_GPIO12_IN 12
11752#define V_GPIO12_IN(x) ((x) << S_GPIO12_IN)
11753#define F_GPIO12_IN V_GPIO12_IN(1U)
11754
11755#define S_GPIO11_IN 11
11756#define V_GPIO11_IN(x) ((x) << S_GPIO11_IN)
11757#define F_GPIO11_IN V_GPIO11_IN(1U)
11758
11759#define S_GPIO10_IN 10
11760#define V_GPIO10_IN(x) ((x) << S_GPIO10_IN)
11761#define F_GPIO10_IN V_GPIO10_IN(1U)
11762
11763#define S_GPIO9_IN 9
11764#define V_GPIO9_IN(x) ((x) << S_GPIO9_IN)
11765#define F_GPIO9_IN V_GPIO9_IN(1U)
11766
11767#define S_GPIO8_IN 8
11768#define V_GPIO8_IN(x) ((x) << S_GPIO8_IN)
11769#define F_GPIO8_IN V_GPIO8_IN(1U)
11770
11771#define S_GPIO7_IN 7
11772#define V_GPIO7_IN(x) ((x) << S_GPIO7_IN)
11773#define F_GPIO7_IN V_GPIO7_IN(1U)
11774
11775#define S_GPIO6_IN 6
11776#define V_GPIO6_IN(x) ((x) << S_GPIO6_IN)
11777#define F_GPIO6_IN V_GPIO6_IN(1U)
11778
11779#define S_GPIO5_IN 5
11780#define V_GPIO5_IN(x) ((x) << S_GPIO5_IN)
11781#define F_GPIO5_IN V_GPIO5_IN(1U)
11782
11783#define S_GPIO4_IN 4
11784#define V_GPIO4_IN(x) ((x) << S_GPIO4_IN)
11785#define F_GPIO4_IN V_GPIO4_IN(1U)
11786
11787#define S_GPIO3_IN 3
11788#define V_GPIO3_IN(x) ((x) << S_GPIO3_IN)
11789#define F_GPIO3_IN V_GPIO3_IN(1U)
11790
11791#define S_GPIO2_IN 2
11792#define V_GPIO2_IN(x) ((x) << S_GPIO2_IN)
11793#define F_GPIO2_IN V_GPIO2_IN(1U)
11794
11795#define S_GPIO1_IN 1
11796#define V_GPIO1_IN(x) ((x) << S_GPIO1_IN)
11797#define F_GPIO1_IN V_GPIO1_IN(1U)
11798
11799#define S_GPIO0_IN 0
11800#define V_GPIO0_IN(x) ((x) << S_GPIO0_IN)
11801#define F_GPIO0_IN V_GPIO0_IN(1U)
11802
11803#define A_DBG_INT_ENABLE 0x6018
11804
11805#define S_IBM_FDL_FAIL_INT_ENBL 25
11806#define V_IBM_FDL_FAIL_INT_ENBL(x) ((x) << S_IBM_FDL_FAIL_INT_ENBL)
11807#define F_IBM_FDL_FAIL_INT_ENBL V_IBM_FDL_FAIL_INT_ENBL(1U)
11808
11809#define S_ARM_FAIL_INT_ENBL 24
11810#define V_ARM_FAIL_INT_ENBL(x) ((x) << S_ARM_FAIL_INT_ENBL)
11811#define F_ARM_FAIL_INT_ENBL V_ARM_FAIL_INT_ENBL(1U)
11812
11813#define S_ARM_ERROR_OUT_INT_ENBL 23
11814#define V_ARM_ERROR_OUT_INT_ENBL(x) ((x) << S_ARM_ERROR_OUT_INT_ENBL)
11815#define F_ARM_ERROR_OUT_INT_ENBL V_ARM_ERROR_OUT_INT_ENBL(1U)
11816
11817#define S_PLL_LOCK_LOST_INT_ENBL 22
11818#define V_PLL_LOCK_LOST_INT_ENBL(x) ((x) << S_PLL_LOCK_LOST_INT_ENBL)
11819#define F_PLL_LOCK_LOST_INT_ENBL V_PLL_LOCK_LOST_INT_ENBL(1U)
11820
11821#define S_C_LOCK 21
11822#define V_C_LOCK(x) ((x) << S_C_LOCK)
11823#define F_C_LOCK V_C_LOCK(1U)
11824
11825#define S_M_LOCK 20
11826#define V_M_LOCK(x) ((x) << S_M_LOCK)
11827#define F_M_LOCK V_M_LOCK(1U)
11828
11829#define S_U_LOCK 19
11830#define V_U_LOCK(x) ((x) << S_U_LOCK)
11831#define F_U_LOCK V_U_LOCK(1U)
11832
11833#define S_PCIE_LOCK 18
11834#define V_PCIE_LOCK(x) ((x) << S_PCIE_LOCK)
11835#define F_PCIE_LOCK V_PCIE_LOCK(1U)
11836
11837#define S_KX_LOCK 17
11838#define V_KX_LOCK(x) ((x) << S_KX_LOCK)
11839#define F_KX_LOCK V_KX_LOCK(1U)
11840
11841#define S_KR_LOCK 16
11842#define V_KR_LOCK(x) ((x) << S_KR_LOCK)
11843#define F_KR_LOCK V_KR_LOCK(1U)
11844
11845#define S_GPIO15 15
11846#define V_GPIO15(x) ((x) << S_GPIO15)
11847#define F_GPIO15 V_GPIO15(1U)
11848
11849#define S_GPIO14 14
11850#define V_GPIO14(x) ((x) << S_GPIO14)
11851#define F_GPIO14 V_GPIO14(1U)
11852
11853#define S_GPIO13 13
11854#define V_GPIO13(x) ((x) << S_GPIO13)
11855#define F_GPIO13 V_GPIO13(1U)
11856
11857#define S_GPIO12 12
11858#define V_GPIO12(x) ((x) << S_GPIO12)
11859#define F_GPIO12 V_GPIO12(1U)
11860
11861#define S_GPIO11 11
11862#define V_GPIO11(x) ((x) << S_GPIO11)
11863#define F_GPIO11 V_GPIO11(1U)
11864
11865#define S_GPIO10 10
11866#define V_GPIO10(x) ((x) << S_GPIO10)
11867#define F_GPIO10 V_GPIO10(1U)
11868
11869#define S_GPIO9 9
11870#define V_GPIO9(x) ((x) << S_GPIO9)
11871#define F_GPIO9 V_GPIO9(1U)
11872
11873#define S_GPIO8 8
11874#define V_GPIO8(x) ((x) << S_GPIO8)
11875#define F_GPIO8 V_GPIO8(1U)
11876
11877#define S_GPIO7 7
11878#define V_GPIO7(x) ((x) << S_GPIO7)
11879#define F_GPIO7 V_GPIO7(1U)
11880
11881#define S_GPIO6 6
11882#define V_GPIO6(x) ((x) << S_GPIO6)
11883#define F_GPIO6 V_GPIO6(1U)
11884
11885#define S_GPIO5 5
11886#define V_GPIO5(x) ((x) << S_GPIO5)
11887#define F_GPIO5 V_GPIO5(1U)
11888
11889#define S_GPIO4 4
11890#define V_GPIO4(x) ((x) << S_GPIO4)
11891#define F_GPIO4 V_GPIO4(1U)
11892
11893#define S_GPIO3 3
11894#define V_GPIO3(x) ((x) << S_GPIO3)
11895#define F_GPIO3 V_GPIO3(1U)
11896
11897#define S_GPIO2 2
11898#define V_GPIO2(x) ((x) << S_GPIO2)
11899#define F_GPIO2 V_GPIO2(1U)
11900
11901#define S_GPIO1 1
11902#define V_GPIO1(x) ((x) << S_GPIO1)
11903#define F_GPIO1 V_GPIO1(1U)
11904
11905#define S_GPIO0 0
11906#define V_GPIO0(x) ((x) << S_GPIO0)
11907#define F_GPIO0 V_GPIO0(1U)
11908
11909#define S_GPIO19 29
11910#define V_GPIO19(x) ((x) << S_GPIO19)
11911#define F_GPIO19 V_GPIO19(1U)
11912
11913#define S_GPIO18 28
11914#define V_GPIO18(x) ((x) << S_GPIO18)
11915#define F_GPIO18 V_GPIO18(1U)
11916
11917#define S_GPIO17 27
11918#define V_GPIO17(x) ((x) << S_GPIO17)
11919#define F_GPIO17 V_GPIO17(1U)
11920
11921#define S_GPIO16 26
11922#define V_GPIO16(x) ((x) << S_GPIO16)
11923#define F_GPIO16 V_GPIO16(1U)
11924
11925#define A_DBG_INT_CAUSE 0x601c
11926
11927#define S_IBM_FDL_FAIL_INT_CAUSE 25
11928#define V_IBM_FDL_FAIL_INT_CAUSE(x) ((x) << S_IBM_FDL_FAIL_INT_CAUSE)
11929#define F_IBM_FDL_FAIL_INT_CAUSE V_IBM_FDL_FAIL_INT_CAUSE(1U)
11930
11931#define S_ARM_FAIL_INT_CAUSE 24
11932#define V_ARM_FAIL_INT_CAUSE(x) ((x) << S_ARM_FAIL_INT_CAUSE)
11933#define F_ARM_FAIL_INT_CAUSE V_ARM_FAIL_INT_CAUSE(1U)
11934
11935#define S_ARM_ERROR_OUT_INT_CAUSE 23
11936#define V_ARM_ERROR_OUT_INT_CAUSE(x) ((x) << S_ARM_ERROR_OUT_INT_CAUSE)
11937#define F_ARM_ERROR_OUT_INT_CAUSE V_ARM_ERROR_OUT_INT_CAUSE(1U)
11938
11939#define S_PLL_LOCK_LOST_INT_CAUSE 22
11940#define V_PLL_LOCK_LOST_INT_CAUSE(x) ((x) << S_PLL_LOCK_LOST_INT_CAUSE)
11941#define F_PLL_LOCK_LOST_INT_CAUSE V_PLL_LOCK_LOST_INT_CAUSE(1U)
11942
11943#define A_DBG_DBG0_RST_VALUE 0x6020
11944
11945#define S_DEBUGDATA 0
11946#define M_DEBUGDATA 0xffffU
11947#define V_DEBUGDATA(x) ((x) << S_DEBUGDATA)
11948#define G_DEBUGDATA(x) (((x) >> S_DEBUGDATA) & M_DEBUGDATA)
11949
11950#define A_DBG_OVERWRSERCFG_EN 0x6024
11951
11952#define S_OVERWRSERCFG_EN 0
11953#define V_OVERWRSERCFG_EN(x) ((x) << S_OVERWRSERCFG_EN)
11954#define F_OVERWRSERCFG_EN V_OVERWRSERCFG_EN(1U)
11955
11956#define A_DBG_PLL_OCLK_PAD_EN 0x6028
11957
11958#define S_PCIE_OCLK_EN 20
11959#define V_PCIE_OCLK_EN(x) ((x) << S_PCIE_OCLK_EN)
11960#define F_PCIE_OCLK_EN V_PCIE_OCLK_EN(1U)
11961
11962#define S_KX_OCLK_EN 16
11963#define V_KX_OCLK_EN(x) ((x) << S_KX_OCLK_EN)
11964#define F_KX_OCLK_EN V_KX_OCLK_EN(1U)
11965
11966#define S_U_OCLK_EN 12
11967#define V_U_OCLK_EN(x) ((x) << S_U_OCLK_EN)
11968#define F_U_OCLK_EN V_U_OCLK_EN(1U)
11969
11970#define S_KR_OCLK_EN 8
11971#define V_KR_OCLK_EN(x) ((x) << S_KR_OCLK_EN)
11972#define F_KR_OCLK_EN V_KR_OCLK_EN(1U)
11973
11974#define S_M_OCLK_EN 4
11975#define V_M_OCLK_EN(x) ((x) << S_M_OCLK_EN)
11976#define F_M_OCLK_EN V_M_OCLK_EN(1U)
11977
11978#define S_C_OCLK_EN 0
11979#define V_C_OCLK_EN(x) ((x) << S_C_OCLK_EN)
11980#define F_C_OCLK_EN V_C_OCLK_EN(1U)
11981
11982#define A_DBG_PLL_LOCK 0x602c
11983
11984#define S_PLL_P_LOCK 20
11985#define V_PLL_P_LOCK(x) ((x) << S_PLL_P_LOCK)
11986#define F_PLL_P_LOCK V_PLL_P_LOCK(1U)
11987
11988#define S_PLL_KX_LOCK 16
11989#define V_PLL_KX_LOCK(x) ((x) << S_PLL_KX_LOCK)
11990#define F_PLL_KX_LOCK V_PLL_KX_LOCK(1U)
11991
11992#define S_PLL_U_LOCK 12
11993#define V_PLL_U_LOCK(x) ((x) << S_PLL_U_LOCK)
11994#define F_PLL_U_LOCK V_PLL_U_LOCK(1U)
11995
11996#define S_PLL_KR_LOCK 8
11997#define V_PLL_KR_LOCK(x) ((x) << S_PLL_KR_LOCK)
11998#define F_PLL_KR_LOCK V_PLL_KR_LOCK(1U)
11999
12000#define S_PLL_M_LOCK 4
12001#define V_PLL_M_LOCK(x) ((x) << S_PLL_M_LOCK)
12002#define F_PLL_M_LOCK V_PLL_M_LOCK(1U)
12003
12004#define S_PLL_C_LOCK 0
12005#define V_PLL_C_LOCK(x) ((x) << S_PLL_C_LOCK)
12006#define F_PLL_C_LOCK V_PLL_C_LOCK(1U)
12007
12008#define A_DBG_GPIO_ACT_LOW 0x6030
12009
12010#define S_P_LOCK_ACT_LOW 21
12011#define V_P_LOCK_ACT_LOW(x) ((x) << S_P_LOCK_ACT_LOW)
12012#define F_P_LOCK_ACT_LOW V_P_LOCK_ACT_LOW(1U)
12013
12014#define S_C_LOCK_ACT_LOW 20
12015#define V_C_LOCK_ACT_LOW(x) ((x) << S_C_LOCK_ACT_LOW)
12016#define F_C_LOCK_ACT_LOW V_C_LOCK_ACT_LOW(1U)
12017
12018#define S_M_LOCK_ACT_LOW 19
12019#define V_M_LOCK_ACT_LOW(x) ((x) << S_M_LOCK_ACT_LOW)
12020#define F_M_LOCK_ACT_LOW V_M_LOCK_ACT_LOW(1U)
12021
12022#define S_U_LOCK_ACT_LOW 18
12023#define V_U_LOCK_ACT_LOW(x) ((x) << S_U_LOCK_ACT_LOW)
12024#define F_U_LOCK_ACT_LOW V_U_LOCK_ACT_LOW(1U)
12025
12026#define S_KR_LOCK_ACT_LOW 17
12027#define V_KR_LOCK_ACT_LOW(x) ((x) << S_KR_LOCK_ACT_LOW)
12028#define F_KR_LOCK_ACT_LOW V_KR_LOCK_ACT_LOW(1U)
12029
12030#define S_KX_LOCK_ACT_LOW 16
12031#define V_KX_LOCK_ACT_LOW(x) ((x) << S_KX_LOCK_ACT_LOW)
12032#define F_KX_LOCK_ACT_LOW V_KX_LOCK_ACT_LOW(1U)
12033
12034#define S_GPIO15_ACT_LOW 15
12035#define V_GPIO15_ACT_LOW(x) ((x) << S_GPIO15_ACT_LOW)
12036#define F_GPIO15_ACT_LOW V_GPIO15_ACT_LOW(1U)
12037
12038#define S_GPIO14_ACT_LOW 14
12039#define V_GPIO14_ACT_LOW(x) ((x) << S_GPIO14_ACT_LOW)
12040#define F_GPIO14_ACT_LOW V_GPIO14_ACT_LOW(1U)
12041
12042#define S_GPIO13_ACT_LOW 13
12043#define V_GPIO13_ACT_LOW(x) ((x) << S_GPIO13_ACT_LOW)
12044#define F_GPIO13_ACT_LOW V_GPIO13_ACT_LOW(1U)
12045
12046#define S_GPIO12_ACT_LOW 12
12047#define V_GPIO12_ACT_LOW(x) ((x) << S_GPIO12_ACT_LOW)
12048#define F_GPIO12_ACT_LOW V_GPIO12_ACT_LOW(1U)
12049
12050#define S_GPIO11_ACT_LOW 11
12051#define V_GPIO11_ACT_LOW(x) ((x) << S_GPIO11_ACT_LOW)
12052#define F_GPIO11_ACT_LOW V_GPIO11_ACT_LOW(1U)
12053
12054#define S_GPIO10_ACT_LOW 10
12055#define V_GPIO10_ACT_LOW(x) ((x) << S_GPIO10_ACT_LOW)
12056#define F_GPIO10_ACT_LOW V_GPIO10_ACT_LOW(1U)
12057
12058#define S_GPIO9_ACT_LOW 9
12059#define V_GPIO9_ACT_LOW(x) ((x) << S_GPIO9_ACT_LOW)
12060#define F_GPIO9_ACT_LOW V_GPIO9_ACT_LOW(1U)
12061
12062#define S_GPIO8_ACT_LOW 8
12063#define V_GPIO8_ACT_LOW(x) ((x) << S_GPIO8_ACT_LOW)
12064#define F_GPIO8_ACT_LOW V_GPIO8_ACT_LOW(1U)
12065
12066#define S_GPIO7_ACT_LOW 7
12067#define V_GPIO7_ACT_LOW(x) ((x) << S_GPIO7_ACT_LOW)
12068#define F_GPIO7_ACT_LOW V_GPIO7_ACT_LOW(1U)
12069
12070#define S_GPIO6_ACT_LOW 6
12071#define V_GPIO6_ACT_LOW(x) ((x) << S_GPIO6_ACT_LOW)
12072#define F_GPIO6_ACT_LOW V_GPIO6_ACT_LOW(1U)
12073
12074#define S_GPIO5_ACT_LOW 5
12075#define V_GPIO5_ACT_LOW(x) ((x) << S_GPIO5_ACT_LOW)
12076#define F_GPIO5_ACT_LOW V_GPIO5_ACT_LOW(1U)
12077
12078#define S_GPIO4_ACT_LOW 4
12079#define V_GPIO4_ACT_LOW(x) ((x) << S_GPIO4_ACT_LOW)
12080#define F_GPIO4_ACT_LOW V_GPIO4_ACT_LOW(1U)
12081
12082#define S_GPIO3_ACT_LOW 3
12083#define V_GPIO3_ACT_LOW(x) ((x) << S_GPIO3_ACT_LOW)
12084#define F_GPIO3_ACT_LOW V_GPIO3_ACT_LOW(1U)
12085
12086#define S_GPIO2_ACT_LOW 2
12087#define V_GPIO2_ACT_LOW(x) ((x) << S_GPIO2_ACT_LOW)
12088#define F_GPIO2_ACT_LOW V_GPIO2_ACT_LOW(1U)
12089
12090#define S_GPIO1_ACT_LOW 1
12091#define V_GPIO1_ACT_LOW(x) ((x) << S_GPIO1_ACT_LOW)
12092#define F_GPIO1_ACT_LOW V_GPIO1_ACT_LOW(1U)
12093
12094#define S_GPIO0_ACT_LOW 0
12095#define V_GPIO0_ACT_LOW(x) ((x) << S_GPIO0_ACT_LOW)
12096#define F_GPIO0_ACT_LOW V_GPIO0_ACT_LOW(1U)
12097
12098#define S_GPIO19_ACT_LOW 25
12099#define V_GPIO19_ACT_LOW(x) ((x) << S_GPIO19_ACT_LOW)
12100#define F_GPIO19_ACT_LOW V_GPIO19_ACT_LOW(1U)
12101
12102#define S_GPIO18_ACT_LOW 24
12103#define V_GPIO18_ACT_LOW(x) ((x) << S_GPIO18_ACT_LOW)
12104#define F_GPIO18_ACT_LOW V_GPIO18_ACT_LOW(1U)
12105
12106#define S_GPIO17_ACT_LOW 23
12107#define V_GPIO17_ACT_LOW(x) ((x) << S_GPIO17_ACT_LOW)
12108#define F_GPIO17_ACT_LOW V_GPIO17_ACT_LOW(1U)
12109
12110#define S_GPIO16_ACT_LOW 22
12111#define V_GPIO16_ACT_LOW(x) ((x) << S_GPIO16_ACT_LOW)
12112#define F_GPIO16_ACT_LOW V_GPIO16_ACT_LOW(1U)
12113
12114#define A_DBG_EFUSE_BYTE0_3 0x6034
12115#define A_DBG_EFUSE_BYTE4_7 0x6038
12116#define A_DBG_EFUSE_BYTE8_11 0x603c
12117#define A_DBG_EFUSE_BYTE12_15 0x6040
12118#define A_DBG_STATIC_U_PLL_CONF 0x6044
12119
12120#define S_STATIC_U_PLL_MULT 23
12121#define M_STATIC_U_PLL_MULT 0x1ffU
12122#define V_STATIC_U_PLL_MULT(x) ((x) << S_STATIC_U_PLL_MULT)
12123#define G_STATIC_U_PLL_MULT(x) (((x) >> S_STATIC_U_PLL_MULT) & M_STATIC_U_PLL_MULT)
12124
12125#define S_STATIC_U_PLL_PREDIV 18
12126#define M_STATIC_U_PLL_PREDIV 0x1fU
12127#define V_STATIC_U_PLL_PREDIV(x) ((x) << S_STATIC_U_PLL_PREDIV)
12128#define G_STATIC_U_PLL_PREDIV(x) (((x) >> S_STATIC_U_PLL_PREDIV) & M_STATIC_U_PLL_PREDIV)
12129
12130#define S_STATIC_U_PLL_RANGEA 14
12131#define M_STATIC_U_PLL_RANGEA 0xfU
12132#define V_STATIC_U_PLL_RANGEA(x) ((x) << S_STATIC_U_PLL_RANGEA)
12133#define G_STATIC_U_PLL_RANGEA(x) (((x) >> S_STATIC_U_PLL_RANGEA) & M_STATIC_U_PLL_RANGEA)
12134
12135#define S_STATIC_U_PLL_RANGEB 10
12136#define M_STATIC_U_PLL_RANGEB 0xfU
12137#define V_STATIC_U_PLL_RANGEB(x) ((x) << S_STATIC_U_PLL_RANGEB)
12138#define G_STATIC_U_PLL_RANGEB(x) (((x) >> S_STATIC_U_PLL_RANGEB) & M_STATIC_U_PLL_RANGEB)
12139
12140#define S_STATIC_U_PLL_TUNE 0
12141#define M_STATIC_U_PLL_TUNE 0x3ffU
12142#define V_STATIC_U_PLL_TUNE(x) ((x) << S_STATIC_U_PLL_TUNE)
12143#define G_STATIC_U_PLL_TUNE(x) (((x) >> S_STATIC_U_PLL_TUNE) & M_STATIC_U_PLL_TUNE)
12144
12145#define A_DBG_STATIC_C_PLL_CONF 0x6048
12146
12147#define S_STATIC_C_PLL_MULT 23
12148#define M_STATIC_C_PLL_MULT 0x1ffU
12149#define V_STATIC_C_PLL_MULT(x) ((x) << S_STATIC_C_PLL_MULT)
12150#define G_STATIC_C_PLL_MULT(x) (((x) >> S_STATIC_C_PLL_MULT) & M_STATIC_C_PLL_MULT)
12151
12152#define S_STATIC_C_PLL_PREDIV 18
12153#define M_STATIC_C_PLL_PREDIV 0x1fU
12154#define V_STATIC_C_PLL_PREDIV(x) ((x) << S_STATIC_C_PLL_PREDIV)
12155#define G_STATIC_C_PLL_PREDIV(x) (((x) >> S_STATIC_C_PLL_PREDIV) & M_STATIC_C_PLL_PREDIV)
12156
12157#define S_STATIC_C_PLL_RANGEA 14
12158#define M_STATIC_C_PLL_RANGEA 0xfU
12159#define V_STATIC_C_PLL_RANGEA(x) ((x) << S_STATIC_C_PLL_RANGEA)
12160#define G_STATIC_C_PLL_RANGEA(x) (((x) >> S_STATIC_C_PLL_RANGEA) & M_STATIC_C_PLL_RANGEA)
12161
12162#define S_STATIC_C_PLL_RANGEB 10
12163#define M_STATIC_C_PLL_RANGEB 0xfU
12164#define V_STATIC_C_PLL_RANGEB(x) ((x) << S_STATIC_C_PLL_RANGEB)
12165#define G_STATIC_C_PLL_RANGEB(x) (((x) >> S_STATIC_C_PLL_RANGEB) & M_STATIC_C_PLL_RANGEB)
12166
12167#define S_STATIC_C_PLL_TUNE 0
12168#define M_STATIC_C_PLL_TUNE 0x3ffU
12169#define V_STATIC_C_PLL_TUNE(x) ((x) << S_STATIC_C_PLL_TUNE)
12170#define G_STATIC_C_PLL_TUNE(x) (((x) >> S_STATIC_C_PLL_TUNE) & M_STATIC_C_PLL_TUNE)
12171
12172#define A_DBG_STATIC_M_PLL_CONF 0x604c
12173
12174#define S_STATIC_M_PLL_MULT 23
12175#define M_STATIC_M_PLL_MULT 0x1ffU
12176#define V_STATIC_M_PLL_MULT(x) ((x) << S_STATIC_M_PLL_MULT)
12177#define G_STATIC_M_PLL_MULT(x) (((x) >> S_STATIC_M_PLL_MULT) & M_STATIC_M_PLL_MULT)
12178
12179#define S_STATIC_M_PLL_PREDIV 18
12180#define M_STATIC_M_PLL_PREDIV 0x1fU
12181#define V_STATIC_M_PLL_PREDIV(x) ((x) << S_STATIC_M_PLL_PREDIV)
12182#define G_STATIC_M_PLL_PREDIV(x) (((x) >> S_STATIC_M_PLL_PREDIV) & M_STATIC_M_PLL_PREDIV)
12183
12184#define S_STATIC_M_PLL_RANGEA 14
12185#define M_STATIC_M_PLL_RANGEA 0xfU
12186#define V_STATIC_M_PLL_RANGEA(x) ((x) << S_STATIC_M_PLL_RANGEA)
12187#define G_STATIC_M_PLL_RANGEA(x) (((x) >> S_STATIC_M_PLL_RANGEA) & M_STATIC_M_PLL_RANGEA)
12188
12189#define S_STATIC_M_PLL_RANGEB 10
12190#define M_STATIC_M_PLL_RANGEB 0xfU
12191#define V_STATIC_M_PLL_RANGEB(x) ((x) << S_STATIC_M_PLL_RANGEB)
12192#define G_STATIC_M_PLL_RANGEB(x) (((x) >> S_STATIC_M_PLL_RANGEB) & M_STATIC_M_PLL_RANGEB)
12193
12194#define S_STATIC_M_PLL_TUNE 0
12195#define M_STATIC_M_PLL_TUNE 0x3ffU
12196#define V_STATIC_M_PLL_TUNE(x) ((x) << S_STATIC_M_PLL_TUNE)
12197#define G_STATIC_M_PLL_TUNE(x) (((x) >> S_STATIC_M_PLL_TUNE) & M_STATIC_M_PLL_TUNE)
12198
12199#define A_DBG_STATIC_KX_PLL_CONF 0x6050
12200
12201#define S_STATIC_KX_PLL_C 21
12202#define M_STATIC_KX_PLL_C 0xffU
12203#define V_STATIC_KX_PLL_C(x) ((x) << S_STATIC_KX_PLL_C)
12204#define G_STATIC_KX_PLL_C(x) (((x) >> S_STATIC_KX_PLL_C) & M_STATIC_KX_PLL_C)
12205
12206#define S_STATIC_KX_PLL_M 15
12207#define M_STATIC_KX_PLL_M 0x3fU
12208#define V_STATIC_KX_PLL_M(x) ((x) << S_STATIC_KX_PLL_M)
12209#define G_STATIC_KX_PLL_M(x) (((x) >> S_STATIC_KX_PLL_M) & M_STATIC_KX_PLL_M)
12210
12211#define S_STATIC_KX_PLL_N1 11
12212#define M_STATIC_KX_PLL_N1 0xfU
12213#define V_STATIC_KX_PLL_N1(x) ((x) << S_STATIC_KX_PLL_N1)
12214#define G_STATIC_KX_PLL_N1(x) (((x) >> S_STATIC_KX_PLL_N1) & M_STATIC_KX_PLL_N1)
12215
12216#define S_STATIC_KX_PLL_N2 7
12217#define M_STATIC_KX_PLL_N2 0xfU
12218#define V_STATIC_KX_PLL_N2(x) ((x) << S_STATIC_KX_PLL_N2)
12219#define G_STATIC_KX_PLL_N2(x) (((x) >> S_STATIC_KX_PLL_N2) & M_STATIC_KX_PLL_N2)
12220
12221#define S_STATIC_KX_PLL_N3 3
12222#define M_STATIC_KX_PLL_N3 0xfU
12223#define V_STATIC_KX_PLL_N3(x) ((x) << S_STATIC_KX_PLL_N3)
12224#define G_STATIC_KX_PLL_N3(x) (((x) >> S_STATIC_KX_PLL_N3) & M_STATIC_KX_PLL_N3)
12225
12226#define S_STATIC_KX_PLL_P 0
12227#define M_STATIC_KX_PLL_P 0x7U
12228#define V_STATIC_KX_PLL_P(x) ((x) << S_STATIC_KX_PLL_P)
12229#define G_STATIC_KX_PLL_P(x) (((x) >> S_STATIC_KX_PLL_P) & M_STATIC_KX_PLL_P)
12230
12231#define A_DBG_STATIC_KR_PLL_CONF 0x6054
12232
12233#define S_STATIC_KR_PLL_C 21
12234#define M_STATIC_KR_PLL_C 0xffU
12235#define V_STATIC_KR_PLL_C(x) ((x) << S_STATIC_KR_PLL_C)
12236#define G_STATIC_KR_PLL_C(x) (((x) >> S_STATIC_KR_PLL_C) & M_STATIC_KR_PLL_C)
12237
12238#define S_STATIC_KR_PLL_M 15
12239#define M_STATIC_KR_PLL_M 0x3fU
12240#define V_STATIC_KR_PLL_M(x) ((x) << S_STATIC_KR_PLL_M)
12241#define G_STATIC_KR_PLL_M(x) (((x) >> S_STATIC_KR_PLL_M) & M_STATIC_KR_PLL_M)
12242
12243#define S_STATIC_KR_PLL_N1 11
12244#define M_STATIC_KR_PLL_N1 0xfU
12245#define V_STATIC_KR_PLL_N1(x) ((x) << S_STATIC_KR_PLL_N1)
12246#define G_STATIC_KR_PLL_N1(x) (((x) >> S_STATIC_KR_PLL_N1) & M_STATIC_KR_PLL_N1)
12247
12248#define S_STATIC_KR_PLL_N2 7
12249#define M_STATIC_KR_PLL_N2 0xfU
12250#define V_STATIC_KR_PLL_N2(x) ((x) << S_STATIC_KR_PLL_N2)
12251#define G_STATIC_KR_PLL_N2(x) (((x) >> S_STATIC_KR_PLL_N2) & M_STATIC_KR_PLL_N2)
12252
12253#define S_STATIC_KR_PLL_N3 3
12254#define M_STATIC_KR_PLL_N3 0xfU
12255#define V_STATIC_KR_PLL_N3(x) ((x) << S_STATIC_KR_PLL_N3)
12256#define G_STATIC_KR_PLL_N3(x) (((x) >> S_STATIC_KR_PLL_N3) & M_STATIC_KR_PLL_N3)
12257
12258#define S_STATIC_KR_PLL_P 0
12259#define M_STATIC_KR_PLL_P 0x7U
12260#define V_STATIC_KR_PLL_P(x) ((x) << S_STATIC_KR_PLL_P)
12261#define G_STATIC_KR_PLL_P(x) (((x) >> S_STATIC_KR_PLL_P) & M_STATIC_KR_PLL_P)
12262
12263#define A_DBG_EXTRA_STATIC_BITS_CONF 0x6058
12264
12265#define S_STATIC_M_PLL_RESET 30
12266#define V_STATIC_M_PLL_RESET(x) ((x) << S_STATIC_M_PLL_RESET)
12267#define F_STATIC_M_PLL_RESET V_STATIC_M_PLL_RESET(1U)
12268
12269#define S_STATIC_M_PLL_SLEEP 29
12270#define V_STATIC_M_PLL_SLEEP(x) ((x) << S_STATIC_M_PLL_SLEEP)
12271#define F_STATIC_M_PLL_SLEEP V_STATIC_M_PLL_SLEEP(1U)
12272
12273#define S_STATIC_M_PLL_BYPASS 28
12274#define V_STATIC_M_PLL_BYPASS(x) ((x) << S_STATIC_M_PLL_BYPASS)
12275#define F_STATIC_M_PLL_BYPASS V_STATIC_M_PLL_BYPASS(1U)
12276
12277#define S_STATIC_MPLL_CLK_SEL 27
12278#define V_STATIC_MPLL_CLK_SEL(x) ((x) << S_STATIC_MPLL_CLK_SEL)
12279#define F_STATIC_MPLL_CLK_SEL V_STATIC_MPLL_CLK_SEL(1U)
12280
12281#define S_STATIC_U_PLL_SLEEP 26
12282#define V_STATIC_U_PLL_SLEEP(x) ((x) << S_STATIC_U_PLL_SLEEP)
12283#define F_STATIC_U_PLL_SLEEP V_STATIC_U_PLL_SLEEP(1U)
12284
12285#define S_STATIC_C_PLL_SLEEP 25
12286#define V_STATIC_C_PLL_SLEEP(x) ((x) << S_STATIC_C_PLL_SLEEP)
12287#define F_STATIC_C_PLL_SLEEP V_STATIC_C_PLL_SLEEP(1U)
12288
12289#define S_STATIC_LVDS_CLKOUT_SEL 23
12290#define M_STATIC_LVDS_CLKOUT_SEL 0x3U
12291#define V_STATIC_LVDS_CLKOUT_SEL(x) ((x) << S_STATIC_LVDS_CLKOUT_SEL)
12292#define G_STATIC_LVDS_CLKOUT_SEL(x) (((x) >> S_STATIC_LVDS_CLKOUT_SEL) & M_STATIC_LVDS_CLKOUT_SEL)
12293
12294#define S_STATIC_LVDS_CLKOUT_EN 22
12295#define V_STATIC_LVDS_CLKOUT_EN(x) ((x) << S_STATIC_LVDS_CLKOUT_EN)
12296#define F_STATIC_LVDS_CLKOUT_EN V_STATIC_LVDS_CLKOUT_EN(1U)
12297
12298#define S_STATIC_CCLK_FREQ_SEL 20
12299#define M_STATIC_CCLK_FREQ_SEL 0x3U
12300#define V_STATIC_CCLK_FREQ_SEL(x) ((x) << S_STATIC_CCLK_FREQ_SEL)
12301#define G_STATIC_CCLK_FREQ_SEL(x) (((x) >> S_STATIC_CCLK_FREQ_SEL) & M_STATIC_CCLK_FREQ_SEL)
12302
12303#define S_STATIC_UCLK_FREQ_SEL 18
12304#define M_STATIC_UCLK_FREQ_SEL 0x3U
12305#define V_STATIC_UCLK_FREQ_SEL(x) ((x) << S_STATIC_UCLK_FREQ_SEL)
12306#define G_STATIC_UCLK_FREQ_SEL(x) (((x) >> S_STATIC_UCLK_FREQ_SEL) & M_STATIC_UCLK_FREQ_SEL)
12307
12308#define S_EXPHYCLK_SEL_EN 17
12309#define V_EXPHYCLK_SEL_EN(x) ((x) << S_EXPHYCLK_SEL_EN)
12310#define F_EXPHYCLK_SEL_EN V_EXPHYCLK_SEL_EN(1U)
12311
12312#define S_EXPHYCLK_SEL 15
12313#define M_EXPHYCLK_SEL 0x3U
12314#define V_EXPHYCLK_SEL(x) ((x) << S_EXPHYCLK_SEL)
12315#define G_EXPHYCLK_SEL(x) (((x) >> S_EXPHYCLK_SEL) & M_EXPHYCLK_SEL)
12316
12317#define S_STATIC_U_PLL_BYPASS 14
12318#define V_STATIC_U_PLL_BYPASS(x) ((x) << S_STATIC_U_PLL_BYPASS)
12319#define F_STATIC_U_PLL_BYPASS V_STATIC_U_PLL_BYPASS(1U)
12320
12321#define S_STATIC_C_PLL_BYPASS 13
12322#define V_STATIC_C_PLL_BYPASS(x) ((x) << S_STATIC_C_PLL_BYPASS)
12323#define F_STATIC_C_PLL_BYPASS V_STATIC_C_PLL_BYPASS(1U)
12324
12325#define S_STATIC_KR_PLL_BYPASS 12
12326#define V_STATIC_KR_PLL_BYPASS(x) ((x) << S_STATIC_KR_PLL_BYPASS)
12327#define F_STATIC_KR_PLL_BYPASS V_STATIC_KR_PLL_BYPASS(1U)
12328
12329#define S_STATIC_KX_PLL_BYPASS 11
12330#define V_STATIC_KX_PLL_BYPASS(x) ((x) << S_STATIC_KX_PLL_BYPASS)
12331#define F_STATIC_KX_PLL_BYPASS V_STATIC_KX_PLL_BYPASS(1U)
12332
12333#define S_STATIC_KX_PLL_V 7
12334#define M_STATIC_KX_PLL_V 0xfU
12335#define V_STATIC_KX_PLL_V(x) ((x) << S_STATIC_KX_PLL_V)
12336#define G_STATIC_KX_PLL_V(x) (((x) >> S_STATIC_KX_PLL_V) & M_STATIC_KX_PLL_V)
12337
12338#define S_STATIC_KR_PLL_V 3
12339#define M_STATIC_KR_PLL_V 0xfU
12340#define V_STATIC_KR_PLL_V(x) ((x) << S_STATIC_KR_PLL_V)
12341#define G_STATIC_KR_PLL_V(x) (((x) >> S_STATIC_KR_PLL_V) & M_STATIC_KR_PLL_V)
12342
12343#define S_PSRO_SEL 0
12344#define M_PSRO_SEL 0x7U
12345#define V_PSRO_SEL(x) ((x) << S_PSRO_SEL)
12346#define G_PSRO_SEL(x) (((x) >> S_PSRO_SEL) & M_PSRO_SEL)
12347
12348#define A_DBG_STATIC_OCLK_MUXSEL_CONF 0x605c
12349
12350#define S_M_OCLK_MUXSEL 12
12351#define V_M_OCLK_MUXSEL(x) ((x) << S_M_OCLK_MUXSEL)
12352#define F_M_OCLK_MUXSEL V_M_OCLK_MUXSEL(1U)
12353
12354#define S_C_OCLK_MUXSEL 10
12355#define M_C_OCLK_MUXSEL 0x3U
12356#define V_C_OCLK_MUXSEL(x) ((x) << S_C_OCLK_MUXSEL)
12357#define G_C_OCLK_MUXSEL(x) (((x) >> S_C_OCLK_MUXSEL) & M_C_OCLK_MUXSEL)
12358
12359#define S_U_OCLK_MUXSEL 8
12360#define M_U_OCLK_MUXSEL 0x3U
12361#define V_U_OCLK_MUXSEL(x) ((x) << S_U_OCLK_MUXSEL)
12362#define G_U_OCLK_MUXSEL(x) (((x) >> S_U_OCLK_MUXSEL) & M_U_OCLK_MUXSEL)
12363
12364#define S_P_OCLK_MUXSEL 6
12365#define M_P_OCLK_MUXSEL 0x3U
12366#define V_P_OCLK_MUXSEL(x) ((x) << S_P_OCLK_MUXSEL)
12367#define G_P_OCLK_MUXSEL(x) (((x) >> S_P_OCLK_MUXSEL) & M_P_OCLK_MUXSEL)
12368
12369#define S_KX_OCLK_MUXSEL 3
12370#define M_KX_OCLK_MUXSEL 0x7U
12371#define V_KX_OCLK_MUXSEL(x) ((x) << S_KX_OCLK_MUXSEL)
12372#define G_KX_OCLK_MUXSEL(x) (((x) >> S_KX_OCLK_MUXSEL) & M_KX_OCLK_MUXSEL)
12373
12374#define S_KR_OCLK_MUXSEL 0
12375#define M_KR_OCLK_MUXSEL 0x7U
12376#define V_KR_OCLK_MUXSEL(x) ((x) << S_KR_OCLK_MUXSEL)
12377#define G_KR_OCLK_MUXSEL(x) (((x) >> S_KR_OCLK_MUXSEL) & M_KR_OCLK_MUXSEL)
12378
12379#define S_T5_P_OCLK_MUXSEL 13
12380#define M_T5_P_OCLK_MUXSEL 0xfU
12381#define V_T5_P_OCLK_MUXSEL(x) ((x) << S_T5_P_OCLK_MUXSEL)
12382#define G_T5_P_OCLK_MUXSEL(x) (((x) >> S_T5_P_OCLK_MUXSEL) & M_T5_P_OCLK_MUXSEL)
12383
12384#define S_T6_P_OCLK_MUXSEL 13
12385#define M_T6_P_OCLK_MUXSEL 0xfU
12386#define V_T6_P_OCLK_MUXSEL(x) ((x) << S_T6_P_OCLK_MUXSEL)
12387#define G_T6_P_OCLK_MUXSEL(x) (((x) >> S_T6_P_OCLK_MUXSEL) & M_T6_P_OCLK_MUXSEL)
12388
12389#define A_DBG_TRACE0_CONF_COMPREG0 0x6060
12390#define A_DBG_TRACE0_CONF_COMPREG1 0x6064
12391#define A_DBG_TRACE1_CONF_COMPREG0 0x6068
12392#define A_DBG_TRACE1_CONF_COMPREG1 0x606c
12393#define A_DBG_TRACE0_CONF_MASKREG0 0x6070
12394#define A_DBG_TRACE0_CONF_MASKREG1 0x6074
12395#define A_DBG_TRACE1_CONF_MASKREG0 0x6078
12396#define A_DBG_TRACE1_CONF_MASKREG1 0x607c
12397#define A_DBG_TRACE_COUNTER 0x6080
12398
12399#define S_COUNTER1 16
12400#define M_COUNTER1 0xffffU
12401#define V_COUNTER1(x) ((x) << S_COUNTER1)
12402#define G_COUNTER1(x) (((x) >> S_COUNTER1) & M_COUNTER1)
12403
12404#define S_COUNTER0 0
12405#define M_COUNTER0 0xffffU
12406#define V_COUNTER0(x) ((x) << S_COUNTER0)
12407#define G_COUNTER0(x) (((x) >> S_COUNTER0) & M_COUNTER0)
12408
12409#define A_DBG_STATIC_REFCLK_PERIOD 0x6084
12410
12411#define S_STATIC_REFCLK_PERIOD 0
12412#define M_STATIC_REFCLK_PERIOD 0xffffU
12413#define V_STATIC_REFCLK_PERIOD(x) ((x) << S_STATIC_REFCLK_PERIOD)
12414#define G_STATIC_REFCLK_PERIOD(x) (((x) >> S_STATIC_REFCLK_PERIOD) & M_STATIC_REFCLK_PERIOD)
12415
12416#define A_DBG_TRACE_CONF 0x6088
12417
12418#define S_DBG_TRACE_OPERATE_WITH_TRG 5
12419#define V_DBG_TRACE_OPERATE_WITH_TRG(x) ((x) << S_DBG_TRACE_OPERATE_WITH_TRG)
12420#define F_DBG_TRACE_OPERATE_WITH_TRG V_DBG_TRACE_OPERATE_WITH_TRG(1U)
12421
12422#define S_DBG_TRACE_OPERATE_EN 4
12423#define V_DBG_TRACE_OPERATE_EN(x) ((x) << S_DBG_TRACE_OPERATE_EN)
12424#define F_DBG_TRACE_OPERATE_EN V_DBG_TRACE_OPERATE_EN(1U)
12425
12426#define S_DBG_OPERATE_INDV_COMBINED 3
12427#define V_DBG_OPERATE_INDV_COMBINED(x) ((x) << S_DBG_OPERATE_INDV_COMBINED)
12428#define F_DBG_OPERATE_INDV_COMBINED V_DBG_OPERATE_INDV_COMBINED(1U)
12429
12430#define S_DBG_OPERATE_ORDER_OF_TRIGGER 2
12431#define V_DBG_OPERATE_ORDER_OF_TRIGGER(x) ((x) << S_DBG_OPERATE_ORDER_OF_TRIGGER)
12432#define F_DBG_OPERATE_ORDER_OF_TRIGGER V_DBG_OPERATE_ORDER_OF_TRIGGER(1U)
12433
12434#define S_DBG_OPERATE_SGL_DBL_TRIGGER 1
12435#define V_DBG_OPERATE_SGL_DBL_TRIGGER(x) ((x) << S_DBG_OPERATE_SGL_DBL_TRIGGER)
12436#define F_DBG_OPERATE_SGL_DBL_TRIGGER V_DBG_OPERATE_SGL_DBL_TRIGGER(1U)
12437
12438#define S_DBG_OPERATE0_OR_1 0
12439#define V_DBG_OPERATE0_OR_1(x) ((x) << S_DBG_OPERATE0_OR_1)
12440#define F_DBG_OPERATE0_OR_1 V_DBG_OPERATE0_OR_1(1U)
12441
12442#define A_DBG_TRACE_RDEN 0x608c
12443
12444#define S_RD_ADDR1 10
12445#define M_RD_ADDR1 0xffU
12446#define V_RD_ADDR1(x) ((x) << S_RD_ADDR1)
12447#define G_RD_ADDR1(x) (((x) >> S_RD_ADDR1) & M_RD_ADDR1)
12448
12449#define S_RD_ADDR0 2
12450#define M_RD_ADDR0 0xffU
12451#define V_RD_ADDR0(x) ((x) << S_RD_ADDR0)
12452#define G_RD_ADDR0(x) (((x) >> S_RD_ADDR0) & M_RD_ADDR0)
12453
12454#define S_RD_EN1 1
12455#define V_RD_EN1(x) ((x) << S_RD_EN1)
12456#define F_RD_EN1 V_RD_EN1(1U)
12457
12458#define S_RD_EN0 0
12459#define V_RD_EN0(x) ((x) << S_RD_EN0)
12460#define F_RD_EN0 V_RD_EN0(1U)
12461
12462#define S_T5_RD_ADDR1 11
12463#define M_T5_RD_ADDR1 0x1ffU
12464#define V_T5_RD_ADDR1(x) ((x) << S_T5_RD_ADDR1)
12465#define G_T5_RD_ADDR1(x) (((x) >> S_T5_RD_ADDR1) & M_T5_RD_ADDR1)
12466
12467#define S_T5_RD_ADDR0 2
12468#define M_T5_RD_ADDR0 0x1ffU
12469#define V_T5_RD_ADDR0(x) ((x) << S_T5_RD_ADDR0)
12470#define G_T5_RD_ADDR0(x) (((x) >> S_T5_RD_ADDR0) & M_T5_RD_ADDR0)
12471
12472#define S_T6_RD_ADDR1 11
12473#define M_T6_RD_ADDR1 0x1ffU
12474#define V_T6_RD_ADDR1(x) ((x) << S_T6_RD_ADDR1)
12475#define G_T6_RD_ADDR1(x) (((x) >> S_T6_RD_ADDR1) & M_T6_RD_ADDR1)
12476
12477#define S_T6_RD_ADDR0 2
12478#define M_T6_RD_ADDR0 0x1ffU
12479#define V_T6_RD_ADDR0(x) ((x) << S_T6_RD_ADDR0)
12480#define G_T6_RD_ADDR0(x) (((x) >> S_T6_RD_ADDR0) & M_T6_RD_ADDR0)
12481
12482#define A_DBG_TRACE_WRADDR 0x6090
12483
12484#define S_WR_POINTER_ADDR1 16
12485#define M_WR_POINTER_ADDR1 0xffU
12486#define V_WR_POINTER_ADDR1(x) ((x) << S_WR_POINTER_ADDR1)
12487#define G_WR_POINTER_ADDR1(x) (((x) >> S_WR_POINTER_ADDR1) & M_WR_POINTER_ADDR1)
12488
12489#define S_WR_POINTER_ADDR0 0
12490#define M_WR_POINTER_ADDR0 0xffU
12491#define V_WR_POINTER_ADDR0(x) ((x) << S_WR_POINTER_ADDR0)
12492#define G_WR_POINTER_ADDR0(x) (((x) >> S_WR_POINTER_ADDR0) & M_WR_POINTER_ADDR0)
12493
12494#define S_T5_WR_POINTER_ADDR1 16
12495#define M_T5_WR_POINTER_ADDR1 0x1ffU
12496#define V_T5_WR_POINTER_ADDR1(x) ((x) << S_T5_WR_POINTER_ADDR1)
12497#define G_T5_WR_POINTER_ADDR1(x) (((x) >> S_T5_WR_POINTER_ADDR1) & M_T5_WR_POINTER_ADDR1)
12498
12499#define S_T5_WR_POINTER_ADDR0 0
12500#define M_T5_WR_POINTER_ADDR0 0x1ffU
12501#define V_T5_WR_POINTER_ADDR0(x) ((x) << S_T5_WR_POINTER_ADDR0)
12502#define G_T5_WR_POINTER_ADDR0(x) (((x) >> S_T5_WR_POINTER_ADDR0) & M_T5_WR_POINTER_ADDR0)
12503
12504#define S_T6_WR_POINTER_ADDR1 16
12505#define M_T6_WR_POINTER_ADDR1 0x1ffU
12506#define V_T6_WR_POINTER_ADDR1(x) ((x) << S_T6_WR_POINTER_ADDR1)
12507#define G_T6_WR_POINTER_ADDR1(x) (((x) >> S_T6_WR_POINTER_ADDR1) & M_T6_WR_POINTER_ADDR1)
12508
12509#define S_T6_WR_POINTER_ADDR0 0
12510#define M_T6_WR_POINTER_ADDR0 0x1ffU
12511#define V_T6_WR_POINTER_ADDR0(x) ((x) << S_T6_WR_POINTER_ADDR0)
12512#define G_T6_WR_POINTER_ADDR0(x) (((x) >> S_T6_WR_POINTER_ADDR0) & M_T6_WR_POINTER_ADDR0)
12513
12514#define A_DBG_TRACE0_DATA_OUT 0x6094
12515#define A_DBG_TRACE1_DATA_OUT 0x6098
12516#define A_DBG_FUSE_SENSE_DONE 0x609c
12517
12518#define S_STATIC_JTAG_VERSIONNR 5
12519#define M_STATIC_JTAG_VERSIONNR 0xfU
12520#define V_STATIC_JTAG_VERSIONNR(x) ((x) << S_STATIC_JTAG_VERSIONNR)
12521#define G_STATIC_JTAG_VERSIONNR(x) (((x) >> S_STATIC_JTAG_VERSIONNR) & M_STATIC_JTAG_VERSIONNR)
12522
12523#define S_UNQ0 1
12524#define M_UNQ0 0xfU
12525#define V_UNQ0(x) ((x) << S_UNQ0)
12526#define G_UNQ0(x) (((x) >> S_UNQ0) & M_UNQ0)
12527
12528#define S_FUSE_DONE_SENSE 0
12529#define V_FUSE_DONE_SENSE(x) ((x) << S_FUSE_DONE_SENSE)
12530#define F_FUSE_DONE_SENSE V_FUSE_DONE_SENSE(1U)
12531
12532#define A_DBG_TVSENSE_EN 0x60a8
12533
12534#define S_MCIMPED1_OUT 29
12535#define V_MCIMPED1_OUT(x) ((x) << S_MCIMPED1_OUT)
12536#define F_MCIMPED1_OUT V_MCIMPED1_OUT(1U)
12537
12538#define S_MCIMPED2_OUT 28
12539#define V_MCIMPED2_OUT(x) ((x) << S_MCIMPED2_OUT)
12540#define F_MCIMPED2_OUT V_MCIMPED2_OUT(1U)
12541
12542#define S_TVSENSE_SNSOUT 17
12543#define M_TVSENSE_SNSOUT 0x1ffU
12544#define V_TVSENSE_SNSOUT(x) ((x) << S_TVSENSE_SNSOUT)
12545#define G_TVSENSE_SNSOUT(x) (((x) >> S_TVSENSE_SNSOUT) & M_TVSENSE_SNSOUT)
12546
12547#define S_TVSENSE_OUTPUTVALID 16
12548#define V_TVSENSE_OUTPUTVALID(x) ((x) << S_TVSENSE_OUTPUTVALID)
12549#define F_TVSENSE_OUTPUTVALID V_TVSENSE_OUTPUTVALID(1U)
12550
12551#define S_TVSENSE_SLEEP 10
12552#define V_TVSENSE_SLEEP(x) ((x) << S_TVSENSE_SLEEP)
12553#define F_TVSENSE_SLEEP V_TVSENSE_SLEEP(1U)
12554
12555#define S_TVSENSE_SENSV 9
12556#define V_TVSENSE_SENSV(x) ((x) << S_TVSENSE_SENSV)
12557#define F_TVSENSE_SENSV V_TVSENSE_SENSV(1U)
12558
12559#define S_TVSENSE_RST 8
12560#define V_TVSENSE_RST(x) ((x) << S_TVSENSE_RST)
12561#define F_TVSENSE_RST V_TVSENSE_RST(1U)
12562
12563#define S_TVSENSE_RATIO 0
12564#define M_TVSENSE_RATIO 0xffU
12565#define V_TVSENSE_RATIO(x) ((x) << S_TVSENSE_RATIO)
12566#define G_TVSENSE_RATIO(x) (((x) >> S_TVSENSE_RATIO) & M_TVSENSE_RATIO)
12567
12568#define S_T6_TVSENSE_SLEEP 11
12569#define V_T6_TVSENSE_SLEEP(x) ((x) << S_T6_TVSENSE_SLEEP)
12570#define F_T6_TVSENSE_SLEEP V_T6_TVSENSE_SLEEP(1U)
12571
12572#define S_T6_TVSENSE_SENSV 10
12573#define V_T6_TVSENSE_SENSV(x) ((x) << S_T6_TVSENSE_SENSV)
12574#define F_T6_TVSENSE_SENSV V_T6_TVSENSE_SENSV(1U)
12575
12576#define S_T6_TVSENSE_RST 9
12577#define V_T6_TVSENSE_RST(x) ((x) << S_T6_TVSENSE_RST)
12578#define F_T6_TVSENSE_RST V_T6_TVSENSE_RST(1U)
12579
12580#define A_DBG_CUST_EFUSE_OUT_EN 0x60ac
12581#define A_DBG_CUST_EFUSE_SEL1_EN 0x60b0
12582#define A_DBG_CUST_EFUSE_SEL2_EN 0x60b4
12583
12584#define S_DBG_FEENABLE 29
12585#define V_DBG_FEENABLE(x) ((x) << S_DBG_FEENABLE)
12586#define F_DBG_FEENABLE V_DBG_FEENABLE(1U)
12587
12588#define S_DBG_FEF 23
12589#define M_DBG_FEF 0x3fU
12590#define V_DBG_FEF(x) ((x) << S_DBG_FEF)
12591#define G_DBG_FEF(x) (((x) >> S_DBG_FEF) & M_DBG_FEF)
12592
12593#define S_DBG_FEMIMICN 22
12594#define V_DBG_FEMIMICN(x) ((x) << S_DBG_FEMIMICN)
12595#define F_DBG_FEMIMICN V_DBG_FEMIMICN(1U)
12596
12597#define S_DBG_FEGATEC 21
12598#define V_DBG_FEGATEC(x) ((x) << S_DBG_FEGATEC)
12599#define F_DBG_FEGATEC V_DBG_FEGATEC(1U)
12600
12601#define S_DBG_FEPROGP 20
12602#define V_DBG_FEPROGP(x) ((x) << S_DBG_FEPROGP)
12603#define F_DBG_FEPROGP V_DBG_FEPROGP(1U)
12604
12605#define S_DBG_FEREADCLK 19
12606#define V_DBG_FEREADCLK(x) ((x) << S_DBG_FEREADCLK)
12607#define F_DBG_FEREADCLK V_DBG_FEREADCLK(1U)
12608
12609#define S_DBG_FERSEL 3
12610#define M_DBG_FERSEL 0xffffU
12611#define V_DBG_FERSEL(x) ((x) << S_DBG_FERSEL)
12612#define G_DBG_FERSEL(x) (((x) >> S_DBG_FERSEL) & M_DBG_FERSEL)
12613
12614#define S_DBG_FETIME 0
12615#define M_DBG_FETIME 0x7U
12616#define V_DBG_FETIME(x) ((x) << S_DBG_FETIME)
12617#define G_DBG_FETIME(x) (((x) >> S_DBG_FETIME) & M_DBG_FETIME)
12618
12619#define A_DBG_T5_STATIC_M_PLL_CONF1 0x60b8
12620
12621#define S_T5_STATIC_M_PLL_MULTFRAC 8
12622#define M_T5_STATIC_M_PLL_MULTFRAC 0xffffffU
12623#define V_T5_STATIC_M_PLL_MULTFRAC(x) ((x) << S_T5_STATIC_M_PLL_MULTFRAC)
12624#define G_T5_STATIC_M_PLL_MULTFRAC(x) (((x) >> S_T5_STATIC_M_PLL_MULTFRAC) & M_T5_STATIC_M_PLL_MULTFRAC)
12625
12626#define S_T5_STATIC_M_PLL_FFSLEWRATE 0
12627#define M_T5_STATIC_M_PLL_FFSLEWRATE 0xffU
12628#define V_T5_STATIC_M_PLL_FFSLEWRATE(x) ((x) << S_T5_STATIC_M_PLL_FFSLEWRATE)
12629#define G_T5_STATIC_M_PLL_FFSLEWRATE(x) (((x) >> S_T5_STATIC_M_PLL_FFSLEWRATE) & M_T5_STATIC_M_PLL_FFSLEWRATE)
12630
12631#define A_DBG_STATIC_M_PLL_CONF1 0x60b8
12632
12633#define S_STATIC_M_PLL_MULTFRAC 8
12634#define M_STATIC_M_PLL_MULTFRAC 0xffffffU
12635#define V_STATIC_M_PLL_MULTFRAC(x) ((x) << S_STATIC_M_PLL_MULTFRAC)
12636#define G_STATIC_M_PLL_MULTFRAC(x) (((x) >> S_STATIC_M_PLL_MULTFRAC) & M_STATIC_M_PLL_MULTFRAC)
12637
12638#define S_STATIC_M_PLL_FFSLEWRATE 0
12639#define M_STATIC_M_PLL_FFSLEWRATE 0xffU
12640#define V_STATIC_M_PLL_FFSLEWRATE(x) ((x) << S_STATIC_M_PLL_FFSLEWRATE)
12641#define G_STATIC_M_PLL_FFSLEWRATE(x) (((x) >> S_STATIC_M_PLL_FFSLEWRATE) & M_STATIC_M_PLL_FFSLEWRATE)
12642
12643#define A_DBG_T5_STATIC_M_PLL_CONF2 0x60bc
12644
12645#define S_T5_STATIC_M_PLL_DCO_BYPASS 23
12646#define V_T5_STATIC_M_PLL_DCO_BYPASS(x) ((x) << S_T5_STATIC_M_PLL_DCO_BYPASS)
12647#define F_T5_STATIC_M_PLL_DCO_BYPASS V_T5_STATIC_M_PLL_DCO_BYPASS(1U)
12648
12649#define S_T5_STATIC_M_PLL_SDORDER 21
12650#define M_T5_STATIC_M_PLL_SDORDER 0x3U
12651#define V_T5_STATIC_M_PLL_SDORDER(x) ((x) << S_T5_STATIC_M_PLL_SDORDER)
12652#define G_T5_STATIC_M_PLL_SDORDER(x) (((x) >> S_T5_STATIC_M_PLL_SDORDER) & M_T5_STATIC_M_PLL_SDORDER)
12653
12654#define S_T5_STATIC_M_PLL_FFENABLE 20
12655#define V_T5_STATIC_M_PLL_FFENABLE(x) ((x) << S_T5_STATIC_M_PLL_FFENABLE)
12656#define F_T5_STATIC_M_PLL_FFENABLE V_T5_STATIC_M_PLL_FFENABLE(1U)
12657
12658#define S_T5_STATIC_M_PLL_STOPCLKB 19
12659#define V_T5_STATIC_M_PLL_STOPCLKB(x) ((x) << S_T5_STATIC_M_PLL_STOPCLKB)
12660#define F_T5_STATIC_M_PLL_STOPCLKB V_T5_STATIC_M_PLL_STOPCLKB(1U)
12661
12662#define S_T5_STATIC_M_PLL_STOPCLKA 18
12663#define V_T5_STATIC_M_PLL_STOPCLKA(x) ((x) << S_T5_STATIC_M_PLL_STOPCLKA)
12664#define F_T5_STATIC_M_PLL_STOPCLKA V_T5_STATIC_M_PLL_STOPCLKA(1U)
12665
12666#define S_T5_STATIC_M_PLL_SLEEP 17
12667#define V_T5_STATIC_M_PLL_SLEEP(x) ((x) << S_T5_STATIC_M_PLL_SLEEP)
12668#define F_T5_STATIC_M_PLL_SLEEP V_T5_STATIC_M_PLL_SLEEP(1U)
12669
12670#define S_T5_STATIC_M_PLL_BYPASS 16
12671#define V_T5_STATIC_M_PLL_BYPASS(x) ((x) << S_T5_STATIC_M_PLL_BYPASS)
12672#define F_T5_STATIC_M_PLL_BYPASS V_T5_STATIC_M_PLL_BYPASS(1U)
12673
12674#define S_T5_STATIC_M_PLL_LOCKTUNE 0
12675#define M_T5_STATIC_M_PLL_LOCKTUNE 0xffffU
12676#define V_T5_STATIC_M_PLL_LOCKTUNE(x) ((x) << S_T5_STATIC_M_PLL_LOCKTUNE)
12677#define G_T5_STATIC_M_PLL_LOCKTUNE(x) (((x) >> S_T5_STATIC_M_PLL_LOCKTUNE) & M_T5_STATIC_M_PLL_LOCKTUNE)
12678
12679#define A_DBG_STATIC_M_PLL_CONF2 0x60bc
12680
12681#define S_T6_STATIC_M_PLL_PREDIV 24
12682#define M_T6_STATIC_M_PLL_PREDIV 0x3fU
12683#define V_T6_STATIC_M_PLL_PREDIV(x) ((x) << S_T6_STATIC_M_PLL_PREDIV)
12684#define G_T6_STATIC_M_PLL_PREDIV(x) (((x) >> S_T6_STATIC_M_PLL_PREDIV) & M_T6_STATIC_M_PLL_PREDIV)
12685
12686#define S_STATIC_M_PLL_DCO_BYPASS 23
12687#define V_STATIC_M_PLL_DCO_BYPASS(x) ((x) << S_STATIC_M_PLL_DCO_BYPASS)
12688#define F_STATIC_M_PLL_DCO_BYPASS V_STATIC_M_PLL_DCO_BYPASS(1U)
12689
12690#define S_STATIC_M_PLL_SDORDER 21
12691#define M_STATIC_M_PLL_SDORDER 0x3U
12692#define V_STATIC_M_PLL_SDORDER(x) ((x) << S_STATIC_M_PLL_SDORDER)
12693#define G_STATIC_M_PLL_SDORDER(x) (((x) >> S_STATIC_M_PLL_SDORDER) & M_STATIC_M_PLL_SDORDER)
12694
12695#define S_STATIC_M_PLL_FFENABLE 20
12696#define V_STATIC_M_PLL_FFENABLE(x) ((x) << S_STATIC_M_PLL_FFENABLE)
12697#define F_STATIC_M_PLL_FFENABLE V_STATIC_M_PLL_FFENABLE(1U)
12698
12699#define S_STATIC_M_PLL_STOPCLKB 19
12700#define V_STATIC_M_PLL_STOPCLKB(x) ((x) << S_STATIC_M_PLL_STOPCLKB)
12701#define F_STATIC_M_PLL_STOPCLKB V_STATIC_M_PLL_STOPCLKB(1U)
12702
12703#define S_STATIC_M_PLL_STOPCLKA 18
12704#define V_STATIC_M_PLL_STOPCLKA(x) ((x) << S_STATIC_M_PLL_STOPCLKA)
12705#define F_STATIC_M_PLL_STOPCLKA V_STATIC_M_PLL_STOPCLKA(1U)
12706
12707#define S_T6_STATIC_M_PLL_SLEEP 17
12708#define V_T6_STATIC_M_PLL_SLEEP(x) ((x) << S_T6_STATIC_M_PLL_SLEEP)
12709#define F_T6_STATIC_M_PLL_SLEEP V_T6_STATIC_M_PLL_SLEEP(1U)
12710
12711#define S_T6_STATIC_M_PLL_BYPASS 16
12712#define V_T6_STATIC_M_PLL_BYPASS(x) ((x) << S_T6_STATIC_M_PLL_BYPASS)
12713#define F_T6_STATIC_M_PLL_BYPASS V_T6_STATIC_M_PLL_BYPASS(1U)
12714
12715#define S_STATIC_M_PLL_LOCKTUNE 0
12716#define M_STATIC_M_PLL_LOCKTUNE 0x1fU
12717#define V_STATIC_M_PLL_LOCKTUNE(x) ((x) << S_STATIC_M_PLL_LOCKTUNE)
12718#define G_STATIC_M_PLL_LOCKTUNE(x) (((x) >> S_STATIC_M_PLL_LOCKTUNE) & M_STATIC_M_PLL_LOCKTUNE)
12719
12720#define A_DBG_T5_STATIC_M_PLL_CONF3 0x60c0
12721
12722#define S_T5_STATIC_M_PLL_MULTPRE 30
12723#define M_T5_STATIC_M_PLL_MULTPRE 0x3U
12724#define V_T5_STATIC_M_PLL_MULTPRE(x) ((x) << S_T5_STATIC_M_PLL_MULTPRE)
12725#define G_T5_STATIC_M_PLL_MULTPRE(x) (((x) >> S_T5_STATIC_M_PLL_MULTPRE) & M_T5_STATIC_M_PLL_MULTPRE)
12726
12727#define S_T5_STATIC_M_PLL_LOCKSEL 28
12728#define M_T5_STATIC_M_PLL_LOCKSEL 0x3U
12729#define V_T5_STATIC_M_PLL_LOCKSEL(x) ((x) << S_T5_STATIC_M_PLL_LOCKSEL)
12730#define G_T5_STATIC_M_PLL_LOCKSEL(x) (((x) >> S_T5_STATIC_M_PLL_LOCKSEL) & M_T5_STATIC_M_PLL_LOCKSEL)
12731
12732#define S_T5_STATIC_M_PLL_FFTUNE 12
12733#define M_T5_STATIC_M_PLL_FFTUNE 0xffffU
12734#define V_T5_STATIC_M_PLL_FFTUNE(x) ((x) << S_T5_STATIC_M_PLL_FFTUNE)
12735#define G_T5_STATIC_M_PLL_FFTUNE(x) (((x) >> S_T5_STATIC_M_PLL_FFTUNE) & M_T5_STATIC_M_PLL_FFTUNE)
12736
12737#define S_T5_STATIC_M_PLL_RANGEPRE 10
12738#define M_T5_STATIC_M_PLL_RANGEPRE 0x3U
12739#define V_T5_STATIC_M_PLL_RANGEPRE(x) ((x) << S_T5_STATIC_M_PLL_RANGEPRE)
12740#define G_T5_STATIC_M_PLL_RANGEPRE(x) (((x) >> S_T5_STATIC_M_PLL_RANGEPRE) & M_T5_STATIC_M_PLL_RANGEPRE)
12741
12742#define S_T5_STATIC_M_PLL_RANGEB 5
12743#define M_T5_STATIC_M_PLL_RANGEB 0x1fU
12744#define V_T5_STATIC_M_PLL_RANGEB(x) ((x) << S_T5_STATIC_M_PLL_RANGEB)
12745#define G_T5_STATIC_M_PLL_RANGEB(x) (((x) >> S_T5_STATIC_M_PLL_RANGEB) & M_T5_STATIC_M_PLL_RANGEB)
12746
12747#define S_T5_STATIC_M_PLL_RANGEA 0
12748#define M_T5_STATIC_M_PLL_RANGEA 0x1fU
12749#define V_T5_STATIC_M_PLL_RANGEA(x) ((x) << S_T5_STATIC_M_PLL_RANGEA)
12750#define G_T5_STATIC_M_PLL_RANGEA(x) (((x) >> S_T5_STATIC_M_PLL_RANGEA) & M_T5_STATIC_M_PLL_RANGEA)
12751
12752#define A_DBG_STATIC_M_PLL_CONF3 0x60c0
12753
12754#define S_STATIC_M_PLL_MULTPRE 30
12755#define M_STATIC_M_PLL_MULTPRE 0x3U
12756#define V_STATIC_M_PLL_MULTPRE(x) ((x) << S_STATIC_M_PLL_MULTPRE)
12757#define G_STATIC_M_PLL_MULTPRE(x) (((x) >> S_STATIC_M_PLL_MULTPRE) & M_STATIC_M_PLL_MULTPRE)
12758
12759#define S_STATIC_M_PLL_LOCKSEL 28
12760#define V_STATIC_M_PLL_LOCKSEL(x) ((x) << S_STATIC_M_PLL_LOCKSEL)
12761#define F_STATIC_M_PLL_LOCKSEL V_STATIC_M_PLL_LOCKSEL(1U)
12762
12763#define S_STATIC_M_PLL_FFTUNE 12
12764#define M_STATIC_M_PLL_FFTUNE 0xffffU
12765#define V_STATIC_M_PLL_FFTUNE(x) ((x) << S_STATIC_M_PLL_FFTUNE)
12766#define G_STATIC_M_PLL_FFTUNE(x) (((x) >> S_STATIC_M_PLL_FFTUNE) & M_STATIC_M_PLL_FFTUNE)
12767
12768#define S_STATIC_M_PLL_RANGEPRE 10
12769#define M_STATIC_M_PLL_RANGEPRE 0x3U
12770#define V_STATIC_M_PLL_RANGEPRE(x) ((x) << S_STATIC_M_PLL_RANGEPRE)
12771#define G_STATIC_M_PLL_RANGEPRE(x) (((x) >> S_STATIC_M_PLL_RANGEPRE) & M_STATIC_M_PLL_RANGEPRE)
12772
12773#define S_T6_STATIC_M_PLL_RANGEB 5
12774#define M_T6_STATIC_M_PLL_RANGEB 0x1fU
12775#define V_T6_STATIC_M_PLL_RANGEB(x) ((x) << S_T6_STATIC_M_PLL_RANGEB)
12776#define G_T6_STATIC_M_PLL_RANGEB(x) (((x) >> S_T6_STATIC_M_PLL_RANGEB) & M_T6_STATIC_M_PLL_RANGEB)
12777
12778#define S_T6_STATIC_M_PLL_RANGEA 0
12779#define M_T6_STATIC_M_PLL_RANGEA 0x1fU
12780#define V_T6_STATIC_M_PLL_RANGEA(x) ((x) << S_T6_STATIC_M_PLL_RANGEA)
12781#define G_T6_STATIC_M_PLL_RANGEA(x) (((x) >> S_T6_STATIC_M_PLL_RANGEA) & M_T6_STATIC_M_PLL_RANGEA)
12782
12783#define A_DBG_T5_STATIC_M_PLL_CONF4 0x60c4
12784#define A_DBG_STATIC_M_PLL_CONF4 0x60c4
12785#define A_DBG_T5_STATIC_M_PLL_CONF5 0x60c8
12786
12787#define S_T5_STATIC_M_PLL_VCVTUNE 24
12788#define M_T5_STATIC_M_PLL_VCVTUNE 0x7U
12789#define V_T5_STATIC_M_PLL_VCVTUNE(x) ((x) << S_T5_STATIC_M_PLL_VCVTUNE)
12790#define G_T5_STATIC_M_PLL_VCVTUNE(x) (((x) >> S_T5_STATIC_M_PLL_VCVTUNE) & M_T5_STATIC_M_PLL_VCVTUNE)
12791
12792#define S_T5_STATIC_M_PLL_RESET 23
12793#define V_T5_STATIC_M_PLL_RESET(x) ((x) << S_T5_STATIC_M_PLL_RESET)
12794#define F_T5_STATIC_M_PLL_RESET V_T5_STATIC_M_PLL_RESET(1U)
12795
12796#define S_T5_STATIC_MPLL_REFCLK_SEL 22
12797#define V_T5_STATIC_MPLL_REFCLK_SEL(x) ((x) << S_T5_STATIC_MPLL_REFCLK_SEL)
12798#define F_T5_STATIC_MPLL_REFCLK_SEL V_T5_STATIC_MPLL_REFCLK_SEL(1U)
12799
12800#define S_T5_STATIC_M_PLL_LFTUNE_32_40 13
12801#define M_T5_STATIC_M_PLL_LFTUNE_32_40 0x1ffU
12802#define V_T5_STATIC_M_PLL_LFTUNE_32_40(x) ((x) << S_T5_STATIC_M_PLL_LFTUNE_32_40)
12803#define G_T5_STATIC_M_PLL_LFTUNE_32_40(x) (((x) >> S_T5_STATIC_M_PLL_LFTUNE_32_40) & M_T5_STATIC_M_PLL_LFTUNE_32_40)
12804
12805#define S_T5_STATIC_M_PLL_PREDIV 8
12806#define M_T5_STATIC_M_PLL_PREDIV 0x1fU
12807#define V_T5_STATIC_M_PLL_PREDIV(x) ((x) << S_T5_STATIC_M_PLL_PREDIV)
12808#define G_T5_STATIC_M_PLL_PREDIV(x) (((x) >> S_T5_STATIC_M_PLL_PREDIV) & M_T5_STATIC_M_PLL_PREDIV)
12809
12810#define S_T5_STATIC_M_PLL_MULT 0
12811#define M_T5_STATIC_M_PLL_MULT 0xffU
12812#define V_T5_STATIC_M_PLL_MULT(x) ((x) << S_T5_STATIC_M_PLL_MULT)
12813#define G_T5_STATIC_M_PLL_MULT(x) (((x) >> S_T5_STATIC_M_PLL_MULT) & M_T5_STATIC_M_PLL_MULT)
12814
12815#define A_DBG_STATIC_M_PLL_CONF5 0x60c8
12816
12817#define S_STATIC_M_PLL_VCVTUNE 24
12818#define M_STATIC_M_PLL_VCVTUNE 0x7U
12819#define V_STATIC_M_PLL_VCVTUNE(x) ((x) << S_STATIC_M_PLL_VCVTUNE)
12820#define G_STATIC_M_PLL_VCVTUNE(x) (((x) >> S_STATIC_M_PLL_VCVTUNE) & M_STATIC_M_PLL_VCVTUNE)
12821
12822#define S_T6_STATIC_M_PLL_RESET 23
12823#define V_T6_STATIC_M_PLL_RESET(x) ((x) << S_T6_STATIC_M_PLL_RESET)
12824#define F_T6_STATIC_M_PLL_RESET V_T6_STATIC_M_PLL_RESET(1U)
12825
12826#define S_STATIC_MPLL_REFCLK_SEL 22
12827#define V_STATIC_MPLL_REFCLK_SEL(x) ((x) << S_STATIC_MPLL_REFCLK_SEL)
12828#define F_STATIC_MPLL_REFCLK_SEL V_STATIC_MPLL_REFCLK_SEL(1U)
12829
12830#define S_STATIC_M_PLL_LFTUNE_32_40 13
12831#define M_STATIC_M_PLL_LFTUNE_32_40 0x1ffU
12832#define V_STATIC_M_PLL_LFTUNE_32_40(x) ((x) << S_STATIC_M_PLL_LFTUNE_32_40)
12833#define G_STATIC_M_PLL_LFTUNE_32_40(x) (((x) >> S_STATIC_M_PLL_LFTUNE_32_40) & M_STATIC_M_PLL_LFTUNE_32_40)
12834
12835#define S_T6_STATIC_M_PLL_MULT 0
12836#define M_T6_STATIC_M_PLL_MULT 0xffU
12837#define V_T6_STATIC_M_PLL_MULT(x) ((x) << S_T6_STATIC_M_PLL_MULT)
12838#define G_T6_STATIC_M_PLL_MULT(x) (((x) >> S_T6_STATIC_M_PLL_MULT) & M_T6_STATIC_M_PLL_MULT)
12839
12840#define A_DBG_T5_STATIC_M_PLL_CONF6 0x60cc
12841
12842#define S_T5_STATIC_PHY0RECRST_ 5
12843#define V_T5_STATIC_PHY0RECRST_(x) ((x) << S_T5_STATIC_PHY0RECRST_)
12844#define F_T5_STATIC_PHY0RECRST_ V_T5_STATIC_PHY0RECRST_(1U)
12845
12846#define S_T5_STATIC_PHY1RECRST_ 4
12847#define V_T5_STATIC_PHY1RECRST_(x) ((x) << S_T5_STATIC_PHY1RECRST_)
12848#define F_T5_STATIC_PHY1RECRST_ V_T5_STATIC_PHY1RECRST_(1U)
12849
12850#define S_T5_STATIC_SWMC0RST_ 3
12851#define V_T5_STATIC_SWMC0RST_(x) ((x) << S_T5_STATIC_SWMC0RST_)
12852#define F_T5_STATIC_SWMC0RST_ V_T5_STATIC_SWMC0RST_(1U)
12853
12854#define S_T5_STATIC_SWMC0CFGRST_ 2
12855#define V_T5_STATIC_SWMC0CFGRST_(x) ((x) << S_T5_STATIC_SWMC0CFGRST_)
12856#define F_T5_STATIC_SWMC0CFGRST_ V_T5_STATIC_SWMC0CFGRST_(1U)
12857
12858#define S_T5_STATIC_SWMC1RST_ 1
12859#define V_T5_STATIC_SWMC1RST_(x) ((x) << S_T5_STATIC_SWMC1RST_)
12860#define F_T5_STATIC_SWMC1RST_ V_T5_STATIC_SWMC1RST_(1U)
12861
12862#define S_T5_STATIC_SWMC1CFGRST_ 0
12863#define V_T5_STATIC_SWMC1CFGRST_(x) ((x) << S_T5_STATIC_SWMC1CFGRST_)
12864#define F_T5_STATIC_SWMC1CFGRST_ V_T5_STATIC_SWMC1CFGRST_(1U)
12865
12866#define A_DBG_STATIC_M_PLL_CONF6 0x60cc
12867
12868#define S_STATIC_M_PLL_DIVCHANGE 30
12869#define V_STATIC_M_PLL_DIVCHANGE(x) ((x) << S_STATIC_M_PLL_DIVCHANGE)
12870#define F_STATIC_M_PLL_DIVCHANGE V_STATIC_M_PLL_DIVCHANGE(1U)
12871
12872#define S_STATIC_M_PLL_FRAMESTOP 29
12873#define V_STATIC_M_PLL_FRAMESTOP(x) ((x) << S_STATIC_M_PLL_FRAMESTOP)
12874#define F_STATIC_M_PLL_FRAMESTOP V_STATIC_M_PLL_FRAMESTOP(1U)
12875
12876#define S_STATIC_M_PLL_FASTSTOP 28
12877#define V_STATIC_M_PLL_FASTSTOP(x) ((x) << S_STATIC_M_PLL_FASTSTOP)
12878#define F_STATIC_M_PLL_FASTSTOP V_STATIC_M_PLL_FASTSTOP(1U)
12879
12880#define S_STATIC_M_PLL_FFBYPASS 27
12881#define V_STATIC_M_PLL_FFBYPASS(x) ((x) << S_STATIC_M_PLL_FFBYPASS)
12882#define F_STATIC_M_PLL_FFBYPASS V_STATIC_M_PLL_FFBYPASS(1U)
12883
12884#define S_STATIC_M_PLL_STARTUP 25
12885#define M_STATIC_M_PLL_STARTUP 0x3U
12886#define V_STATIC_M_PLL_STARTUP(x) ((x) << S_STATIC_M_PLL_STARTUP)
12887#define G_STATIC_M_PLL_STARTUP(x) (((x) >> S_STATIC_M_PLL_STARTUP) & M_STATIC_M_PLL_STARTUP)
12888
12889#define S_STATIC_M_PLL_VREGTUNE 6
12890#define M_STATIC_M_PLL_VREGTUNE 0x7ffffU
12891#define V_STATIC_M_PLL_VREGTUNE(x) ((x) << S_STATIC_M_PLL_VREGTUNE)
12892#define G_STATIC_M_PLL_VREGTUNE(x) (((x) >> S_STATIC_M_PLL_VREGTUNE) & M_STATIC_M_PLL_VREGTUNE)
12893
12894#define S_STATIC_PHY0RECRST_ 5
12895#define V_STATIC_PHY0RECRST_(x) ((x) << S_STATIC_PHY0RECRST_)
12896#define F_STATIC_PHY0RECRST_ V_STATIC_PHY0RECRST_(1U)
12897
12898#define S_STATIC_PHY1RECRST_ 4
12899#define V_STATIC_PHY1RECRST_(x) ((x) << S_STATIC_PHY1RECRST_)
12900#define F_STATIC_PHY1RECRST_ V_STATIC_PHY1RECRST_(1U)
12901
12902#define S_STATIC_SWMC0RST_ 3
12903#define V_STATIC_SWMC0RST_(x) ((x) << S_STATIC_SWMC0RST_)
12904#define F_STATIC_SWMC0RST_ V_STATIC_SWMC0RST_(1U)
12905
12906#define S_STATIC_SWMC0CFGRST_ 2
12907#define V_STATIC_SWMC0CFGRST_(x) ((x) << S_STATIC_SWMC0CFGRST_)
12908#define F_STATIC_SWMC0CFGRST_ V_STATIC_SWMC0CFGRST_(1U)
12909
12910#define S_STATIC_SWMC1RST_ 1
12911#define V_STATIC_SWMC1RST_(x) ((x) << S_STATIC_SWMC1RST_)
12912#define F_STATIC_SWMC1RST_ V_STATIC_SWMC1RST_(1U)
12913
12914#define S_STATIC_SWMC1CFGRST_ 0
12915#define V_STATIC_SWMC1CFGRST_(x) ((x) << S_STATIC_SWMC1CFGRST_)
12916#define F_STATIC_SWMC1CFGRST_ V_STATIC_SWMC1CFGRST_(1U)
12917
12918#define A_DBG_T5_STATIC_C_PLL_CONF1 0x60d0
12919
12920#define S_T5_STATIC_C_PLL_MULTFRAC 8
12921#define M_T5_STATIC_C_PLL_MULTFRAC 0xffffffU
12922#define V_T5_STATIC_C_PLL_MULTFRAC(x) ((x) << S_T5_STATIC_C_PLL_MULTFRAC)
12923#define G_T5_STATIC_C_PLL_MULTFRAC(x) (((x) >> S_T5_STATIC_C_PLL_MULTFRAC) & M_T5_STATIC_C_PLL_MULTFRAC)
12924
12925#define S_T5_STATIC_C_PLL_FFSLEWRATE 0
12926#define M_T5_STATIC_C_PLL_FFSLEWRATE 0xffU
12927#define V_T5_STATIC_C_PLL_FFSLEWRATE(x) ((x) << S_T5_STATIC_C_PLL_FFSLEWRATE)
12928#define G_T5_STATIC_C_PLL_FFSLEWRATE(x) (((x) >> S_T5_STATIC_C_PLL_FFSLEWRATE) & M_T5_STATIC_C_PLL_FFSLEWRATE)
12929
12930#define A_DBG_STATIC_C_PLL_CONF1 0x60d0
12931
12932#define S_STATIC_C_PLL_MULTFRAC 8
12933#define M_STATIC_C_PLL_MULTFRAC 0xffffffU
12934#define V_STATIC_C_PLL_MULTFRAC(x) ((x) << S_STATIC_C_PLL_MULTFRAC)
12935#define G_STATIC_C_PLL_MULTFRAC(x) (((x) >> S_STATIC_C_PLL_MULTFRAC) & M_STATIC_C_PLL_MULTFRAC)
12936
12937#define S_STATIC_C_PLL_FFSLEWRATE 0
12938#define M_STATIC_C_PLL_FFSLEWRATE 0xffU
12939#define V_STATIC_C_PLL_FFSLEWRATE(x) ((x) << S_STATIC_C_PLL_FFSLEWRATE)
12940#define G_STATIC_C_PLL_FFSLEWRATE(x) (((x) >> S_STATIC_C_PLL_FFSLEWRATE) & M_STATIC_C_PLL_FFSLEWRATE)
12941
12942#define A_DBG_T5_STATIC_C_PLL_CONF2 0x60d4
12943
12944#define S_T5_STATIC_C_PLL_DCO_BYPASS 23
12945#define V_T5_STATIC_C_PLL_DCO_BYPASS(x) ((x) << S_T5_STATIC_C_PLL_DCO_BYPASS)
12946#define F_T5_STATIC_C_PLL_DCO_BYPASS V_T5_STATIC_C_PLL_DCO_BYPASS(1U)
12947
12948#define S_T5_STATIC_C_PLL_SDORDER 21
12949#define M_T5_STATIC_C_PLL_SDORDER 0x3U
12950#define V_T5_STATIC_C_PLL_SDORDER(x) ((x) << S_T5_STATIC_C_PLL_SDORDER)
12951#define G_T5_STATIC_C_PLL_SDORDER(x) (((x) >> S_T5_STATIC_C_PLL_SDORDER) & M_T5_STATIC_C_PLL_SDORDER)
12952
12953#define S_T5_STATIC_C_PLL_FFENABLE 20
12954#define V_T5_STATIC_C_PLL_FFENABLE(x) ((x) << S_T5_STATIC_C_PLL_FFENABLE)
12955#define F_T5_STATIC_C_PLL_FFENABLE V_T5_STATIC_C_PLL_FFENABLE(1U)
12956
12957#define S_T5_STATIC_C_PLL_STOPCLKB 19
12958#define V_T5_STATIC_C_PLL_STOPCLKB(x) ((x) << S_T5_STATIC_C_PLL_STOPCLKB)
12959#define F_T5_STATIC_C_PLL_STOPCLKB V_T5_STATIC_C_PLL_STOPCLKB(1U)
12960
12961#define S_T5_STATIC_C_PLL_STOPCLKA 18
12962#define V_T5_STATIC_C_PLL_STOPCLKA(x) ((x) << S_T5_STATIC_C_PLL_STOPCLKA)
12963#define F_T5_STATIC_C_PLL_STOPCLKA V_T5_STATIC_C_PLL_STOPCLKA(1U)
12964
12965#define S_T5_STATIC_C_PLL_SLEEP 17
12966#define V_T5_STATIC_C_PLL_SLEEP(x) ((x) << S_T5_STATIC_C_PLL_SLEEP)
12967#define F_T5_STATIC_C_PLL_SLEEP V_T5_STATIC_C_PLL_SLEEP(1U)
12968
12969#define S_T5_STATIC_C_PLL_BYPASS 16
12970#define V_T5_STATIC_C_PLL_BYPASS(x) ((x) << S_T5_STATIC_C_PLL_BYPASS)
12971#define F_T5_STATIC_C_PLL_BYPASS V_T5_STATIC_C_PLL_BYPASS(1U)
12972
12973#define S_T5_STATIC_C_PLL_LOCKTUNE 0
12974#define M_T5_STATIC_C_PLL_LOCKTUNE 0xffffU
12975#define V_T5_STATIC_C_PLL_LOCKTUNE(x) ((x) << S_T5_STATIC_C_PLL_LOCKTUNE)
12976#define G_T5_STATIC_C_PLL_LOCKTUNE(x) (((x) >> S_T5_STATIC_C_PLL_LOCKTUNE) & M_T5_STATIC_C_PLL_LOCKTUNE)
12977
12978#define A_DBG_STATIC_C_PLL_CONF2 0x60d4
12979
12980#define S_T6_STATIC_C_PLL_PREDIV 26
12981#define M_T6_STATIC_C_PLL_PREDIV 0x3fU
12982#define V_T6_STATIC_C_PLL_PREDIV(x) ((x) << S_T6_STATIC_C_PLL_PREDIV)
12983#define G_T6_STATIC_C_PLL_PREDIV(x) (((x) >> S_T6_STATIC_C_PLL_PREDIV) & M_T6_STATIC_C_PLL_PREDIV)
12984
12985#define S_STATIC_C_PLL_STARTUP 24
12986#define M_STATIC_C_PLL_STARTUP 0x3U
12987#define V_STATIC_C_PLL_STARTUP(x) ((x) << S_STATIC_C_PLL_STARTUP)
12988#define G_STATIC_C_PLL_STARTUP(x) (((x) >> S_STATIC_C_PLL_STARTUP) & M_STATIC_C_PLL_STARTUP)
12989
12990#define S_STATIC_C_PLL_DCO_BYPASS 23
12991#define V_STATIC_C_PLL_DCO_BYPASS(x) ((x) << S_STATIC_C_PLL_DCO_BYPASS)
12992#define F_STATIC_C_PLL_DCO_BYPASS V_STATIC_C_PLL_DCO_BYPASS(1U)
12993
12994#define S_STATIC_C_PLL_SDORDER 21
12995#define M_STATIC_C_PLL_SDORDER 0x3U
12996#define V_STATIC_C_PLL_SDORDER(x) ((x) << S_STATIC_C_PLL_SDORDER)
12997#define G_STATIC_C_PLL_SDORDER(x) (((x) >> S_STATIC_C_PLL_SDORDER) & M_STATIC_C_PLL_SDORDER)
12998
12999#define S_STATIC_C_PLL_DIVCHANGE 20
13000#define V_STATIC_C_PLL_DIVCHANGE(x) ((x) << S_STATIC_C_PLL_DIVCHANGE)
13001#define F_STATIC_C_PLL_DIVCHANGE V_STATIC_C_PLL_DIVCHANGE(1U)
13002
13003#define S_STATIC_C_PLL_STOPCLKB 19
13004#define V_STATIC_C_PLL_STOPCLKB(x) ((x) << S_STATIC_C_PLL_STOPCLKB)
13005#define F_STATIC_C_PLL_STOPCLKB V_STATIC_C_PLL_STOPCLKB(1U)
13006
13007#define S_STATIC_C_PLL_STOPCLKA 18
13008#define V_STATIC_C_PLL_STOPCLKA(x) ((x) << S_STATIC_C_PLL_STOPCLKA)
13009#define F_STATIC_C_PLL_STOPCLKA V_STATIC_C_PLL_STOPCLKA(1U)
13010
13011#define S_T6_STATIC_C_PLL_SLEEP 17
13012#define V_T6_STATIC_C_PLL_SLEEP(x) ((x) << S_T6_STATIC_C_PLL_SLEEP)
13013#define F_T6_STATIC_C_PLL_SLEEP V_T6_STATIC_C_PLL_SLEEP(1U)
13014
13015#define S_T6_STATIC_C_PLL_BYPASS 16
13016#define V_T6_STATIC_C_PLL_BYPASS(x) ((x) << S_T6_STATIC_C_PLL_BYPASS)
13017#define F_T6_STATIC_C_PLL_BYPASS V_T6_STATIC_C_PLL_BYPASS(1U)
13018
13019#define S_STATIC_C_PLL_LOCKTUNE 0
13020#define M_STATIC_C_PLL_LOCKTUNE 0x1fU
13021#define V_STATIC_C_PLL_LOCKTUNE(x) ((x) << S_STATIC_C_PLL_LOCKTUNE)
13022#define G_STATIC_C_PLL_LOCKTUNE(x) (((x) >> S_STATIC_C_PLL_LOCKTUNE) & M_STATIC_C_PLL_LOCKTUNE)
13023
13024#define A_DBG_T5_STATIC_C_PLL_CONF3 0x60d8
13025
13026#define S_T5_STATIC_C_PLL_MULTPRE 30
13027#define M_T5_STATIC_C_PLL_MULTPRE 0x3U
13028#define V_T5_STATIC_C_PLL_MULTPRE(x) ((x) << S_T5_STATIC_C_PLL_MULTPRE)
13029#define G_T5_STATIC_C_PLL_MULTPRE(x) (((x) >> S_T5_STATIC_C_PLL_MULTPRE) & M_T5_STATIC_C_PLL_MULTPRE)
13030
13031#define S_T5_STATIC_C_PLL_LOCKSEL 28
13032#define M_T5_STATIC_C_PLL_LOCKSEL 0x3U
13033#define V_T5_STATIC_C_PLL_LOCKSEL(x) ((x) << S_T5_STATIC_C_PLL_LOCKSEL)
13034#define G_T5_STATIC_C_PLL_LOCKSEL(x) (((x) >> S_T5_STATIC_C_PLL_LOCKSEL) & M_T5_STATIC_C_PLL_LOCKSEL)
13035
13036#define S_T5_STATIC_C_PLL_FFTUNE 12
13037#define M_T5_STATIC_C_PLL_FFTUNE 0xffffU
13038#define V_T5_STATIC_C_PLL_FFTUNE(x) ((x) << S_T5_STATIC_C_PLL_FFTUNE)
13039#define G_T5_STATIC_C_PLL_FFTUNE(x) (((x) >> S_T5_STATIC_C_PLL_FFTUNE) & M_T5_STATIC_C_PLL_FFTUNE)
13040
13041#define S_T5_STATIC_C_PLL_RANGEPRE 10
13042#define M_T5_STATIC_C_PLL_RANGEPRE 0x3U
13043#define V_T5_STATIC_C_PLL_RANGEPRE(x) ((x) << S_T5_STATIC_C_PLL_RANGEPRE)
13044#define G_T5_STATIC_C_PLL_RANGEPRE(x) (((x) >> S_T5_STATIC_C_PLL_RANGEPRE) & M_T5_STATIC_C_PLL_RANGEPRE)
13045
13046#define S_T5_STATIC_C_PLL_RANGEB 5
13047#define M_T5_STATIC_C_PLL_RANGEB 0x1fU
13048#define V_T5_STATIC_C_PLL_RANGEB(x) ((x) << S_T5_STATIC_C_PLL_RANGEB)
13049#define G_T5_STATIC_C_PLL_RANGEB(x) (((x) >> S_T5_STATIC_C_PLL_RANGEB) & M_T5_STATIC_C_PLL_RANGEB)
13050
13051#define S_T5_STATIC_C_PLL_RANGEA 0
13052#define M_T5_STATIC_C_PLL_RANGEA 0x1fU
13053#define V_T5_STATIC_C_PLL_RANGEA(x) ((x) << S_T5_STATIC_C_PLL_RANGEA)
13054#define G_T5_STATIC_C_PLL_RANGEA(x) (((x) >> S_T5_STATIC_C_PLL_RANGEA) & M_T5_STATIC_C_PLL_RANGEA)
13055
13056#define A_DBG_STATIC_C_PLL_CONF3 0x60d8
13057
13058#define S_STATIC_C_PLL_MULTPRE 30
13059#define M_STATIC_C_PLL_MULTPRE 0x3U
13060#define V_STATIC_C_PLL_MULTPRE(x) ((x) << S_STATIC_C_PLL_MULTPRE)
13061#define G_STATIC_C_PLL_MULTPRE(x) (((x) >> S_STATIC_C_PLL_MULTPRE) & M_STATIC_C_PLL_MULTPRE)
13062
13063#define S_STATIC_C_PLL_LOCKSEL 28
13064#define V_STATIC_C_PLL_LOCKSEL(x) ((x) << S_STATIC_C_PLL_LOCKSEL)
13065#define F_STATIC_C_PLL_LOCKSEL V_STATIC_C_PLL_LOCKSEL(1U)
13066
13067#define S_STATIC_C_PLL_FFTUNE 12
13068#define M_STATIC_C_PLL_FFTUNE 0xffffU
13069#define V_STATIC_C_PLL_FFTUNE(x) ((x) << S_STATIC_C_PLL_FFTUNE)
13070#define G_STATIC_C_PLL_FFTUNE(x) (((x) >> S_STATIC_C_PLL_FFTUNE) & M_STATIC_C_PLL_FFTUNE)
13071
13072#define S_STATIC_C_PLL_RANGEPRE 10
13073#define M_STATIC_C_PLL_RANGEPRE 0x3U
13074#define V_STATIC_C_PLL_RANGEPRE(x) ((x) << S_STATIC_C_PLL_RANGEPRE)
13075#define G_STATIC_C_PLL_RANGEPRE(x) (((x) >> S_STATIC_C_PLL_RANGEPRE) & M_STATIC_C_PLL_RANGEPRE)
13076
13077#define S_T6_STATIC_C_PLL_RANGEB 5
13078#define M_T6_STATIC_C_PLL_RANGEB 0x1fU
13079#define V_T6_STATIC_C_PLL_RANGEB(x) ((x) << S_T6_STATIC_C_PLL_RANGEB)
13080#define G_T6_STATIC_C_PLL_RANGEB(x) (((x) >> S_T6_STATIC_C_PLL_RANGEB) & M_T6_STATIC_C_PLL_RANGEB)
13081
13082#define S_T6_STATIC_C_PLL_RANGEA 0
13083#define M_T6_STATIC_C_PLL_RANGEA 0x1fU
13084#define V_T6_STATIC_C_PLL_RANGEA(x) ((x) << S_T6_STATIC_C_PLL_RANGEA)
13085#define G_T6_STATIC_C_PLL_RANGEA(x) (((x) >> S_T6_STATIC_C_PLL_RANGEA) & M_T6_STATIC_C_PLL_RANGEA)
13086
13087#define A_DBG_T5_STATIC_C_PLL_CONF4 0x60dc
13088#define A_DBG_STATIC_C_PLL_CONF4 0x60dc
13089#define A_DBG_T5_STATIC_C_PLL_CONF5 0x60e0
13090
13091#define S_T5_STATIC_C_PLL_VCVTUNE 22
13092#define M_T5_STATIC_C_PLL_VCVTUNE 0x7U
13093#define V_T5_STATIC_C_PLL_VCVTUNE(x) ((x) << S_T5_STATIC_C_PLL_VCVTUNE)
13094#define G_T5_STATIC_C_PLL_VCVTUNE(x) (((x) >> S_T5_STATIC_C_PLL_VCVTUNE) & M_T5_STATIC_C_PLL_VCVTUNE)
13095
13096#define S_T5_STATIC_C_PLL_LFTUNE_32_40 13
13097#define M_T5_STATIC_C_PLL_LFTUNE_32_40 0x1ffU
13098#define V_T5_STATIC_C_PLL_LFTUNE_32_40(x) ((x) << S_T5_STATIC_C_PLL_LFTUNE_32_40)
13099#define G_T5_STATIC_C_PLL_LFTUNE_32_40(x) (((x) >> S_T5_STATIC_C_PLL_LFTUNE_32_40) & M_T5_STATIC_C_PLL_LFTUNE_32_40)
13100
13101#define S_T5_STATIC_C_PLL_PREDIV 8
13102#define M_T5_STATIC_C_PLL_PREDIV 0x1fU
13103#define V_T5_STATIC_C_PLL_PREDIV(x) ((x) << S_T5_STATIC_C_PLL_PREDIV)
13104#define G_T5_STATIC_C_PLL_PREDIV(x) (((x) >> S_T5_STATIC_C_PLL_PREDIV) & M_T5_STATIC_C_PLL_PREDIV)
13105
13106#define S_T5_STATIC_C_PLL_MULT 0
13107#define M_T5_STATIC_C_PLL_MULT 0xffU
13108#define V_T5_STATIC_C_PLL_MULT(x) ((x) << S_T5_STATIC_C_PLL_MULT)
13109#define G_T5_STATIC_C_PLL_MULT(x) (((x) >> S_T5_STATIC_C_PLL_MULT) & M_T5_STATIC_C_PLL_MULT)
13110
13111#define A_DBG_STATIC_C_PLL_CONF5 0x60e0
13112
13113#define S_STATIC_C_PLL_FFBYPASS 27
13114#define V_STATIC_C_PLL_FFBYPASS(x) ((x) << S_STATIC_C_PLL_FFBYPASS)
13115#define F_STATIC_C_PLL_FFBYPASS V_STATIC_C_PLL_FFBYPASS(1U)
13116
13117#define S_STATIC_C_PLL_FASTSTOP 26
13118#define V_STATIC_C_PLL_FASTSTOP(x) ((x) << S_STATIC_C_PLL_FASTSTOP)
13119#define F_STATIC_C_PLL_FASTSTOP V_STATIC_C_PLL_FASTSTOP(1U)
13120
13121#define S_STATIC_C_PLL_FRAMESTOP 25
13122#define V_STATIC_C_PLL_FRAMESTOP(x) ((x) << S_STATIC_C_PLL_FRAMESTOP)
13123#define F_STATIC_C_PLL_FRAMESTOP V_STATIC_C_PLL_FRAMESTOP(1U)
13124
13125#define S_STATIC_C_PLL_VCVTUNE 22
13126#define M_STATIC_C_PLL_VCVTUNE 0x7U
13127#define V_STATIC_C_PLL_VCVTUNE(x) ((x) << S_STATIC_C_PLL_VCVTUNE)
13128#define G_STATIC_C_PLL_VCVTUNE(x) (((x) >> S_STATIC_C_PLL_VCVTUNE) & M_STATIC_C_PLL_VCVTUNE)
13129
13130#define S_STATIC_C_PLL_LFTUNE_32_40 13
13131#define M_STATIC_C_PLL_LFTUNE_32_40 0x1ffU
13132#define V_STATIC_C_PLL_LFTUNE_32_40(x) ((x) << S_STATIC_C_PLL_LFTUNE_32_40)
13133#define G_STATIC_C_PLL_LFTUNE_32_40(x) (((x) >> S_STATIC_C_PLL_LFTUNE_32_40) & M_STATIC_C_PLL_LFTUNE_32_40)
13134
13135#define S_STATIC_C_PLL_PREDIV_CNF5 8
13136#define M_STATIC_C_PLL_PREDIV_CNF5 0x1fU
13137#define V_STATIC_C_PLL_PREDIV_CNF5(x) ((x) << S_STATIC_C_PLL_PREDIV_CNF5)
13138#define G_STATIC_C_PLL_PREDIV_CNF5(x) (((x) >> S_STATIC_C_PLL_PREDIV_CNF5) & M_STATIC_C_PLL_PREDIV_CNF5)
13139
13140#define S_T6_STATIC_C_PLL_MULT 0
13141#define M_T6_STATIC_C_PLL_MULT 0xffU
13142#define V_T6_STATIC_C_PLL_MULT(x) ((x) << S_T6_STATIC_C_PLL_MULT)
13143#define G_T6_STATIC_C_PLL_MULT(x) (((x) >> S_T6_STATIC_C_PLL_MULT) & M_T6_STATIC_C_PLL_MULT)
13144
13145#define A_DBG_T5_STATIC_U_PLL_CONF1 0x60e4
13146
13147#define S_T5_STATIC_U_PLL_MULTFRAC 8
13148#define M_T5_STATIC_U_PLL_MULTFRAC 0xffffffU
13149#define V_T5_STATIC_U_PLL_MULTFRAC(x) ((x) << S_T5_STATIC_U_PLL_MULTFRAC)
13150#define G_T5_STATIC_U_PLL_MULTFRAC(x) (((x) >> S_T5_STATIC_U_PLL_MULTFRAC) & M_T5_STATIC_U_PLL_MULTFRAC)
13151
13152#define S_T5_STATIC_U_PLL_FFSLEWRATE 0
13153#define M_T5_STATIC_U_PLL_FFSLEWRATE 0xffU
13154#define V_T5_STATIC_U_PLL_FFSLEWRATE(x) ((x) << S_T5_STATIC_U_PLL_FFSLEWRATE)
13155#define G_T5_STATIC_U_PLL_FFSLEWRATE(x) (((x) >> S_T5_STATIC_U_PLL_FFSLEWRATE) & M_T5_STATIC_U_PLL_FFSLEWRATE)
13156
13157#define A_DBG_STATIC_U_PLL_CONF1 0x60e4
13158
13159#define S_STATIC_U_PLL_MULTFRAC 8
13160#define M_STATIC_U_PLL_MULTFRAC 0xffffffU
13161#define V_STATIC_U_PLL_MULTFRAC(x) ((x) << S_STATIC_U_PLL_MULTFRAC)
13162#define G_STATIC_U_PLL_MULTFRAC(x) (((x) >> S_STATIC_U_PLL_MULTFRAC) & M_STATIC_U_PLL_MULTFRAC)
13163
13164#define S_STATIC_U_PLL_FFSLEWRATE 0
13165#define M_STATIC_U_PLL_FFSLEWRATE 0xffU
13166#define V_STATIC_U_PLL_FFSLEWRATE(x) ((x) << S_STATIC_U_PLL_FFSLEWRATE)
13167#define G_STATIC_U_PLL_FFSLEWRATE(x) (((x) >> S_STATIC_U_PLL_FFSLEWRATE) & M_STATIC_U_PLL_FFSLEWRATE)
13168
13169#define A_DBG_T5_STATIC_U_PLL_CONF2 0x60e8
13170
13171#define S_T5_STATIC_U_PLL_DCO_BYPASS 23
13172#define V_T5_STATIC_U_PLL_DCO_BYPASS(x) ((x) << S_T5_STATIC_U_PLL_DCO_BYPASS)
13173#define F_T5_STATIC_U_PLL_DCO_BYPASS V_T5_STATIC_U_PLL_DCO_BYPASS(1U)
13174
13175#define S_T5_STATIC_U_PLL_SDORDER 21
13176#define M_T5_STATIC_U_PLL_SDORDER 0x3U
13177#define V_T5_STATIC_U_PLL_SDORDER(x) ((x) << S_T5_STATIC_U_PLL_SDORDER)
13178#define G_T5_STATIC_U_PLL_SDORDER(x) (((x) >> S_T5_STATIC_U_PLL_SDORDER) & M_T5_STATIC_U_PLL_SDORDER)
13179
13180#define S_T5_STATIC_U_PLL_FFENABLE 20
13181#define V_T5_STATIC_U_PLL_FFENABLE(x) ((x) << S_T5_STATIC_U_PLL_FFENABLE)
13182#define F_T5_STATIC_U_PLL_FFENABLE V_T5_STATIC_U_PLL_FFENABLE(1U)
13183
13184#define S_T5_STATIC_U_PLL_STOPCLKB 19
13185#define V_T5_STATIC_U_PLL_STOPCLKB(x) ((x) << S_T5_STATIC_U_PLL_STOPCLKB)
13186#define F_T5_STATIC_U_PLL_STOPCLKB V_T5_STATIC_U_PLL_STOPCLKB(1U)
13187
13188#define S_T5_STATIC_U_PLL_STOPCLKA 18
13189#define V_T5_STATIC_U_PLL_STOPCLKA(x) ((x) << S_T5_STATIC_U_PLL_STOPCLKA)
13190#define F_T5_STATIC_U_PLL_STOPCLKA V_T5_STATIC_U_PLL_STOPCLKA(1U)
13191
13192#define S_T5_STATIC_U_PLL_SLEEP 17
13193#define V_T5_STATIC_U_PLL_SLEEP(x) ((x) << S_T5_STATIC_U_PLL_SLEEP)
13194#define F_T5_STATIC_U_PLL_SLEEP V_T5_STATIC_U_PLL_SLEEP(1U)
13195
13196#define S_T5_STATIC_U_PLL_BYPASS 16
13197#define V_T5_STATIC_U_PLL_BYPASS(x) ((x) << S_T5_STATIC_U_PLL_BYPASS)
13198#define F_T5_STATIC_U_PLL_BYPASS V_T5_STATIC_U_PLL_BYPASS(1U)
13199
13200#define S_T5_STATIC_U_PLL_LOCKTUNE 0
13201#define M_T5_STATIC_U_PLL_LOCKTUNE 0xffffU
13202#define V_T5_STATIC_U_PLL_LOCKTUNE(x) ((x) << S_T5_STATIC_U_PLL_LOCKTUNE)
13203#define G_T5_STATIC_U_PLL_LOCKTUNE(x) (((x) >> S_T5_STATIC_U_PLL_LOCKTUNE) & M_T5_STATIC_U_PLL_LOCKTUNE)
13204
13205#define A_DBG_STATIC_U_PLL_CONF2 0x60e8
13206
13207#define S_T6_STATIC_U_PLL_PREDIV 26
13208#define M_T6_STATIC_U_PLL_PREDIV 0x3fU
13209#define V_T6_STATIC_U_PLL_PREDIV(x) ((x) << S_T6_STATIC_U_PLL_PREDIV)
13210#define G_T6_STATIC_U_PLL_PREDIV(x) (((x) >> S_T6_STATIC_U_PLL_PREDIV) & M_T6_STATIC_U_PLL_PREDIV)
13211
13212#define S_STATIC_U_PLL_STARTUP 24
13213#define M_STATIC_U_PLL_STARTUP 0x3U
13214#define V_STATIC_U_PLL_STARTUP(x) ((x) << S_STATIC_U_PLL_STARTUP)
13215#define G_STATIC_U_PLL_STARTUP(x) (((x) >> S_STATIC_U_PLL_STARTUP) & M_STATIC_U_PLL_STARTUP)
13216
13217#define S_STATIC_U_PLL_DCO_BYPASS 23
13218#define V_STATIC_U_PLL_DCO_BYPASS(x) ((x) << S_STATIC_U_PLL_DCO_BYPASS)
13219#define F_STATIC_U_PLL_DCO_BYPASS V_STATIC_U_PLL_DCO_BYPASS(1U)
13220
13221#define S_STATIC_U_PLL_SDORDER 21
13222#define M_STATIC_U_PLL_SDORDER 0x3U
13223#define V_STATIC_U_PLL_SDORDER(x) ((x) << S_STATIC_U_PLL_SDORDER)
13224#define G_STATIC_U_PLL_SDORDER(x) (((x) >> S_STATIC_U_PLL_SDORDER) & M_STATIC_U_PLL_SDORDER)
13225
13226#define S_STATIC_U_PLL_DIVCHANGE 20
13227#define V_STATIC_U_PLL_DIVCHANGE(x) ((x) << S_STATIC_U_PLL_DIVCHANGE)
13228#define F_STATIC_U_PLL_DIVCHANGE V_STATIC_U_PLL_DIVCHANGE(1U)
13229
13230#define S_STATIC_U_PLL_STOPCLKB 19
13231#define V_STATIC_U_PLL_STOPCLKB(x) ((x) << S_STATIC_U_PLL_STOPCLKB)
13232#define F_STATIC_U_PLL_STOPCLKB V_STATIC_U_PLL_STOPCLKB(1U)
13233
13234#define S_STATIC_U_PLL_STOPCLKA 18
13235#define V_STATIC_U_PLL_STOPCLKA(x) ((x) << S_STATIC_U_PLL_STOPCLKA)
13236#define F_STATIC_U_PLL_STOPCLKA V_STATIC_U_PLL_STOPCLKA(1U)
13237
13238#define S_T6_STATIC_U_PLL_SLEEP 17
13239#define V_T6_STATIC_U_PLL_SLEEP(x) ((x) << S_T6_STATIC_U_PLL_SLEEP)
13240#define F_T6_STATIC_U_PLL_SLEEP V_T6_STATIC_U_PLL_SLEEP(1U)
13241
13242#define S_T6_STATIC_U_PLL_BYPASS 16
13243#define V_T6_STATIC_U_PLL_BYPASS(x) ((x) << S_T6_STATIC_U_PLL_BYPASS)
13244#define F_T6_STATIC_U_PLL_BYPASS V_T6_STATIC_U_PLL_BYPASS(1U)
13245
13246#define S_STATIC_U_PLL_LOCKTUNE 0
13247#define M_STATIC_U_PLL_LOCKTUNE 0x1fU
13248#define V_STATIC_U_PLL_LOCKTUNE(x) ((x) << S_STATIC_U_PLL_LOCKTUNE)
13249#define G_STATIC_U_PLL_LOCKTUNE(x) (((x) >> S_STATIC_U_PLL_LOCKTUNE) & M_STATIC_U_PLL_LOCKTUNE)
13250
13251#define A_DBG_T5_STATIC_U_PLL_CONF3 0x60ec
13252
13253#define S_T5_STATIC_U_PLL_MULTPRE 30
13254#define M_T5_STATIC_U_PLL_MULTPRE 0x3U
13255#define V_T5_STATIC_U_PLL_MULTPRE(x) ((x) << S_T5_STATIC_U_PLL_MULTPRE)
13256#define G_T5_STATIC_U_PLL_MULTPRE(x) (((x) >> S_T5_STATIC_U_PLL_MULTPRE) & M_T5_STATIC_U_PLL_MULTPRE)
13257
13258#define S_T5_STATIC_U_PLL_LOCKSEL 28
13259#define M_T5_STATIC_U_PLL_LOCKSEL 0x3U
13260#define V_T5_STATIC_U_PLL_LOCKSEL(x) ((x) << S_T5_STATIC_U_PLL_LOCKSEL)
13261#define G_T5_STATIC_U_PLL_LOCKSEL(x) (((x) >> S_T5_STATIC_U_PLL_LOCKSEL) & M_T5_STATIC_U_PLL_LOCKSEL)
13262
13263#define S_T5_STATIC_U_PLL_FFTUNE 12
13264#define M_T5_STATIC_U_PLL_FFTUNE 0xffffU
13265#define V_T5_STATIC_U_PLL_FFTUNE(x) ((x) << S_T5_STATIC_U_PLL_FFTUNE)
13266#define G_T5_STATIC_U_PLL_FFTUNE(x) (((x) >> S_T5_STATIC_U_PLL_FFTUNE) & M_T5_STATIC_U_PLL_FFTUNE)
13267
13268#define S_T5_STATIC_U_PLL_RANGEPRE 10
13269#define M_T5_STATIC_U_PLL_RANGEPRE 0x3U
13270#define V_T5_STATIC_U_PLL_RANGEPRE(x) ((x) << S_T5_STATIC_U_PLL_RANGEPRE)
13271#define G_T5_STATIC_U_PLL_RANGEPRE(x) (((x) >> S_T5_STATIC_U_PLL_RANGEPRE) & M_T5_STATIC_U_PLL_RANGEPRE)
13272
13273#define S_T5_STATIC_U_PLL_RANGEB 5
13274#define M_T5_STATIC_U_PLL_RANGEB 0x1fU
13275#define V_T5_STATIC_U_PLL_RANGEB(x) ((x) << S_T5_STATIC_U_PLL_RANGEB)
13276#define G_T5_STATIC_U_PLL_RANGEB(x) (((x) >> S_T5_STATIC_U_PLL_RANGEB) & M_T5_STATIC_U_PLL_RANGEB)
13277
13278#define S_T5_STATIC_U_PLL_RANGEA 0
13279#define M_T5_STATIC_U_PLL_RANGEA 0x1fU
13280#define V_T5_STATIC_U_PLL_RANGEA(x) ((x) << S_T5_STATIC_U_PLL_RANGEA)
13281#define G_T5_STATIC_U_PLL_RANGEA(x) (((x) >> S_T5_STATIC_U_PLL_RANGEA) & M_T5_STATIC_U_PLL_RANGEA)
13282
13283#define A_DBG_STATIC_U_PLL_CONF3 0x60ec
13284
13285#define S_STATIC_U_PLL_MULTPRE 30
13286#define M_STATIC_U_PLL_MULTPRE 0x3U
13287#define V_STATIC_U_PLL_MULTPRE(x) ((x) << S_STATIC_U_PLL_MULTPRE)
13288#define G_STATIC_U_PLL_MULTPRE(x) (((x) >> S_STATIC_U_PLL_MULTPRE) & M_STATIC_U_PLL_MULTPRE)
13289
13290#define S_STATIC_U_PLL_LOCKSEL 28
13291#define V_STATIC_U_PLL_LOCKSEL(x) ((x) << S_STATIC_U_PLL_LOCKSEL)
13292#define F_STATIC_U_PLL_LOCKSEL V_STATIC_U_PLL_LOCKSEL(1U)
13293
13294#define S_STATIC_U_PLL_FFTUNE 12
13295#define M_STATIC_U_PLL_FFTUNE 0xffffU
13296#define V_STATIC_U_PLL_FFTUNE(x) ((x) << S_STATIC_U_PLL_FFTUNE)
13297#define G_STATIC_U_PLL_FFTUNE(x) (((x) >> S_STATIC_U_PLL_FFTUNE) & M_STATIC_U_PLL_FFTUNE)
13298
13299#define S_STATIC_U_PLL_RANGEPRE 10
13300#define M_STATIC_U_PLL_RANGEPRE 0x3U
13301#define V_STATIC_U_PLL_RANGEPRE(x) ((x) << S_STATIC_U_PLL_RANGEPRE)
13302#define G_STATIC_U_PLL_RANGEPRE(x) (((x) >> S_STATIC_U_PLL_RANGEPRE) & M_STATIC_U_PLL_RANGEPRE)
13303
13304#define S_T6_STATIC_U_PLL_RANGEB 5
13305#define M_T6_STATIC_U_PLL_RANGEB 0x1fU
13306#define V_T6_STATIC_U_PLL_RANGEB(x) ((x) << S_T6_STATIC_U_PLL_RANGEB)
13307#define G_T6_STATIC_U_PLL_RANGEB(x) (((x) >> S_T6_STATIC_U_PLL_RANGEB) & M_T6_STATIC_U_PLL_RANGEB)
13308
13309#define S_T6_STATIC_U_PLL_RANGEA 0
13310#define M_T6_STATIC_U_PLL_RANGEA 0x1fU
13311#define V_T6_STATIC_U_PLL_RANGEA(x) ((x) << S_T6_STATIC_U_PLL_RANGEA)
13312#define G_T6_STATIC_U_PLL_RANGEA(x) (((x) >> S_T6_STATIC_U_PLL_RANGEA) & M_T6_STATIC_U_PLL_RANGEA)
13313
13314#define A_DBG_T5_STATIC_U_PLL_CONF4 0x60f0
13315#define A_DBG_STATIC_U_PLL_CONF4 0x60f0
13316#define A_DBG_T5_STATIC_U_PLL_CONF5 0x60f4
13317
13318#define S_T5_STATIC_U_PLL_VCVTUNE 22
13319#define M_T5_STATIC_U_PLL_VCVTUNE 0x7U
13320#define V_T5_STATIC_U_PLL_VCVTUNE(x) ((x) << S_T5_STATIC_U_PLL_VCVTUNE)
13321#define G_T5_STATIC_U_PLL_VCVTUNE(x) (((x) >> S_T5_STATIC_U_PLL_VCVTUNE) & M_T5_STATIC_U_PLL_VCVTUNE)
13322
13323#define S_T5_STATIC_U_PLL_LFTUNE_32_40 13
13324#define M_T5_STATIC_U_PLL_LFTUNE_32_40 0x1ffU
13325#define V_T5_STATIC_U_PLL_LFTUNE_32_40(x) ((x) << S_T5_STATIC_U_PLL_LFTUNE_32_40)
13326#define G_T5_STATIC_U_PLL_LFTUNE_32_40(x) (((x) >> S_T5_STATIC_U_PLL_LFTUNE_32_40) & M_T5_STATIC_U_PLL_LFTUNE_32_40)
13327
13328#define S_T5_STATIC_U_PLL_PREDIV 8
13329#define M_T5_STATIC_U_PLL_PREDIV 0x1fU
13330#define V_T5_STATIC_U_PLL_PREDIV(x) ((x) << S_T5_STATIC_U_PLL_PREDIV)
13331#define G_T5_STATIC_U_PLL_PREDIV(x) (((x) >> S_T5_STATIC_U_PLL_PREDIV) & M_T5_STATIC_U_PLL_PREDIV)
13332
13333#define S_T5_STATIC_U_PLL_MULT 0
13334#define M_T5_STATIC_U_PLL_MULT 0xffU
13335#define V_T5_STATIC_U_PLL_MULT(x) ((x) << S_T5_STATIC_U_PLL_MULT)
13336#define G_T5_STATIC_U_PLL_MULT(x) (((x) >> S_T5_STATIC_U_PLL_MULT) & M_T5_STATIC_U_PLL_MULT)
13337
13338#define A_DBG_STATIC_U_PLL_CONF5 0x60f4
13339
13340#define S_STATIC_U_PLL_FFBYPASS 27
13341#define V_STATIC_U_PLL_FFBYPASS(x) ((x) << S_STATIC_U_PLL_FFBYPASS)
13342#define F_STATIC_U_PLL_FFBYPASS V_STATIC_U_PLL_FFBYPASS(1U)
13343
13344#define S_STATIC_U_PLL_FASTSTOP 26
13345#define V_STATIC_U_PLL_FASTSTOP(x) ((x) << S_STATIC_U_PLL_FASTSTOP)
13346#define F_STATIC_U_PLL_FASTSTOP V_STATIC_U_PLL_FASTSTOP(1U)
13347
13348#define S_STATIC_U_PLL_FRAMESTOP 25
13349#define V_STATIC_U_PLL_FRAMESTOP(x) ((x) << S_STATIC_U_PLL_FRAMESTOP)
13350#define F_STATIC_U_PLL_FRAMESTOP V_STATIC_U_PLL_FRAMESTOP(1U)
13351
13352#define S_STATIC_U_PLL_VCVTUNE 22
13353#define M_STATIC_U_PLL_VCVTUNE 0x7U
13354#define V_STATIC_U_PLL_VCVTUNE(x) ((x) << S_STATIC_U_PLL_VCVTUNE)
13355#define G_STATIC_U_PLL_VCVTUNE(x) (((x) >> S_STATIC_U_PLL_VCVTUNE) & M_STATIC_U_PLL_VCVTUNE)
13356
13357#define S_STATIC_U_PLL_LFTUNE_32_40 13
13358#define M_STATIC_U_PLL_LFTUNE_32_40 0x1ffU
13359#define V_STATIC_U_PLL_LFTUNE_32_40(x) ((x) << S_STATIC_U_PLL_LFTUNE_32_40)
13360#define G_STATIC_U_PLL_LFTUNE_32_40(x) (((x) >> S_STATIC_U_PLL_LFTUNE_32_40) & M_STATIC_U_PLL_LFTUNE_32_40)
13361
13362#define S_STATIC_U_PLL_PREDIV_CNF5 8
13363#define M_STATIC_U_PLL_PREDIV_CNF5 0x1fU
13364#define V_STATIC_U_PLL_PREDIV_CNF5(x) ((x) << S_STATIC_U_PLL_PREDIV_CNF5)
13365#define G_STATIC_U_PLL_PREDIV_CNF5(x) (((x) >> S_STATIC_U_PLL_PREDIV_CNF5) & M_STATIC_U_PLL_PREDIV_CNF5)
13366
13367#define S_T6_STATIC_U_PLL_MULT 0
13368#define M_T6_STATIC_U_PLL_MULT 0xffU
13369#define V_T6_STATIC_U_PLL_MULT(x) ((x) << S_T6_STATIC_U_PLL_MULT)
13370#define G_T6_STATIC_U_PLL_MULT(x) (((x) >> S_T6_STATIC_U_PLL_MULT) & M_T6_STATIC_U_PLL_MULT)
13371
13372#define A_DBG_T5_STATIC_KR_PLL_CONF1 0x60f8
13373
13374#define S_T5_STATIC_KR_PLL_BYPASS 30
13375#define V_T5_STATIC_KR_PLL_BYPASS(x) ((x) << S_T5_STATIC_KR_PLL_BYPASS)
13376#define F_T5_STATIC_KR_PLL_BYPASS V_T5_STATIC_KR_PLL_BYPASS(1U)
13377
13378#define S_T5_STATIC_KR_PLL_VBOOSTDIV 27
13379#define M_T5_STATIC_KR_PLL_VBOOSTDIV 0x7U
13380#define V_T5_STATIC_KR_PLL_VBOOSTDIV(x) ((x) << S_T5_STATIC_KR_PLL_VBOOSTDIV)
13381#define G_T5_STATIC_KR_PLL_VBOOSTDIV(x) (((x) >> S_T5_STATIC_KR_PLL_VBOOSTDIV) & M_T5_STATIC_KR_PLL_VBOOSTDIV)
13382
13383#define S_T5_STATIC_KR_PLL_CPISEL 24
13384#define M_T5_STATIC_KR_PLL_CPISEL 0x7U
13385#define V_T5_STATIC_KR_PLL_CPISEL(x) ((x) << S_T5_STATIC_KR_PLL_CPISEL)
13386#define G_T5_STATIC_KR_PLL_CPISEL(x) (((x) >> S_T5_STATIC_KR_PLL_CPISEL) & M_T5_STATIC_KR_PLL_CPISEL)
13387
13388#define S_T5_STATIC_KR_PLL_CCALMETHOD 23
13389#define V_T5_STATIC_KR_PLL_CCALMETHOD(x) ((x) << S_T5_STATIC_KR_PLL_CCALMETHOD)
13390#define F_T5_STATIC_KR_PLL_CCALMETHOD V_T5_STATIC_KR_PLL_CCALMETHOD(1U)
13391
13392#define S_T5_STATIC_KR_PLL_CCALLOAD 22
13393#define V_T5_STATIC_KR_PLL_CCALLOAD(x) ((x) << S_T5_STATIC_KR_PLL_CCALLOAD)
13394#define F_T5_STATIC_KR_PLL_CCALLOAD V_T5_STATIC_KR_PLL_CCALLOAD(1U)
13395
13396#define S_T5_STATIC_KR_PLL_CCALFMIN 21
13397#define V_T5_STATIC_KR_PLL_CCALFMIN(x) ((x) << S_T5_STATIC_KR_PLL_CCALFMIN)
13398#define F_T5_STATIC_KR_PLL_CCALFMIN V_T5_STATIC_KR_PLL_CCALFMIN(1U)
13399
13400#define S_T5_STATIC_KR_PLL_CCALFMAX 20
13401#define V_T5_STATIC_KR_PLL_CCALFMAX(x) ((x) << S_T5_STATIC_KR_PLL_CCALFMAX)
13402#define F_T5_STATIC_KR_PLL_CCALFMAX V_T5_STATIC_KR_PLL_CCALFMAX(1U)
13403
13404#define S_T5_STATIC_KR_PLL_CCALCVHOLD 19
13405#define V_T5_STATIC_KR_PLL_CCALCVHOLD(x) ((x) << S_T5_STATIC_KR_PLL_CCALCVHOLD)
13406#define F_T5_STATIC_KR_PLL_CCALCVHOLD V_T5_STATIC_KR_PLL_CCALCVHOLD(1U)
13407
13408#define S_T5_STATIC_KR_PLL_CCALBANDSEL 15
13409#define M_T5_STATIC_KR_PLL_CCALBANDSEL 0xfU
13410#define V_T5_STATIC_KR_PLL_CCALBANDSEL(x) ((x) << S_T5_STATIC_KR_PLL_CCALBANDSEL)
13411#define G_T5_STATIC_KR_PLL_CCALBANDSEL(x) (((x) >> S_T5_STATIC_KR_PLL_CCALBANDSEL) & M_T5_STATIC_KR_PLL_CCALBANDSEL)
13412
13413#define S_T5_STATIC_KR_PLL_BGOFFSET 11
13414#define M_T5_STATIC_KR_PLL_BGOFFSET 0xfU
13415#define V_T5_STATIC_KR_PLL_BGOFFSET(x) ((x) << S_T5_STATIC_KR_PLL_BGOFFSET)
13416#define G_T5_STATIC_KR_PLL_BGOFFSET(x) (((x) >> S_T5_STATIC_KR_PLL_BGOFFSET) & M_T5_STATIC_KR_PLL_BGOFFSET)
13417
13418#define S_T5_STATIC_KR_PLL_P 8
13419#define M_T5_STATIC_KR_PLL_P 0x7U
13420#define V_T5_STATIC_KR_PLL_P(x) ((x) << S_T5_STATIC_KR_PLL_P)
13421#define G_T5_STATIC_KR_PLL_P(x) (((x) >> S_T5_STATIC_KR_PLL_P) & M_T5_STATIC_KR_PLL_P)
13422
13423#define S_T5_STATIC_KR_PLL_N2 4
13424#define M_T5_STATIC_KR_PLL_N2 0xfU
13425#define V_T5_STATIC_KR_PLL_N2(x) ((x) << S_T5_STATIC_KR_PLL_N2)
13426#define G_T5_STATIC_KR_PLL_N2(x) (((x) >> S_T5_STATIC_KR_PLL_N2) & M_T5_STATIC_KR_PLL_N2)
13427
13428#define S_T5_STATIC_KR_PLL_N1 0
13429#define M_T5_STATIC_KR_PLL_N1 0xfU
13430#define V_T5_STATIC_KR_PLL_N1(x) ((x) << S_T5_STATIC_KR_PLL_N1)
13431#define G_T5_STATIC_KR_PLL_N1(x) (((x) >> S_T5_STATIC_KR_PLL_N1) & M_T5_STATIC_KR_PLL_N1)
13432
13433#define A_DBG_STATIC_KR_PLL_CONF1 0x60f8
13434
13435#define S_T6_STATIC_KR_PLL_BYPASS 30
13436#define V_T6_STATIC_KR_PLL_BYPASS(x) ((x) << S_T6_STATIC_KR_PLL_BYPASS)
13437#define F_T6_STATIC_KR_PLL_BYPASS V_T6_STATIC_KR_PLL_BYPASS(1U)
13438
13439#define S_STATIC_KR_PLL_VBOOSTDIV 27
13440#define M_STATIC_KR_PLL_VBOOSTDIV 0x7U
13441#define V_STATIC_KR_PLL_VBOOSTDIV(x) ((x) << S_STATIC_KR_PLL_VBOOSTDIV)
13442#define G_STATIC_KR_PLL_VBOOSTDIV(x) (((x) >> S_STATIC_KR_PLL_VBOOSTDIV) & M_STATIC_KR_PLL_VBOOSTDIV)
13443
13444#define S_STATIC_KR_PLL_CPISEL 24
13445#define M_STATIC_KR_PLL_CPISEL 0x7U
13446#define V_STATIC_KR_PLL_CPISEL(x) ((x) << S_STATIC_KR_PLL_CPISEL)
13447#define G_STATIC_KR_PLL_CPISEL(x) (((x) >> S_STATIC_KR_PLL_CPISEL) & M_STATIC_KR_PLL_CPISEL)
13448
13449#define S_STATIC_KR_PLL_CCALMETHOD 23
13450#define V_STATIC_KR_PLL_CCALMETHOD(x) ((x) << S_STATIC_KR_PLL_CCALMETHOD)
13451#define F_STATIC_KR_PLL_CCALMETHOD V_STATIC_KR_PLL_CCALMETHOD(1U)
13452
13453#define S_STATIC_KR_PLL_CCALLOAD 22
13454#define V_STATIC_KR_PLL_CCALLOAD(x) ((x) << S_STATIC_KR_PLL_CCALLOAD)
13455#define F_STATIC_KR_PLL_CCALLOAD V_STATIC_KR_PLL_CCALLOAD(1U)
13456
13457#define S_STATIC_KR_PLL_CCALFMIN 21
13458#define V_STATIC_KR_PLL_CCALFMIN(x) ((x) << S_STATIC_KR_PLL_CCALFMIN)
13459#define F_STATIC_KR_PLL_CCALFMIN V_STATIC_KR_PLL_CCALFMIN(1U)
13460
13461#define S_STATIC_KR_PLL_CCALFMAX 20
13462#define V_STATIC_KR_PLL_CCALFMAX(x) ((x) << S_STATIC_KR_PLL_CCALFMAX)
13463#define F_STATIC_KR_PLL_CCALFMAX V_STATIC_KR_PLL_CCALFMAX(1U)
13464
13465#define S_STATIC_KR_PLL_CCALCVHOLD 19
13466#define V_STATIC_KR_PLL_CCALCVHOLD(x) ((x) << S_STATIC_KR_PLL_CCALCVHOLD)
13467#define F_STATIC_KR_PLL_CCALCVHOLD V_STATIC_KR_PLL_CCALCVHOLD(1U)
13468
13469#define S_STATIC_KR_PLL_CCALBANDSEL 15
13470#define M_STATIC_KR_PLL_CCALBANDSEL 0xfU
13471#define V_STATIC_KR_PLL_CCALBANDSEL(x) ((x) << S_STATIC_KR_PLL_CCALBANDSEL)
13472#define G_STATIC_KR_PLL_CCALBANDSEL(x) (((x) >> S_STATIC_KR_PLL_CCALBANDSEL) & M_STATIC_KR_PLL_CCALBANDSEL)
13473
13474#define S_STATIC_KR_PLL_BGOFFSET 11
13475#define M_STATIC_KR_PLL_BGOFFSET 0xfU
13476#define V_STATIC_KR_PLL_BGOFFSET(x) ((x) << S_STATIC_KR_PLL_BGOFFSET)
13477#define G_STATIC_KR_PLL_BGOFFSET(x) (((x) >> S_STATIC_KR_PLL_BGOFFSET) & M_STATIC_KR_PLL_BGOFFSET)
13478
13479#define S_T6_STATIC_KR_PLL_P 8
13480#define M_T6_STATIC_KR_PLL_P 0x7U
13481#define V_T6_STATIC_KR_PLL_P(x) ((x) << S_T6_STATIC_KR_PLL_P)
13482#define G_T6_STATIC_KR_PLL_P(x) (((x) >> S_T6_STATIC_KR_PLL_P) & M_T6_STATIC_KR_PLL_P)
13483
13484#define S_T6_STATIC_KR_PLL_N2 4
13485#define M_T6_STATIC_KR_PLL_N2 0xfU
13486#define V_T6_STATIC_KR_PLL_N2(x) ((x) << S_T6_STATIC_KR_PLL_N2)
13487#define G_T6_STATIC_KR_PLL_N2(x) (((x) >> S_T6_STATIC_KR_PLL_N2) & M_T6_STATIC_KR_PLL_N2)
13488
13489#define S_T6_STATIC_KR_PLL_N1 0
13490#define M_T6_STATIC_KR_PLL_N1 0xfU
13491#define V_T6_STATIC_KR_PLL_N1(x) ((x) << S_T6_STATIC_KR_PLL_N1)
13492#define G_T6_STATIC_KR_PLL_N1(x) (((x) >> S_T6_STATIC_KR_PLL_N1) & M_T6_STATIC_KR_PLL_N1)
13493
13494#define A_DBG_T5_STATIC_KR_PLL_CONF2 0x60fc
13495
13496#define S_T5_STATIC_KR_PLL_M 11
13497#define M_T5_STATIC_KR_PLL_M 0x1ffU
13498#define V_T5_STATIC_KR_PLL_M(x) ((x) << S_T5_STATIC_KR_PLL_M)
13499#define G_T5_STATIC_KR_PLL_M(x) (((x) >> S_T5_STATIC_KR_PLL_M) & M_T5_STATIC_KR_PLL_M)
13500
13501#define S_T5_STATIC_KR_PLL_ANALOGTUNE 0
13502#define M_T5_STATIC_KR_PLL_ANALOGTUNE 0x7ffU
13503#define V_T5_STATIC_KR_PLL_ANALOGTUNE(x) ((x) << S_T5_STATIC_KR_PLL_ANALOGTUNE)
13504#define G_T5_STATIC_KR_PLL_ANALOGTUNE(x) (((x) >> S_T5_STATIC_KR_PLL_ANALOGTUNE) & M_T5_STATIC_KR_PLL_ANALOGTUNE)
13505
13506#define A_DBG_STATIC_KR_PLL_CONF2 0x60fc
13507
13508#define S_T6_STATIC_KR_PLL_M 11
13509#define M_T6_STATIC_KR_PLL_M 0x1ffU
13510#define V_T6_STATIC_KR_PLL_M(x) ((x) << S_T6_STATIC_KR_PLL_M)
13511#define G_T6_STATIC_KR_PLL_M(x) (((x) >> S_T6_STATIC_KR_PLL_M) & M_T6_STATIC_KR_PLL_M)
13512
13513#define S_STATIC_KR_PLL_ANALOGTUNE 0
13514#define M_STATIC_KR_PLL_ANALOGTUNE 0x7ffU
13515#define V_STATIC_KR_PLL_ANALOGTUNE(x) ((x) << S_STATIC_KR_PLL_ANALOGTUNE)
13516#define G_STATIC_KR_PLL_ANALOGTUNE(x) (((x) >> S_STATIC_KR_PLL_ANALOGTUNE) & M_STATIC_KR_PLL_ANALOGTUNE)
13517
13518#define A_DBG_PVT_REG_CALIBRATE_CTL 0x6100
13519
13520#define S_HALT_CALIBRATE 1
13521#define V_HALT_CALIBRATE(x) ((x) << S_HALT_CALIBRATE)
13522#define F_HALT_CALIBRATE V_HALT_CALIBRATE(1U)
13523
13524#define S_RESET_CALIBRATE 0
13525#define V_RESET_CALIBRATE(x) ((x) << S_RESET_CALIBRATE)
13526#define F_RESET_CALIBRATE V_RESET_CALIBRATE(1U)
13527
13528#define A_DBG_GPIO_EN_NEW 0x6100
13529
13530#define S_GPIO16_OEN 7
13531#define V_GPIO16_OEN(x) ((x) << S_GPIO16_OEN)
13532#define F_GPIO16_OEN V_GPIO16_OEN(1U)
13533
13534#define S_GPIO17_OEN 6
13535#define V_GPIO17_OEN(x) ((x) << S_GPIO17_OEN)
13536#define F_GPIO17_OEN V_GPIO17_OEN(1U)
13537
13538#define S_GPIO18_OEN 5
13539#define V_GPIO18_OEN(x) ((x) << S_GPIO18_OEN)
13540#define F_GPIO18_OEN V_GPIO18_OEN(1U)
13541
13542#define S_GPIO19_OEN 4
13543#define V_GPIO19_OEN(x) ((x) << S_GPIO19_OEN)
13544#define F_GPIO19_OEN V_GPIO19_OEN(1U)
13545
13546#define S_GPIO16_OUT_VAL 3
13547#define V_GPIO16_OUT_VAL(x) ((x) << S_GPIO16_OUT_VAL)
13548#define F_GPIO16_OUT_VAL V_GPIO16_OUT_VAL(1U)
13549
13550#define S_GPIO17_OUT_VAL 2
13551#define V_GPIO17_OUT_VAL(x) ((x) << S_GPIO17_OUT_VAL)
13552#define F_GPIO17_OUT_VAL V_GPIO17_OUT_VAL(1U)
13553
13554#define S_GPIO18_OUT_VAL 1
13555#define V_GPIO18_OUT_VAL(x) ((x) << S_GPIO18_OUT_VAL)
13556#define F_GPIO18_OUT_VAL V_GPIO18_OUT_VAL(1U)
13557
13558#define S_GPIO19_OUT_VAL 0
13559#define V_GPIO19_OUT_VAL(x) ((x) << S_GPIO19_OUT_VAL)
13560#define F_GPIO19_OUT_VAL V_GPIO19_OUT_VAL(1U)
13561
13562#define A_DBG_PVT_REG_UPDATE_CTL 0x6104
13563
13564#define S_FAST_UPDATE 8
13565#define V_FAST_UPDATE(x) ((x) << S_FAST_UPDATE)
13566#define F_FAST_UPDATE V_FAST_UPDATE(1U)
13567
13568#define S_FORCE_REG_IN_VALUE 2
13569#define V_FORCE_REG_IN_VALUE(x) ((x) << S_FORCE_REG_IN_VALUE)
13570#define F_FORCE_REG_IN_VALUE V_FORCE_REG_IN_VALUE(1U)
13571
13572#define S_HALT_UPDATE 1
13573#define V_HALT_UPDATE(x) ((x) << S_HALT_UPDATE)
13574#define F_HALT_UPDATE V_HALT_UPDATE(1U)
13575
13576#define A_DBG_GPIO_IN_NEW 0x6104
13577
13578#define S_GPIO16_CHG_DET 7
13579#define V_GPIO16_CHG_DET(x) ((x) << S_GPIO16_CHG_DET)
13580#define F_GPIO16_CHG_DET V_GPIO16_CHG_DET(1U)
13581
13582#define S_GPIO17_CHG_DET 6
13583#define V_GPIO17_CHG_DET(x) ((x) << S_GPIO17_CHG_DET)
13584#define F_GPIO17_CHG_DET V_GPIO17_CHG_DET(1U)
13585
13586#define S_GPIO18_CHG_DET 5
13587#define V_GPIO18_CHG_DET(x) ((x) << S_GPIO18_CHG_DET)
13588#define F_GPIO18_CHG_DET V_GPIO18_CHG_DET(1U)
13589
13590#define S_GPIO19_CHG_DET 4
13591#define V_GPIO19_CHG_DET(x) ((x) << S_GPIO19_CHG_DET)
13592#define F_GPIO19_CHG_DET V_GPIO19_CHG_DET(1U)
13593
13594#define S_GPIO19_IN 3
13595#define V_GPIO19_IN(x) ((x) << S_GPIO19_IN)
13596#define F_GPIO19_IN V_GPIO19_IN(1U)
13597
13598#define S_GPIO18_IN 2
13599#define V_GPIO18_IN(x) ((x) << S_GPIO18_IN)
13600#define F_GPIO18_IN V_GPIO18_IN(1U)
13601
13602#define S_GPIO17_IN 1
13603#define V_GPIO17_IN(x) ((x) << S_GPIO17_IN)
13604#define F_GPIO17_IN V_GPIO17_IN(1U)
13605
13606#define S_GPIO16_IN 0
13607#define V_GPIO16_IN(x) ((x) << S_GPIO16_IN)
13608#define F_GPIO16_IN V_GPIO16_IN(1U)
13609
13610#define A_DBG_PVT_REG_LAST_MEASUREMENT 0x6108
13611
13612#define S_LAST_MEASUREMENT_SELECT 8
13613#define M_LAST_MEASUREMENT_SELECT 0x3U
13614#define V_LAST_MEASUREMENT_SELECT(x) ((x) << S_LAST_MEASUREMENT_SELECT)
13615#define G_LAST_MEASUREMENT_SELECT(x) (((x) >> S_LAST_MEASUREMENT_SELECT) & M_LAST_MEASUREMENT_SELECT)
13616
13617#define S_LAST_MEASUREMENT_RESULT_BANK_B 4
13618#define M_LAST_MEASUREMENT_RESULT_BANK_B 0xfU
13619#define V_LAST_MEASUREMENT_RESULT_BANK_B(x) ((x) << S_LAST_MEASUREMENT_RESULT_BANK_B)
13620#define G_LAST_MEASUREMENT_RESULT_BANK_B(x) (((x) >> S_LAST_MEASUREMENT_RESULT_BANK_B) & M_LAST_MEASUREMENT_RESULT_BANK_B)
13621
13622#define S_LAST_MEASUREMENT_RESULT_BANK_A 0
13623#define M_LAST_MEASUREMENT_RESULT_BANK_A 0xfU
13624#define V_LAST_MEASUREMENT_RESULT_BANK_A(x) ((x) << S_LAST_MEASUREMENT_RESULT_BANK_A)
13625#define G_LAST_MEASUREMENT_RESULT_BANK_A(x) (((x) >> S_LAST_MEASUREMENT_RESULT_BANK_A) & M_LAST_MEASUREMENT_RESULT_BANK_A)
13626
13627#define A_DBG_T5_STATIC_KX_PLL_CONF1 0x6108
13628
13629#define S_T5_STATIC_KX_PLL_BYPASS 30
13630#define V_T5_STATIC_KX_PLL_BYPASS(x) ((x) << S_T5_STATIC_KX_PLL_BYPASS)
13631#define F_T5_STATIC_KX_PLL_BYPASS V_T5_STATIC_KX_PLL_BYPASS(1U)
13632
13633#define S_T5_STATIC_KX_PLL_VBOOSTDIV 27
13634#define M_T5_STATIC_KX_PLL_VBOOSTDIV 0x7U
13635#define V_T5_STATIC_KX_PLL_VBOOSTDIV(x) ((x) << S_T5_STATIC_KX_PLL_VBOOSTDIV)
13636#define G_T5_STATIC_KX_PLL_VBOOSTDIV(x) (((x) >> S_T5_STATIC_KX_PLL_VBOOSTDIV) & M_T5_STATIC_KX_PLL_VBOOSTDIV)
13637
13638#define S_T5_STATIC_KX_PLL_CPISEL 24
13639#define M_T5_STATIC_KX_PLL_CPISEL 0x7U
13640#define V_T5_STATIC_KX_PLL_CPISEL(x) ((x) << S_T5_STATIC_KX_PLL_CPISEL)
13641#define G_T5_STATIC_KX_PLL_CPISEL(x) (((x) >> S_T5_STATIC_KX_PLL_CPISEL) & M_T5_STATIC_KX_PLL_CPISEL)
13642
13643#define S_T5_STATIC_KX_PLL_CCALMETHOD 23
13644#define V_T5_STATIC_KX_PLL_CCALMETHOD(x) ((x) << S_T5_STATIC_KX_PLL_CCALMETHOD)
13645#define F_T5_STATIC_KX_PLL_CCALMETHOD V_T5_STATIC_KX_PLL_CCALMETHOD(1U)
13646
13647#define S_T5_STATIC_KX_PLL_CCALLOAD 22
13648#define V_T5_STATIC_KX_PLL_CCALLOAD(x) ((x) << S_T5_STATIC_KX_PLL_CCALLOAD)
13649#define F_T5_STATIC_KX_PLL_CCALLOAD V_T5_STATIC_KX_PLL_CCALLOAD(1U)
13650
13651#define S_T5_STATIC_KX_PLL_CCALFMIN 21
13652#define V_T5_STATIC_KX_PLL_CCALFMIN(x) ((x) << S_T5_STATIC_KX_PLL_CCALFMIN)
13653#define F_T5_STATIC_KX_PLL_CCALFMIN V_T5_STATIC_KX_PLL_CCALFMIN(1U)
13654
13655#define S_T5_STATIC_KX_PLL_CCALFMAX 20
13656#define V_T5_STATIC_KX_PLL_CCALFMAX(x) ((x) << S_T5_STATIC_KX_PLL_CCALFMAX)
13657#define F_T5_STATIC_KX_PLL_CCALFMAX V_T5_STATIC_KX_PLL_CCALFMAX(1U)
13658
13659#define S_T5_STATIC_KX_PLL_CCALCVHOLD 19
13660#define V_T5_STATIC_KX_PLL_CCALCVHOLD(x) ((x) << S_T5_STATIC_KX_PLL_CCALCVHOLD)
13661#define F_T5_STATIC_KX_PLL_CCALCVHOLD V_T5_STATIC_KX_PLL_CCALCVHOLD(1U)
13662
13663#define S_T5_STATIC_KX_PLL_CCALBANDSEL 15
13664#define M_T5_STATIC_KX_PLL_CCALBANDSEL 0xfU
13665#define V_T5_STATIC_KX_PLL_CCALBANDSEL(x) ((x) << S_T5_STATIC_KX_PLL_CCALBANDSEL)
13666#define G_T5_STATIC_KX_PLL_CCALBANDSEL(x) (((x) >> S_T5_STATIC_KX_PLL_CCALBANDSEL) & M_T5_STATIC_KX_PLL_CCALBANDSEL)
13667
13668#define S_T5_STATIC_KX_PLL_BGOFFSET 11
13669#define M_T5_STATIC_KX_PLL_BGOFFSET 0xfU
13670#define V_T5_STATIC_KX_PLL_BGOFFSET(x) ((x) << S_T5_STATIC_KX_PLL_BGOFFSET)
13671#define G_T5_STATIC_KX_PLL_BGOFFSET(x) (((x) >> S_T5_STATIC_KX_PLL_BGOFFSET) & M_T5_STATIC_KX_PLL_BGOFFSET)
13672
13673#define S_T5_STATIC_KX_PLL_P 8
13674#define M_T5_STATIC_KX_PLL_P 0x7U
13675#define V_T5_STATIC_KX_PLL_P(x) ((x) << S_T5_STATIC_KX_PLL_P)
13676#define G_T5_STATIC_KX_PLL_P(x) (((x) >> S_T5_STATIC_KX_PLL_P) & M_T5_STATIC_KX_PLL_P)
13677
13678#define S_T5_STATIC_KX_PLL_N2 4
13679#define M_T5_STATIC_KX_PLL_N2 0xfU
13680#define V_T5_STATIC_KX_PLL_N2(x) ((x) << S_T5_STATIC_KX_PLL_N2)
13681#define G_T5_STATIC_KX_PLL_N2(x) (((x) >> S_T5_STATIC_KX_PLL_N2) & M_T5_STATIC_KX_PLL_N2)
13682
13683#define S_T5_STATIC_KX_PLL_N1 0
13684#define M_T5_STATIC_KX_PLL_N1 0xfU
13685#define V_T5_STATIC_KX_PLL_N1(x) ((x) << S_T5_STATIC_KX_PLL_N1)
13686#define G_T5_STATIC_KX_PLL_N1(x) (((x) >> S_T5_STATIC_KX_PLL_N1) & M_T5_STATIC_KX_PLL_N1)
13687
13688#define A_DBG_STATIC_KX_PLL_CONF1 0x6108
13689
13690#define S_T6_STATIC_KX_PLL_BYPASS 30
13691#define V_T6_STATIC_KX_PLL_BYPASS(x) ((x) << S_T6_STATIC_KX_PLL_BYPASS)
13692#define F_T6_STATIC_KX_PLL_BYPASS V_T6_STATIC_KX_PLL_BYPASS(1U)
13693
13694#define S_STATIC_KX_PLL_VBOOSTDIV 27
13695#define M_STATIC_KX_PLL_VBOOSTDIV 0x7U
13696#define V_STATIC_KX_PLL_VBOOSTDIV(x) ((x) << S_STATIC_KX_PLL_VBOOSTDIV)
13697#define G_STATIC_KX_PLL_VBOOSTDIV(x) (((x) >> S_STATIC_KX_PLL_VBOOSTDIV) & M_STATIC_KX_PLL_VBOOSTDIV)
13698
13699#define S_STATIC_KX_PLL_CPISEL 24
13700#define M_STATIC_KX_PLL_CPISEL 0x7U
13701#define V_STATIC_KX_PLL_CPISEL(x) ((x) << S_STATIC_KX_PLL_CPISEL)
13702#define G_STATIC_KX_PLL_CPISEL(x) (((x) >> S_STATIC_KX_PLL_CPISEL) & M_STATIC_KX_PLL_CPISEL)
13703
13704#define S_STATIC_KX_PLL_CCALMETHOD 23
13705#define V_STATIC_KX_PLL_CCALMETHOD(x) ((x) << S_STATIC_KX_PLL_CCALMETHOD)
13706#define F_STATIC_KX_PLL_CCALMETHOD V_STATIC_KX_PLL_CCALMETHOD(1U)
13707
13708#define S_STATIC_KX_PLL_CCALLOAD 22
13709#define V_STATIC_KX_PLL_CCALLOAD(x) ((x) << S_STATIC_KX_PLL_CCALLOAD)
13710#define F_STATIC_KX_PLL_CCALLOAD V_STATIC_KX_PLL_CCALLOAD(1U)
13711
13712#define S_STATIC_KX_PLL_CCALFMIN 21
13713#define V_STATIC_KX_PLL_CCALFMIN(x) ((x) << S_STATIC_KX_PLL_CCALFMIN)
13714#define F_STATIC_KX_PLL_CCALFMIN V_STATIC_KX_PLL_CCALFMIN(1U)
13715
13716#define S_STATIC_KX_PLL_CCALFMAX 20
13717#define V_STATIC_KX_PLL_CCALFMAX(x) ((x) << S_STATIC_KX_PLL_CCALFMAX)
13718#define F_STATIC_KX_PLL_CCALFMAX V_STATIC_KX_PLL_CCALFMAX(1U)
13719
13720#define S_STATIC_KX_PLL_CCALCVHOLD 19
13721#define V_STATIC_KX_PLL_CCALCVHOLD(x) ((x) << S_STATIC_KX_PLL_CCALCVHOLD)
13722#define F_STATIC_KX_PLL_CCALCVHOLD V_STATIC_KX_PLL_CCALCVHOLD(1U)
13723
13724#define S_STATIC_KX_PLL_CCALBANDSEL 15
13725#define M_STATIC_KX_PLL_CCALBANDSEL 0xfU
13726#define V_STATIC_KX_PLL_CCALBANDSEL(x) ((x) << S_STATIC_KX_PLL_CCALBANDSEL)
13727#define G_STATIC_KX_PLL_CCALBANDSEL(x) (((x) >> S_STATIC_KX_PLL_CCALBANDSEL) & M_STATIC_KX_PLL_CCALBANDSEL)
13728
13729#define S_STATIC_KX_PLL_BGOFFSET 11
13730#define M_STATIC_KX_PLL_BGOFFSET 0xfU
13731#define V_STATIC_KX_PLL_BGOFFSET(x) ((x) << S_STATIC_KX_PLL_BGOFFSET)
13732#define G_STATIC_KX_PLL_BGOFFSET(x) (((x) >> S_STATIC_KX_PLL_BGOFFSET) & M_STATIC_KX_PLL_BGOFFSET)
13733
13734#define S_T6_STATIC_KX_PLL_P 8
13735#define M_T6_STATIC_KX_PLL_P 0x7U
13736#define V_T6_STATIC_KX_PLL_P(x) ((x) << S_T6_STATIC_KX_PLL_P)
13737#define G_T6_STATIC_KX_PLL_P(x) (((x) >> S_T6_STATIC_KX_PLL_P) & M_T6_STATIC_KX_PLL_P)
13738
13739#define S_T6_STATIC_KX_PLL_N2 4
13740#define M_T6_STATIC_KX_PLL_N2 0xfU
13741#define V_T6_STATIC_KX_PLL_N2(x) ((x) << S_T6_STATIC_KX_PLL_N2)
13742#define G_T6_STATIC_KX_PLL_N2(x) (((x) >> S_T6_STATIC_KX_PLL_N2) & M_T6_STATIC_KX_PLL_N2)
13743
13744#define S_T6_STATIC_KX_PLL_N1 0
13745#define M_T6_STATIC_KX_PLL_N1 0xfU
13746#define V_T6_STATIC_KX_PLL_N1(x) ((x) << S_T6_STATIC_KX_PLL_N1)
13747#define G_T6_STATIC_KX_PLL_N1(x) (((x) >> S_T6_STATIC_KX_PLL_N1) & M_T6_STATIC_KX_PLL_N1)
13748
13749#define A_DBG_PVT_REG_DRVN 0x610c
13750
13751#define S_PVT_REG_DRVN_EN 8
13752#define V_PVT_REG_DRVN_EN(x) ((x) << S_PVT_REG_DRVN_EN)
13753#define F_PVT_REG_DRVN_EN V_PVT_REG_DRVN_EN(1U)
13754
13755#define S_PVT_REG_DRVN_B 4
13756#define M_PVT_REG_DRVN_B 0xfU
13757#define V_PVT_REG_DRVN_B(x) ((x) << S_PVT_REG_DRVN_B)
13758#define G_PVT_REG_DRVN_B(x) (((x) >> S_PVT_REG_DRVN_B) & M_PVT_REG_DRVN_B)
13759
13760#define S_PVT_REG_DRVN_A 0
13761#define M_PVT_REG_DRVN_A 0xfU
13762#define V_PVT_REG_DRVN_A(x) ((x) << S_PVT_REG_DRVN_A)
13763#define G_PVT_REG_DRVN_A(x) (((x) >> S_PVT_REG_DRVN_A) & M_PVT_REG_DRVN_A)
13764
13765#define A_DBG_T5_STATIC_KX_PLL_CONF2 0x610c
13766
13767#define S_T5_STATIC_KX_PLL_M 11
13768#define M_T5_STATIC_KX_PLL_M 0x1ffU
13769#define V_T5_STATIC_KX_PLL_M(x) ((x) << S_T5_STATIC_KX_PLL_M)
13770#define G_T5_STATIC_KX_PLL_M(x) (((x) >> S_T5_STATIC_KX_PLL_M) & M_T5_STATIC_KX_PLL_M)
13771
13772#define S_T5_STATIC_KX_PLL_ANALOGTUNE 0
13773#define M_T5_STATIC_KX_PLL_ANALOGTUNE 0x7ffU
13774#define V_T5_STATIC_KX_PLL_ANALOGTUNE(x) ((x) << S_T5_STATIC_KX_PLL_ANALOGTUNE)
13775#define G_T5_STATIC_KX_PLL_ANALOGTUNE(x) (((x) >> S_T5_STATIC_KX_PLL_ANALOGTUNE) & M_T5_STATIC_KX_PLL_ANALOGTUNE)
13776
13777#define A_DBG_STATIC_KX_PLL_CONF2 0x610c
13778
13779#define S_T6_STATIC_KX_PLL_M 11
13780#define M_T6_STATIC_KX_PLL_M 0x1ffU
13781#define V_T6_STATIC_KX_PLL_M(x) ((x) << S_T6_STATIC_KX_PLL_M)
13782#define G_T6_STATIC_KX_PLL_M(x) (((x) >> S_T6_STATIC_KX_PLL_M) & M_T6_STATIC_KX_PLL_M)
13783
13784#define S_STATIC_KX_PLL_ANALOGTUNE 0
13785#define M_STATIC_KX_PLL_ANALOGTUNE 0x7ffU
13786#define V_STATIC_KX_PLL_ANALOGTUNE(x) ((x) << S_STATIC_KX_PLL_ANALOGTUNE)
13787#define G_STATIC_KX_PLL_ANALOGTUNE(x) (((x) >> S_STATIC_KX_PLL_ANALOGTUNE) & M_STATIC_KX_PLL_ANALOGTUNE)
13788
13789#define A_DBG_PVT_REG_DRVP 0x6110
13790
13791#define S_PVT_REG_DRVP_EN 8
13792#define V_PVT_REG_DRVP_EN(x) ((x) << S_PVT_REG_DRVP_EN)
13793#define F_PVT_REG_DRVP_EN V_PVT_REG_DRVP_EN(1U)
13794
13795#define S_PVT_REG_DRVP_B 4
13796#define M_PVT_REG_DRVP_B 0xfU
13797#define V_PVT_REG_DRVP_B(x) ((x) << S_PVT_REG_DRVP_B)
13798#define G_PVT_REG_DRVP_B(x) (((x) >> S_PVT_REG_DRVP_B) & M_PVT_REG_DRVP_B)
13799
13800#define S_PVT_REG_DRVP_A 0
13801#define M_PVT_REG_DRVP_A 0xfU
13802#define V_PVT_REG_DRVP_A(x) ((x) << S_PVT_REG_DRVP_A)
13803#define G_PVT_REG_DRVP_A(x) (((x) >> S_PVT_REG_DRVP_A) & M_PVT_REG_DRVP_A)
13804
13805#define A_DBG_T5_STATIC_C_DFS_CONF 0x6110
13806
13807#define S_STATIC_C_DFS_RANGEA 8
13808#define M_STATIC_C_DFS_RANGEA 0x1fU
13809#define V_STATIC_C_DFS_RANGEA(x) ((x) << S_STATIC_C_DFS_RANGEA)
13810#define G_STATIC_C_DFS_RANGEA(x) (((x) >> S_STATIC_C_DFS_RANGEA) & M_STATIC_C_DFS_RANGEA)
13811
13812#define S_STATIC_C_DFS_RANGEB 3
13813#define M_STATIC_C_DFS_RANGEB 0x1fU
13814#define V_STATIC_C_DFS_RANGEB(x) ((x) << S_STATIC_C_DFS_RANGEB)
13815#define G_STATIC_C_DFS_RANGEB(x) (((x) >> S_STATIC_C_DFS_RANGEB) & M_STATIC_C_DFS_RANGEB)
13816
13817#define S_STATIC_C_DFS_FFTUNE4 2
13818#define V_STATIC_C_DFS_FFTUNE4(x) ((x) << S_STATIC_C_DFS_FFTUNE4)
13819#define F_STATIC_C_DFS_FFTUNE4 V_STATIC_C_DFS_FFTUNE4(1U)
13820
13821#define S_STATIC_C_DFS_FFTUNE5 1
13822#define V_STATIC_C_DFS_FFTUNE5(x) ((x) << S_STATIC_C_DFS_FFTUNE5)
13823#define F_STATIC_C_DFS_FFTUNE5 V_STATIC_C_DFS_FFTUNE5(1U)
13824
13825#define S_STATIC_C_DFS_ENABLE 0
13826#define V_STATIC_C_DFS_ENABLE(x) ((x) << S_STATIC_C_DFS_ENABLE)
13827#define F_STATIC_C_DFS_ENABLE V_STATIC_C_DFS_ENABLE(1U)
13828
13829#define A_DBG_STATIC_C_DFS_CONF 0x6110
13830#define A_DBG_PVT_REG_TERMN 0x6114
13831
13832#define S_PVT_REG_TERMN_EN 8
13833#define V_PVT_REG_TERMN_EN(x) ((x) << S_PVT_REG_TERMN_EN)
13834#define F_PVT_REG_TERMN_EN V_PVT_REG_TERMN_EN(1U)
13835
13836#define S_PVT_REG_TERMN_B 4
13837#define M_PVT_REG_TERMN_B 0xfU
13838#define V_PVT_REG_TERMN_B(x) ((x) << S_PVT_REG_TERMN_B)
13839#define G_PVT_REG_TERMN_B(x) (((x) >> S_PVT_REG_TERMN_B) & M_PVT_REG_TERMN_B)
13840
13841#define S_PVT_REG_TERMN_A 0
13842#define M_PVT_REG_TERMN_A 0xfU
13843#define V_PVT_REG_TERMN_A(x) ((x) << S_PVT_REG_TERMN_A)
13844#define G_PVT_REG_TERMN_A(x) (((x) >> S_PVT_REG_TERMN_A) & M_PVT_REG_TERMN_A)
13845
13846#define A_DBG_T5_STATIC_U_DFS_CONF 0x6114
13847
13848#define S_STATIC_U_DFS_RANGEA 8
13849#define M_STATIC_U_DFS_RANGEA 0x1fU
13850#define V_STATIC_U_DFS_RANGEA(x) ((x) << S_STATIC_U_DFS_RANGEA)
13851#define G_STATIC_U_DFS_RANGEA(x) (((x) >> S_STATIC_U_DFS_RANGEA) & M_STATIC_U_DFS_RANGEA)
13852
13853#define S_STATIC_U_DFS_RANGEB 3
13854#define M_STATIC_U_DFS_RANGEB 0x1fU
13855#define V_STATIC_U_DFS_RANGEB(x) ((x) << S_STATIC_U_DFS_RANGEB)
13856#define G_STATIC_U_DFS_RANGEB(x) (((x) >> S_STATIC_U_DFS_RANGEB) & M_STATIC_U_DFS_RANGEB)
13857
13858#define S_STATIC_U_DFS_FFTUNE4 2
13859#define V_STATIC_U_DFS_FFTUNE4(x) ((x) << S_STATIC_U_DFS_FFTUNE4)
13860#define F_STATIC_U_DFS_FFTUNE4 V_STATIC_U_DFS_FFTUNE4(1U)
13861
13862#define S_STATIC_U_DFS_FFTUNE5 1
13863#define V_STATIC_U_DFS_FFTUNE5(x) ((x) << S_STATIC_U_DFS_FFTUNE5)
13864#define F_STATIC_U_DFS_FFTUNE5 V_STATIC_U_DFS_FFTUNE5(1U)
13865
13866#define S_STATIC_U_DFS_ENABLE 0
13867#define V_STATIC_U_DFS_ENABLE(x) ((x) << S_STATIC_U_DFS_ENABLE)
13868#define F_STATIC_U_DFS_ENABLE V_STATIC_U_DFS_ENABLE(1U)
13869
13870#define A_DBG_STATIC_U_DFS_CONF 0x6114
13871#define A_DBG_PVT_REG_TERMP 0x6118
13872
13873#define S_PVT_REG_TERMP_EN 8
13874#define V_PVT_REG_TERMP_EN(x) ((x) << S_PVT_REG_TERMP_EN)
13875#define F_PVT_REG_TERMP_EN V_PVT_REG_TERMP_EN(1U)
13876
13877#define S_PVT_REG_TERMP_B 4
13878#define M_PVT_REG_TERMP_B 0xfU
13879#define V_PVT_REG_TERMP_B(x) ((x) << S_PVT_REG_TERMP_B)
13880#define G_PVT_REG_TERMP_B(x) (((x) >> S_PVT_REG_TERMP_B) & M_PVT_REG_TERMP_B)
13881
13882#define S_PVT_REG_TERMP_A 0
13883#define M_PVT_REG_TERMP_A 0xfU
13884#define V_PVT_REG_TERMP_A(x) ((x) << S_PVT_REG_TERMP_A)
13885#define G_PVT_REG_TERMP_A(x) (((x) >> S_PVT_REG_TERMP_A) & M_PVT_REG_TERMP_A)
13886
13887#define A_DBG_GPIO_PE_EN 0x6118
13888
13889#define S_GPIO19_PE_EN 19
13890#define V_GPIO19_PE_EN(x) ((x) << S_GPIO19_PE_EN)
13891#define F_GPIO19_PE_EN V_GPIO19_PE_EN(1U)
13892
13893#define S_GPIO18_PE_EN 18
13894#define V_GPIO18_PE_EN(x) ((x) << S_GPIO18_PE_EN)
13895#define F_GPIO18_PE_EN V_GPIO18_PE_EN(1U)
13896
13897#define S_GPIO17_PE_EN 17
13898#define V_GPIO17_PE_EN(x) ((x) << S_GPIO17_PE_EN)
13899#define F_GPIO17_PE_EN V_GPIO17_PE_EN(1U)
13900
13901#define S_GPIO16_PE_EN 16
13902#define V_GPIO16_PE_EN(x) ((x) << S_GPIO16_PE_EN)
13903#define F_GPIO16_PE_EN V_GPIO16_PE_EN(1U)
13904
13905#define S_GPIO15_PE_EN 15
13906#define V_GPIO15_PE_EN(x) ((x) << S_GPIO15_PE_EN)
13907#define F_GPIO15_PE_EN V_GPIO15_PE_EN(1U)
13908
13909#define S_GPIO14_PE_EN 14
13910#define V_GPIO14_PE_EN(x) ((x) << S_GPIO14_PE_EN)
13911#define F_GPIO14_PE_EN V_GPIO14_PE_EN(1U)
13912
13913#define S_GPIO13_PE_EN 13
13914#define V_GPIO13_PE_EN(x) ((x) << S_GPIO13_PE_EN)
13915#define F_GPIO13_PE_EN V_GPIO13_PE_EN(1U)
13916
13917#define S_GPIO12_PE_EN 12
13918#define V_GPIO12_PE_EN(x) ((x) << S_GPIO12_PE_EN)
13919#define F_GPIO12_PE_EN V_GPIO12_PE_EN(1U)
13920
13921#define S_GPIO11_PE_EN 11
13922#define V_GPIO11_PE_EN(x) ((x) << S_GPIO11_PE_EN)
13923#define F_GPIO11_PE_EN V_GPIO11_PE_EN(1U)
13924
13925#define S_GPIO10_PE_EN 10
13926#define V_GPIO10_PE_EN(x) ((x) << S_GPIO10_PE_EN)
13927#define F_GPIO10_PE_EN V_GPIO10_PE_EN(1U)
13928
13929#define S_GPIO9_PE_EN 9
13930#define V_GPIO9_PE_EN(x) ((x) << S_GPIO9_PE_EN)
13931#define F_GPIO9_PE_EN V_GPIO9_PE_EN(1U)
13932
13933#define S_GPIO8_PE_EN 8
13934#define V_GPIO8_PE_EN(x) ((x) << S_GPIO8_PE_EN)
13935#define F_GPIO8_PE_EN V_GPIO8_PE_EN(1U)
13936
13937#define S_GPIO7_PE_EN 7
13938#define V_GPIO7_PE_EN(x) ((x) << S_GPIO7_PE_EN)
13939#define F_GPIO7_PE_EN V_GPIO7_PE_EN(1U)
13940
13941#define S_GPIO6_PE_EN 6
13942#define V_GPIO6_PE_EN(x) ((x) << S_GPIO6_PE_EN)
13943#define F_GPIO6_PE_EN V_GPIO6_PE_EN(1U)
13944
13945#define S_GPIO5_PE_EN 5
13946#define V_GPIO5_PE_EN(x) ((x) << S_GPIO5_PE_EN)
13947#define F_GPIO5_PE_EN V_GPIO5_PE_EN(1U)
13948
13949#define S_GPIO4_PE_EN 4
13950#define V_GPIO4_PE_EN(x) ((x) << S_GPIO4_PE_EN)
13951#define F_GPIO4_PE_EN V_GPIO4_PE_EN(1U)
13952
13953#define S_GPIO3_PE_EN 3
13954#define V_GPIO3_PE_EN(x) ((x) << S_GPIO3_PE_EN)
13955#define F_GPIO3_PE_EN V_GPIO3_PE_EN(1U)
13956
13957#define S_GPIO2_PE_EN 2
13958#define V_GPIO2_PE_EN(x) ((x) << S_GPIO2_PE_EN)
13959#define F_GPIO2_PE_EN V_GPIO2_PE_EN(1U)
13960
13961#define S_GPIO1_PE_EN 1
13962#define V_GPIO1_PE_EN(x) ((x) << S_GPIO1_PE_EN)
13963#define F_GPIO1_PE_EN V_GPIO1_PE_EN(1U)
13964
13965#define S_GPIO0_PE_EN 0
13966#define V_GPIO0_PE_EN(x) ((x) << S_GPIO0_PE_EN)
13967#define F_GPIO0_PE_EN V_GPIO0_PE_EN(1U)
13968
13969#define A_DBG_PVT_REG_THRESHOLD 0x611c
13970
13971#define S_PVT_CALIBRATION_DONE 8
13972#define V_PVT_CALIBRATION_DONE(x) ((x) << S_PVT_CALIBRATION_DONE)
13973#define F_PVT_CALIBRATION_DONE V_PVT_CALIBRATION_DONE(1U)
13974
13975#define S_THRESHOLD_TERMP_MAX_SYNC 7
13976#define V_THRESHOLD_TERMP_MAX_SYNC(x) ((x) << S_THRESHOLD_TERMP_MAX_SYNC)
13977#define F_THRESHOLD_TERMP_MAX_SYNC V_THRESHOLD_TERMP_MAX_SYNC(1U)
13978
13979#define S_THRESHOLD_TERMP_MIN_SYNC 6
13980#define V_THRESHOLD_TERMP_MIN_SYNC(x) ((x) << S_THRESHOLD_TERMP_MIN_SYNC)
13981#define F_THRESHOLD_TERMP_MIN_SYNC V_THRESHOLD_TERMP_MIN_SYNC(1U)
13982
13983#define S_THRESHOLD_TERMN_MAX_SYNC 5
13984#define V_THRESHOLD_TERMN_MAX_SYNC(x) ((x) << S_THRESHOLD_TERMN_MAX_SYNC)
13985#define F_THRESHOLD_TERMN_MAX_SYNC V_THRESHOLD_TERMN_MAX_SYNC(1U)
13986
13987#define S_THRESHOLD_TERMN_MIN_SYNC 4
13988#define V_THRESHOLD_TERMN_MIN_SYNC(x) ((x) << S_THRESHOLD_TERMN_MIN_SYNC)
13989#define F_THRESHOLD_TERMN_MIN_SYNC V_THRESHOLD_TERMN_MIN_SYNC(1U)
13990
13991#define S_THRESHOLD_DRVP_MAX_SYNC 3
13992#define V_THRESHOLD_DRVP_MAX_SYNC(x) ((x) << S_THRESHOLD_DRVP_MAX_SYNC)
13993#define F_THRESHOLD_DRVP_MAX_SYNC V_THRESHOLD_DRVP_MAX_SYNC(1U)
13994
13995#define S_THRESHOLD_DRVP_MIN_SYNC 2
13996#define V_THRESHOLD_DRVP_MIN_SYNC(x) ((x) << S_THRESHOLD_DRVP_MIN_SYNC)
13997#define F_THRESHOLD_DRVP_MIN_SYNC V_THRESHOLD_DRVP_MIN_SYNC(1U)
13998
13999#define S_THRESHOLD_DRVN_MAX_SYNC 1
14000#define V_THRESHOLD_DRVN_MAX_SYNC(x) ((x) << S_THRESHOLD_DRVN_MAX_SYNC)
14001#define F_THRESHOLD_DRVN_MAX_SYNC V_THRESHOLD_DRVN_MAX_SYNC(1U)
14002
14003#define S_THRESHOLD_DRVN_MIN_SYNC 0
14004#define V_THRESHOLD_DRVN_MIN_SYNC(x) ((x) << S_THRESHOLD_DRVN_MIN_SYNC)
14005#define F_THRESHOLD_DRVN_MIN_SYNC V_THRESHOLD_DRVN_MIN_SYNC(1U)
14006
14007#define A_DBG_GPIO_PS_EN 0x611c
14008
14009#define S_GPIO19_PS_EN 19
14010#define V_GPIO19_PS_EN(x) ((x) << S_GPIO19_PS_EN)
14011#define F_GPIO19_PS_EN V_GPIO19_PS_EN(1U)
14012
14013#define S_GPIO18_PS_EN 18
14014#define V_GPIO18_PS_EN(x) ((x) << S_GPIO18_PS_EN)
14015#define F_GPIO18_PS_EN V_GPIO18_PS_EN(1U)
14016
14017#define S_GPIO17_PS_EN 17
14018#define V_GPIO17_PS_EN(x) ((x) << S_GPIO17_PS_EN)
14019#define F_GPIO17_PS_EN V_GPIO17_PS_EN(1U)
14020
14021#define S_GPIO16_PS_EN 16
14022#define V_GPIO16_PS_EN(x) ((x) << S_GPIO16_PS_EN)
14023#define F_GPIO16_PS_EN V_GPIO16_PS_EN(1U)
14024
14025#define S_GPIO15_PS_EN 15
14026#define V_GPIO15_PS_EN(x) ((x) << S_GPIO15_PS_EN)
14027#define F_GPIO15_PS_EN V_GPIO15_PS_EN(1U)
14028
14029#define S_GPIO14_PS_EN 14
14030#define V_GPIO14_PS_EN(x) ((x) << S_GPIO14_PS_EN)
14031#define F_GPIO14_PS_EN V_GPIO14_PS_EN(1U)
14032
14033#define S_GPIO13_PS_EN 13
14034#define V_GPIO13_PS_EN(x) ((x) << S_GPIO13_PS_EN)
14035#define F_GPIO13_PS_EN V_GPIO13_PS_EN(1U)
14036
14037#define S_GPIO12_PS_EN 12
14038#define V_GPIO12_PS_EN(x) ((x) << S_GPIO12_PS_EN)
14039#define F_GPIO12_PS_EN V_GPIO12_PS_EN(1U)
14040
14041#define S_GPIO11_PS_EN 11
14042#define V_GPIO11_PS_EN(x) ((x) << S_GPIO11_PS_EN)
14043#define F_GPIO11_PS_EN V_GPIO11_PS_EN(1U)
14044
14045#define S_GPIO10_PS_EN 10
14046#define V_GPIO10_PS_EN(x) ((x) << S_GPIO10_PS_EN)
14047#define F_GPIO10_PS_EN V_GPIO10_PS_EN(1U)
14048
14049#define S_GPIO9_PS_EN 9
14050#define V_GPIO9_PS_EN(x) ((x) << S_GPIO9_PS_EN)
14051#define F_GPIO9_PS_EN V_GPIO9_PS_EN(1U)
14052
14053#define S_GPIO8_PS_EN 8
14054#define V_GPIO8_PS_EN(x) ((x) << S_GPIO8_PS_EN)
14055#define F_GPIO8_PS_EN V_GPIO8_PS_EN(1U)
14056
14057#define S_GPIO7_PS_EN 7
14058#define V_GPIO7_PS_EN(x) ((x) << S_GPIO7_PS_EN)
14059#define F_GPIO7_PS_EN V_GPIO7_PS_EN(1U)
14060
14061#define S_GPIO6_PS_EN 6
14062#define V_GPIO6_PS_EN(x) ((x) << S_GPIO6_PS_EN)
14063#define F_GPIO6_PS_EN V_GPIO6_PS_EN(1U)
14064
14065#define S_GPIO5_PS_EN 5
14066#define V_GPIO5_PS_EN(x) ((x) << S_GPIO5_PS_EN)
14067#define F_GPIO5_PS_EN V_GPIO5_PS_EN(1U)
14068
14069#define S_GPIO4_PS_EN 4
14070#define V_GPIO4_PS_EN(x) ((x) << S_GPIO4_PS_EN)
14071#define F_GPIO4_PS_EN V_GPIO4_PS_EN(1U)
14072
14073#define S_GPIO3_PS_EN 3
14074#define V_GPIO3_PS_EN(x) ((x) << S_GPIO3_PS_EN)
14075#define F_GPIO3_PS_EN V_GPIO3_PS_EN(1U)
14076
14077#define S_GPIO2_PS_EN 2
14078#define V_GPIO2_PS_EN(x) ((x) << S_GPIO2_PS_EN)
14079#define F_GPIO2_PS_EN V_GPIO2_PS_EN(1U)
14080
14081#define S_GPIO1_PS_EN 1
14082#define V_GPIO1_PS_EN(x) ((x) << S_GPIO1_PS_EN)
14083#define F_GPIO1_PS_EN V_GPIO1_PS_EN(1U)
14084
14085#define S_GPIO0_PS_EN 0
14086#define V_GPIO0_PS_EN(x) ((x) << S_GPIO0_PS_EN)
14087#define F_GPIO0_PS_EN V_GPIO0_PS_EN(1U)
14088
14089#define A_DBG_PVT_REG_IN_TERMP 0x6120
14090
14091#define S_REG_IN_TERMP_B 4
14092#define M_REG_IN_TERMP_B 0xfU
14093#define V_REG_IN_TERMP_B(x) ((x) << S_REG_IN_TERMP_B)
14094#define G_REG_IN_TERMP_B(x) (((x) >> S_REG_IN_TERMP_B) & M_REG_IN_TERMP_B)
14095
14096#define S_REG_IN_TERMP_A 0
14097#define M_REG_IN_TERMP_A 0xfU
14098#define V_REG_IN_TERMP_A(x) ((x) << S_REG_IN_TERMP_A)
14099#define G_REG_IN_TERMP_A(x) (((x) >> S_REG_IN_TERMP_A) & M_REG_IN_TERMP_A)
14100
14101#define A_DBG_EFUSE_BYTE16_19 0x6120
14102#define A_DBG_PVT_REG_IN_TERMN 0x6124
14103
14104#define S_REG_IN_TERMN_B 4
14105#define M_REG_IN_TERMN_B 0xfU
14106#define V_REG_IN_TERMN_B(x) ((x) << S_REG_IN_TERMN_B)
14107#define G_REG_IN_TERMN_B(x) (((x) >> S_REG_IN_TERMN_B) & M_REG_IN_TERMN_B)
14108
14109#define S_REG_IN_TERMN_A 0
14110#define M_REG_IN_TERMN_A 0xfU
14111#define V_REG_IN_TERMN_A(x) ((x) << S_REG_IN_TERMN_A)
14112#define G_REG_IN_TERMN_A(x) (((x) >> S_REG_IN_TERMN_A) & M_REG_IN_TERMN_A)
14113
14114#define A_DBG_EFUSE_BYTE20_23 0x6124
14115#define A_DBG_PVT_REG_IN_DRVP 0x6128
14116
14117#define S_REG_IN_DRVP_B 4
14118#define M_REG_IN_DRVP_B 0xfU
14119#define V_REG_IN_DRVP_B(x) ((x) << S_REG_IN_DRVP_B)
14120#define G_REG_IN_DRVP_B(x) (((x) >> S_REG_IN_DRVP_B) & M_REG_IN_DRVP_B)
14121
14122#define S_REG_IN_DRVP_A 0
14123#define M_REG_IN_DRVP_A 0xfU
14124#define V_REG_IN_DRVP_A(x) ((x) << S_REG_IN_DRVP_A)
14125#define G_REG_IN_DRVP_A(x) (((x) >> S_REG_IN_DRVP_A) & M_REG_IN_DRVP_A)
14126
14127#define A_DBG_EFUSE_BYTE24_27 0x6128
14128#define A_DBG_PVT_REG_IN_DRVN 0x612c
14129
14130#define S_REG_IN_DRVN_B 4
14131#define M_REG_IN_DRVN_B 0xfU
14132#define V_REG_IN_DRVN_B(x) ((x) << S_REG_IN_DRVN_B)
14133#define G_REG_IN_DRVN_B(x) (((x) >> S_REG_IN_DRVN_B) & M_REG_IN_DRVN_B)
14134
14135#define S_REG_IN_DRVN_A 0
14136#define M_REG_IN_DRVN_A 0xfU
14137#define V_REG_IN_DRVN_A(x) ((x) << S_REG_IN_DRVN_A)
14138#define G_REG_IN_DRVN_A(x) (((x) >> S_REG_IN_DRVN_A) & M_REG_IN_DRVN_A)
14139
14140#define A_DBG_EFUSE_BYTE28_31 0x612c
14141#define A_DBG_PVT_REG_OUT_TERMP 0x6130
14142
14143#define S_REG_OUT_TERMP_B 4
14144#define M_REG_OUT_TERMP_B 0xfU
14145#define V_REG_OUT_TERMP_B(x) ((x) << S_REG_OUT_TERMP_B)
14146#define G_REG_OUT_TERMP_B(x) (((x) >> S_REG_OUT_TERMP_B) & M_REG_OUT_TERMP_B)
14147
14148#define S_REG_OUT_TERMP_A 0
14149#define M_REG_OUT_TERMP_A 0xfU
14150#define V_REG_OUT_TERMP_A(x) ((x) << S_REG_OUT_TERMP_A)
14151#define G_REG_OUT_TERMP_A(x) (((x) >> S_REG_OUT_TERMP_A) & M_REG_OUT_TERMP_A)
14152
14153#define A_DBG_EFUSE_BYTE32_35 0x6130
14154#define A_DBG_PVT_REG_OUT_TERMN 0x6134
14155
14156#define S_REG_OUT_TERMN_B 4
14157#define M_REG_OUT_TERMN_B 0xfU
14158#define V_REG_OUT_TERMN_B(x) ((x) << S_REG_OUT_TERMN_B)
14159#define G_REG_OUT_TERMN_B(x) (((x) >> S_REG_OUT_TERMN_B) & M_REG_OUT_TERMN_B)
14160
14161#define S_REG_OUT_TERMN_A 0
14162#define M_REG_OUT_TERMN_A 0xfU
14163#define V_REG_OUT_TERMN_A(x) ((x) << S_REG_OUT_TERMN_A)
14164#define G_REG_OUT_TERMN_A(x) (((x) >> S_REG_OUT_TERMN_A) & M_REG_OUT_TERMN_A)
14165
14166#define A_DBG_EFUSE_BYTE36_39 0x6134
14167#define A_DBG_PVT_REG_OUT_DRVP 0x6138
14168
14169#define S_REG_OUT_DRVP_B 4
14170#define M_REG_OUT_DRVP_B 0xfU
14171#define V_REG_OUT_DRVP_B(x) ((x) << S_REG_OUT_DRVP_B)
14172#define G_REG_OUT_DRVP_B(x) (((x) >> S_REG_OUT_DRVP_B) & M_REG_OUT_DRVP_B)
14173
14174#define S_REG_OUT_DRVP_A 0
14175#define M_REG_OUT_DRVP_A 0xfU
14176#define V_REG_OUT_DRVP_A(x) ((x) << S_REG_OUT_DRVP_A)
14177#define G_REG_OUT_DRVP_A(x) (((x) >> S_REG_OUT_DRVP_A) & M_REG_OUT_DRVP_A)
14178
14179#define A_DBG_EFUSE_BYTE40_43 0x6138
14180#define A_DBG_PVT_REG_OUT_DRVN 0x613c
14181
14182#define S_REG_OUT_DRVN_B 4
14183#define M_REG_OUT_DRVN_B 0xfU
14184#define V_REG_OUT_DRVN_B(x) ((x) << S_REG_OUT_DRVN_B)
14185#define G_REG_OUT_DRVN_B(x) (((x) >> S_REG_OUT_DRVN_B) & M_REG_OUT_DRVN_B)
14186
14187#define S_REG_OUT_DRVN_A 0
14188#define M_REG_OUT_DRVN_A 0xfU
14189#define V_REG_OUT_DRVN_A(x) ((x) << S_REG_OUT_DRVN_A)
14190#define G_REG_OUT_DRVN_A(x) (((x) >> S_REG_OUT_DRVN_A) & M_REG_OUT_DRVN_A)
14191
14192#define A_DBG_EFUSE_BYTE44_47 0x613c
14193#define A_DBG_PVT_REG_HISTORY_TERMP 0x6140
14194
14195#define S_TERMP_B_HISTORY 4
14196#define M_TERMP_B_HISTORY 0xfU
14197#define V_TERMP_B_HISTORY(x) ((x) << S_TERMP_B_HISTORY)
14198#define G_TERMP_B_HISTORY(x) (((x) >> S_TERMP_B_HISTORY) & M_TERMP_B_HISTORY)
14199
14200#define S_TERMP_A_HISTORY 0
14201#define M_TERMP_A_HISTORY 0xfU
14202#define V_TERMP_A_HISTORY(x) ((x) << S_TERMP_A_HISTORY)
14203#define G_TERMP_A_HISTORY(x) (((x) >> S_TERMP_A_HISTORY) & M_TERMP_A_HISTORY)
14204
14205#define A_DBG_EFUSE_BYTE48_51 0x6140
14206#define A_DBG_PVT_REG_HISTORY_TERMN 0x6144
14207
14208#define S_TERMN_B_HISTORY 4
14209#define M_TERMN_B_HISTORY 0xfU
14210#define V_TERMN_B_HISTORY(x) ((x) << S_TERMN_B_HISTORY)
14211#define G_TERMN_B_HISTORY(x) (((x) >> S_TERMN_B_HISTORY) & M_TERMN_B_HISTORY)
14212
14213#define S_TERMN_A_HISTORY 0
14214#define M_TERMN_A_HISTORY 0xfU
14215#define V_TERMN_A_HISTORY(x) ((x) << S_TERMN_A_HISTORY)
14216#define G_TERMN_A_HISTORY(x) (((x) >> S_TERMN_A_HISTORY) & M_TERMN_A_HISTORY)
14217
14218#define A_DBG_EFUSE_BYTE52_55 0x6144
14219#define A_DBG_PVT_REG_HISTORY_DRVP 0x6148
14220
14221#define S_DRVP_B_HISTORY 4
14222#define M_DRVP_B_HISTORY 0xfU
14223#define V_DRVP_B_HISTORY(x) ((x) << S_DRVP_B_HISTORY)
14224#define G_DRVP_B_HISTORY(x) (((x) >> S_DRVP_B_HISTORY) & M_DRVP_B_HISTORY)
14225
14226#define S_DRVP_A_HISTORY 0
14227#define M_DRVP_A_HISTORY 0xfU
14228#define V_DRVP_A_HISTORY(x) ((x) << S_DRVP_A_HISTORY)
14229#define G_DRVP_A_HISTORY(x) (((x) >> S_DRVP_A_HISTORY) & M_DRVP_A_HISTORY)
14230
14231#define A_DBG_EFUSE_BYTE56_59 0x6148
14232#define A_DBG_PVT_REG_HISTORY_DRVN 0x614c
14233
14234#define S_DRVN_B_HISTORY 4
14235#define M_DRVN_B_HISTORY 0xfU
14236#define V_DRVN_B_HISTORY(x) ((x) << S_DRVN_B_HISTORY)
14237#define G_DRVN_B_HISTORY(x) (((x) >> S_DRVN_B_HISTORY) & M_DRVN_B_HISTORY)
14238
14239#define S_DRVN_A_HISTORY 0
14240#define M_DRVN_A_HISTORY 0xfU
14241#define V_DRVN_A_HISTORY(x) ((x) << S_DRVN_A_HISTORY)
14242#define G_DRVN_A_HISTORY(x) (((x) >> S_DRVN_A_HISTORY) & M_DRVN_A_HISTORY)
14243
14244#define A_DBG_EFUSE_BYTE60_63 0x614c
14245#define A_DBG_PVT_REG_SAMPLE_WAIT_CLKS 0x6150
14246
14247#define S_SAMPLE_WAIT_CLKS 0
14248#define M_SAMPLE_WAIT_CLKS 0x1fU
14249#define V_SAMPLE_WAIT_CLKS(x) ((x) << S_SAMPLE_WAIT_CLKS)
14250#define G_SAMPLE_WAIT_CLKS(x) (((x) >> S_SAMPLE_WAIT_CLKS) & M_SAMPLE_WAIT_CLKS)
14251
14252#define A_DBG_STATIC_U_PLL_CONF6 0x6150
14253
14254#define S_STATIC_U_PLL_VREGTUNE 0
14255#define M_STATIC_U_PLL_VREGTUNE 0x7ffffU
14256#define V_STATIC_U_PLL_VREGTUNE(x) ((x) << S_STATIC_U_PLL_VREGTUNE)
14257#define G_STATIC_U_PLL_VREGTUNE(x) (((x) >> S_STATIC_U_PLL_VREGTUNE) & M_STATIC_U_PLL_VREGTUNE)
14258
14259#define A_DBG_STATIC_C_PLL_CONF6 0x6154
14260
14261#define S_STATIC_C_PLL_VREGTUNE 0
14262#define M_STATIC_C_PLL_VREGTUNE 0x7ffffU
14263#define V_STATIC_C_PLL_VREGTUNE(x) ((x) << S_STATIC_C_PLL_VREGTUNE)
14264#define G_STATIC_C_PLL_VREGTUNE(x) (((x) >> S_STATIC_C_PLL_VREGTUNE) & M_STATIC_C_PLL_VREGTUNE)
14265
14266#define A_DBG_CUST_EFUSE_PROGRAM 0x6158
14267
14268#define S_EFUSE_PROG_PERIOD 16
14269#define M_EFUSE_PROG_PERIOD 0xffffU
14270#define V_EFUSE_PROG_PERIOD(x) ((x) << S_EFUSE_PROG_PERIOD)
14271#define G_EFUSE_PROG_PERIOD(x) (((x) >> S_EFUSE_PROG_PERIOD) & M_EFUSE_PROG_PERIOD)
14272
14273#define S_EFUSE_OPER_TYP 14
14274#define M_EFUSE_OPER_TYP 0x3U
14275#define V_EFUSE_OPER_TYP(x) ((x) << S_EFUSE_OPER_TYP)
14276#define G_EFUSE_OPER_TYP(x) (((x) >> S_EFUSE_OPER_TYP) & M_EFUSE_OPER_TYP)
14277
14278#define S_EFUSE_ADDR 8
14279#define M_EFUSE_ADDR 0x3fU
14280#define V_EFUSE_ADDR(x) ((x) << S_EFUSE_ADDR)
14281#define G_EFUSE_ADDR(x) (((x) >> S_EFUSE_ADDR) & M_EFUSE_ADDR)
14282
14283#define S_EFUSE_DIN 0
14284#define M_EFUSE_DIN 0xffU
14285#define V_EFUSE_DIN(x) ((x) << S_EFUSE_DIN)
14286#define G_EFUSE_DIN(x) (((x) >> S_EFUSE_DIN) & M_EFUSE_DIN)
14287
14288#define A_DBG_CUST_EFUSE_OUT 0x615c
14289
14290#define S_EFUSE_OPER_DONE 8
14291#define V_EFUSE_OPER_DONE(x) ((x) << S_EFUSE_OPER_DONE)
14292#define F_EFUSE_OPER_DONE V_EFUSE_OPER_DONE(1U)
14293
14294#define S_EFUSE_DOUT 0
14295#define M_EFUSE_DOUT 0xffU
14296#define V_EFUSE_DOUT(x) ((x) << S_EFUSE_DOUT)
14297#define G_EFUSE_DOUT(x) (((x) >> S_EFUSE_DOUT) & M_EFUSE_DOUT)
14298
14299#define A_DBG_CUST_EFUSE_BYTE0_3 0x6160
14300#define A_DBG_CUST_EFUSE_BYTE4_7 0x6164
14301#define A_DBG_CUST_EFUSE_BYTE8_11 0x6168
14302#define A_DBG_CUST_EFUSE_BYTE12_15 0x616c
14303#define A_DBG_CUST_EFUSE_BYTE16_19 0x6170
14304#define A_DBG_CUST_EFUSE_BYTE20_23 0x6174
14305#define A_DBG_CUST_EFUSE_BYTE24_27 0x6178
14306#define A_DBG_CUST_EFUSE_BYTE28_31 0x617c
14307#define A_DBG_CUST_EFUSE_BYTE32_35 0x6180
14308#define A_DBG_CUST_EFUSE_BYTE36_39 0x6184
14309#define A_DBG_CUST_EFUSE_BYTE40_43 0x6188
14310#define A_DBG_CUST_EFUSE_BYTE44_47 0x618c
14311#define A_DBG_CUST_EFUSE_BYTE48_51 0x6190
14312#define A_DBG_CUST_EFUSE_BYTE52_55 0x6194
14313#define A_DBG_CUST_EFUSE_BYTE56_59 0x6198
14314#define A_DBG_CUST_EFUSE_BYTE60_63 0x619c
14315
14316/* registers for module MC */
14317#define MC_BASE_ADDR 0x6200
14318
14319#define A_MC_PCTL_SCFG 0x6200
14320
14321#define S_RKINF_EN 5
14322#define V_RKINF_EN(x) ((x) << S_RKINF_EN)
14323#define F_RKINF_EN V_RKINF_EN(1U)
14324
14325#define S_DUAL_PCTL_EN 4
14326#define V_DUAL_PCTL_EN(x) ((x) << S_DUAL_PCTL_EN)
14327#define F_DUAL_PCTL_EN V_DUAL_PCTL_EN(1U)
14328
14329#define S_SLAVE_MODE 3
14330#define V_SLAVE_MODE(x) ((x) << S_SLAVE_MODE)
14331#define F_SLAVE_MODE V_SLAVE_MODE(1U)
14332
14333#define S_LOOPBACK_EN 1
14334#define V_LOOPBACK_EN(x) ((x) << S_LOOPBACK_EN)
14335#define F_LOOPBACK_EN V_LOOPBACK_EN(1U)
14336
14337#define S_HW_LOW_POWER_EN 0
14338#define V_HW_LOW_POWER_EN(x) ((x) << S_HW_LOW_POWER_EN)
14339#define F_HW_LOW_POWER_EN V_HW_LOW_POWER_EN(1U)
14340
14341#define A_MC_PCTL_SCTL 0x6204
14342
14343#define S_STATE_CMD 0
14344#define M_STATE_CMD 0x7U
14345#define V_STATE_CMD(x) ((x) << S_STATE_CMD)
14346#define G_STATE_CMD(x) (((x) >> S_STATE_CMD) & M_STATE_CMD)
14347
14348#define A_MC_PCTL_STAT 0x6208
14349
14350#define S_CTL_STAT 0
14351#define M_CTL_STAT 0x7U
14352#define V_CTL_STAT(x) ((x) << S_CTL_STAT)
14353#define G_CTL_STAT(x) (((x) >> S_CTL_STAT) & M_CTL_STAT)
14354
14355#define A_MC_PCTL_MCMD 0x6240
14356
14357#define S_START_CMD 31
14358#define V_START_CMD(x) ((x) << S_START_CMD)
14359#define F_START_CMD V_START_CMD(1U)
14360
14361#define S_CMD_ADD_DEL 24
14362#define M_CMD_ADD_DEL 0xfU
14363#define V_CMD_ADD_DEL(x) ((x) << S_CMD_ADD_DEL)
14364#define G_CMD_ADD_DEL(x) (((x) >> S_CMD_ADD_DEL) & M_CMD_ADD_DEL)
14365
14366#define S_RANK_SEL 20
14367#define M_RANK_SEL 0xfU
14368#define V_RANK_SEL(x) ((x) << S_RANK_SEL)
14369#define G_RANK_SEL(x) (((x) >> S_RANK_SEL) & M_RANK_SEL)
14370
14371#define S_BANK_ADDR 17
14372#define M_BANK_ADDR 0x7U
14373#define V_BANK_ADDR(x) ((x) << S_BANK_ADDR)
14374#define G_BANK_ADDR(x) (((x) >> S_BANK_ADDR) & M_BANK_ADDR)
14375
14376#define S_CMD_ADDR 4
14377#define M_CMD_ADDR 0x1fffU
14378#define V_CMD_ADDR(x) ((x) << S_CMD_ADDR)
14379#define G_CMD_ADDR(x) (((x) >> S_CMD_ADDR) & M_CMD_ADDR)
14380
14381#define S_CMD_OPCODE 0
14382#define M_CMD_OPCODE 0x7U
14383#define V_CMD_OPCODE(x) ((x) << S_CMD_OPCODE)
14384#define G_CMD_OPCODE(x) (((x) >> S_CMD_OPCODE) & M_CMD_OPCODE)
14385
14386#define A_MC_PCTL_POWCTL 0x6244
14387
14388#define S_POWER_UP_START 0
14389#define V_POWER_UP_START(x) ((x) << S_POWER_UP_START)
14390#define F_POWER_UP_START V_POWER_UP_START(1U)
14391
14392#define A_MC_PCTL_POWSTAT 0x6248
14393
14394#define S_PHY_CALIBDONE 1
14395#define V_PHY_CALIBDONE(x) ((x) << S_PHY_CALIBDONE)
14396#define F_PHY_CALIBDONE V_PHY_CALIBDONE(1U)
14397
14398#define S_POWER_UP_DONE 0
14399#define V_POWER_UP_DONE(x) ((x) << S_POWER_UP_DONE)
14400#define F_POWER_UP_DONE V_POWER_UP_DONE(1U)
14401
14402#define A_MC_PCTL_MCFG 0x6280
14403
14404#define S_TFAW_CFG 18
14405#define M_TFAW_CFG 0x3U
14406#define V_TFAW_CFG(x) ((x) << S_TFAW_CFG)
14407#define G_TFAW_CFG(x) (((x) >> S_TFAW_CFG) & M_TFAW_CFG)
14408
14409#define S_PD_EXIT_MODE 17
14410#define V_PD_EXIT_MODE(x) ((x) << S_PD_EXIT_MODE)
14411#define F_PD_EXIT_MODE V_PD_EXIT_MODE(1U)
14412
14413#define S_PD_TYPE 16
14414#define V_PD_TYPE(x) ((x) << S_PD_TYPE)
14415#define F_PD_TYPE V_PD_TYPE(1U)
14416
14417#define S_PD_IDLE 8
14418#define M_PD_IDLE 0xffU
14419#define V_PD_IDLE(x) ((x) << S_PD_IDLE)
14420#define G_PD_IDLE(x) (((x) >> S_PD_IDLE) & M_PD_IDLE)
14421
14422#define S_PAGE_POLICY 6
14423#define M_PAGE_POLICY 0x3U
14424#define V_PAGE_POLICY(x) ((x) << S_PAGE_POLICY)
14425#define G_PAGE_POLICY(x) (((x) >> S_PAGE_POLICY) & M_PAGE_POLICY)
14426
14427#define S_DDR3_EN 5
14428#define V_DDR3_EN(x) ((x) << S_DDR3_EN)
14429#define F_DDR3_EN V_DDR3_EN(1U)
14430
14431#define S_TWO_T_EN 3
14432#define V_TWO_T_EN(x) ((x) << S_TWO_T_EN)
14433#define F_TWO_T_EN V_TWO_T_EN(1U)
14434
14435#define S_BL8INT_EN 2
14436#define V_BL8INT_EN(x) ((x) << S_BL8INT_EN)
14437#define F_BL8INT_EN V_BL8INT_EN(1U)
14438
14439#define S_MEM_BL 0
14440#define V_MEM_BL(x) ((x) << S_MEM_BL)
14441#define F_MEM_BL V_MEM_BL(1U)
14442
14443#define A_MC_PCTL_PPCFG 0x6284
14444
14445#define S_RPMEM_DIS 1
14446#define M_RPMEM_DIS 0xffU
14447#define V_RPMEM_DIS(x) ((x) << S_RPMEM_DIS)
14448#define G_RPMEM_DIS(x) (((x) >> S_RPMEM_DIS) & M_RPMEM_DIS)
14449
14450#define S_PPMEM_EN 0
14451#define V_PPMEM_EN(x) ((x) << S_PPMEM_EN)
14452#define F_PPMEM_EN V_PPMEM_EN(1U)
14453
14454#define A_MC_PCTL_MSTAT 0x6288
14455
14456#define S_POWER_DOWN 0
14457#define V_POWER_DOWN(x) ((x) << S_POWER_DOWN)
14458#define F_POWER_DOWN V_POWER_DOWN(1U)
14459
14460#define A_MC_PCTL_ODTCFG 0x628c
14461
14462#define S_RANK3_ODT_DEFAULT 28
14463#define V_RANK3_ODT_DEFAULT(x) ((x) << S_RANK3_ODT_DEFAULT)
14464#define F_RANK3_ODT_DEFAULT V_RANK3_ODT_DEFAULT(1U)
14465
14466#define S_RANK3_ODT_WRITE_SEL 27
14467#define V_RANK3_ODT_WRITE_SEL(x) ((x) << S_RANK3_ODT_WRITE_SEL)
14468#define F_RANK3_ODT_WRITE_SEL V_RANK3_ODT_WRITE_SEL(1U)
14469
14470#define S_RANK3_ODT_WRITE_NSE 26
14471#define V_RANK3_ODT_WRITE_NSE(x) ((x) << S_RANK3_ODT_WRITE_NSE)
14472#define F_RANK3_ODT_WRITE_NSE V_RANK3_ODT_WRITE_NSE(1U)
14473
14474#define S_RANK3_ODT_READ_SEL 25
14475#define V_RANK3_ODT_READ_SEL(x) ((x) << S_RANK3_ODT_READ_SEL)
14476#define F_RANK3_ODT_READ_SEL V_RANK3_ODT_READ_SEL(1U)
14477
14478#define S_RANK3_ODT_READ_NSEL 24
14479#define V_RANK3_ODT_READ_NSEL(x) ((x) << S_RANK3_ODT_READ_NSEL)
14480#define F_RANK3_ODT_READ_NSEL V_RANK3_ODT_READ_NSEL(1U)
14481
14482#define S_RANK2_ODT_DEFAULT 20
14483#define V_RANK2_ODT_DEFAULT(x) ((x) << S_RANK2_ODT_DEFAULT)
14484#define F_RANK2_ODT_DEFAULT V_RANK2_ODT_DEFAULT(1U)
14485
14486#define S_RANK2_ODT_WRITE_SEL 19
14487#define V_RANK2_ODT_WRITE_SEL(x) ((x) << S_RANK2_ODT_WRITE_SEL)
14488#define F_RANK2_ODT_WRITE_SEL V_RANK2_ODT_WRITE_SEL(1U)
14489
14490#define S_RANK2_ODT_WRITE_NSEL 18
14491#define V_RANK2_ODT_WRITE_NSEL(x) ((x) << S_RANK2_ODT_WRITE_NSEL)
14492#define F_RANK2_ODT_WRITE_NSEL V_RANK2_ODT_WRITE_NSEL(1U)
14493
14494#define S_RANK2_ODT_READ_SEL 17
14495#define V_RANK2_ODT_READ_SEL(x) ((x) << S_RANK2_ODT_READ_SEL)
14496#define F_RANK2_ODT_READ_SEL V_RANK2_ODT_READ_SEL(1U)
14497
14498#define S_RANK2_ODT_READ_NSEL 16
14499#define V_RANK2_ODT_READ_NSEL(x) ((x) << S_RANK2_ODT_READ_NSEL)
14500#define F_RANK2_ODT_READ_NSEL V_RANK2_ODT_READ_NSEL(1U)
14501
14502#define S_RANK1_ODT_DEFAULT 12
14503#define V_RANK1_ODT_DEFAULT(x) ((x) << S_RANK1_ODT_DEFAULT)
14504#define F_RANK1_ODT_DEFAULT V_RANK1_ODT_DEFAULT(1U)
14505
14506#define S_RANK1_ODT_WRITE_SEL 11
14507#define V_RANK1_ODT_WRITE_SEL(x) ((x) << S_RANK1_ODT_WRITE_SEL)
14508#define F_RANK1_ODT_WRITE_SEL V_RANK1_ODT_WRITE_SEL(1U)
14509
14510#define S_RANK1_ODT_WRITE_NSEL 10
14511#define V_RANK1_ODT_WRITE_NSEL(x) ((x) << S_RANK1_ODT_WRITE_NSEL)
14512#define F_RANK1_ODT_WRITE_NSEL V_RANK1_ODT_WRITE_NSEL(1U)
14513
14514#define S_RANK1_ODT_READ_SEL 9
14515#define V_RANK1_ODT_READ_SEL(x) ((x) << S_RANK1_ODT_READ_SEL)
14516#define F_RANK1_ODT_READ_SEL V_RANK1_ODT_READ_SEL(1U)
14517
14518#define S_RANK1_ODT_READ_NSEL 8
14519#define V_RANK1_ODT_READ_NSEL(x) ((x) << S_RANK1_ODT_READ_NSEL)
14520#define F_RANK1_ODT_READ_NSEL V_RANK1_ODT_READ_NSEL(1U)
14521
14522#define S_RANK0_ODT_DEFAULT 4
14523#define V_RANK0_ODT_DEFAULT(x) ((x) << S_RANK0_ODT_DEFAULT)
14524#define F_RANK0_ODT_DEFAULT V_RANK0_ODT_DEFAULT(1U)
14525
14526#define S_RANK0_ODT_WRITE_SEL 3
14527#define V_RANK0_ODT_WRITE_SEL(x) ((x) << S_RANK0_ODT_WRITE_SEL)
14528#define F_RANK0_ODT_WRITE_SEL V_RANK0_ODT_WRITE_SEL(1U)
14529
14530#define S_RANK0_ODT_WRITE_NSEL 2
14531#define V_RANK0_ODT_WRITE_NSEL(x) ((x) << S_RANK0_ODT_WRITE_NSEL)
14532#define F_RANK0_ODT_WRITE_NSEL V_RANK0_ODT_WRITE_NSEL(1U)
14533
14534#define S_RANK0_ODT_READ_SEL 1
14535#define V_RANK0_ODT_READ_SEL(x) ((x) << S_RANK0_ODT_READ_SEL)
14536#define F_RANK0_ODT_READ_SEL V_RANK0_ODT_READ_SEL(1U)
14537
14538#define S_RANK0_ODT_READ_NSEL 0
14539#define V_RANK0_ODT_READ_NSEL(x) ((x) << S_RANK0_ODT_READ_NSEL)
14540#define F_RANK0_ODT_READ_NSEL V_RANK0_ODT_READ_NSEL(1U)
14541
14542#define A_MC_PCTL_DQSECFG 0x6290
14543
14544#define S_DV_ALAT 20
14545#define M_DV_ALAT 0xfU
14546#define V_DV_ALAT(x) ((x) << S_DV_ALAT)
14547#define G_DV_ALAT(x) (((x) >> S_DV_ALAT) & M_DV_ALAT)
14548
14549#define S_DV_ALEN 16
14550#define M_DV_ALEN 0x3U
14551#define V_DV_ALEN(x) ((x) << S_DV_ALEN)
14552#define G_DV_ALEN(x) (((x) >> S_DV_ALEN) & M_DV_ALEN)
14553
14554#define S_DSE_ALAT 12
14555#define M_DSE_ALAT 0xfU
14556#define V_DSE_ALAT(x) ((x) << S_DSE_ALAT)
14557#define G_DSE_ALAT(x) (((x) >> S_DSE_ALAT) & M_DSE_ALAT)
14558
14559#define S_DSE_ALEN 8
14560#define M_DSE_ALEN 0x3U
14561#define V_DSE_ALEN(x) ((x) << S_DSE_ALEN)
14562#define G_DSE_ALEN(x) (((x) >> S_DSE_ALEN) & M_DSE_ALEN)
14563
14564#define S_QSE_ALAT 4
14565#define M_QSE_ALAT 0xfU
14566#define V_QSE_ALAT(x) ((x) << S_QSE_ALAT)
14567#define G_QSE_ALAT(x) (((x) >> S_QSE_ALAT) & M_QSE_ALAT)
14568
14569#define S_QSE_ALEN 0
14570#define M_QSE_ALEN 0x3U
14571#define V_QSE_ALEN(x) ((x) << S_QSE_ALEN)
14572#define G_QSE_ALEN(x) (((x) >> S_QSE_ALEN) & M_QSE_ALEN)
14573
14574#define A_MC_PCTL_DTUPDES 0x6294
14575
14576#define S_DTU_RD_MISSING 13
14577#define V_DTU_RD_MISSING(x) ((x) << S_DTU_RD_MISSING)
14578#define F_DTU_RD_MISSING V_DTU_RD_MISSING(1U)
14579
14580#define S_DTU_EAFFL 9
14581#define M_DTU_EAFFL 0xfU
14582#define V_DTU_EAFFL(x) ((x) << S_DTU_EAFFL)
14583#define G_DTU_EAFFL(x) (((x) >> S_DTU_EAFFL) & M_DTU_EAFFL)
14584
14585#define S_DTU_RANDOM_ERROR 8
14586#define V_DTU_RANDOM_ERROR(x) ((x) << S_DTU_RANDOM_ERROR)
14587#define F_DTU_RANDOM_ERROR V_DTU_RANDOM_ERROR(1U)
14588
14589#define S_DTU_ERROR_B7 7
14590#define V_DTU_ERROR_B7(x) ((x) << S_DTU_ERROR_B7)
14591#define F_DTU_ERROR_B7 V_DTU_ERROR_B7(1U)
14592
14593#define S_DTU_ERR_B6 6
14594#define V_DTU_ERR_B6(x) ((x) << S_DTU_ERR_B6)
14595#define F_DTU_ERR_B6 V_DTU_ERR_B6(1U)
14596
14597#define S_DTU_ERR_B5 5
14598#define V_DTU_ERR_B5(x) ((x) << S_DTU_ERR_B5)
14599#define F_DTU_ERR_B5 V_DTU_ERR_B5(1U)
14600
14601#define S_DTU_ERR_B4 4
14602#define V_DTU_ERR_B4(x) ((x) << S_DTU_ERR_B4)
14603#define F_DTU_ERR_B4 V_DTU_ERR_B4(1U)
14604
14605#define S_DTU_ERR_B3 3
14606#define V_DTU_ERR_B3(x) ((x) << S_DTU_ERR_B3)
14607#define F_DTU_ERR_B3 V_DTU_ERR_B3(1U)
14608
14609#define S_DTU_ERR_B2 2
14610#define V_DTU_ERR_B2(x) ((x) << S_DTU_ERR_B2)
14611#define F_DTU_ERR_B2 V_DTU_ERR_B2(1U)
14612
14613#define S_DTU_ERR_B1 1
14614#define V_DTU_ERR_B1(x) ((x) << S_DTU_ERR_B1)
14615#define F_DTU_ERR_B1 V_DTU_ERR_B1(1U)
14616
14617#define S_DTU_ERR_B0 0
14618#define V_DTU_ERR_B0(x) ((x) << S_DTU_ERR_B0)
14619#define F_DTU_ERR_B0 V_DTU_ERR_B0(1U)
14620
14621#define A_MC_PCTL_DTUNA 0x6298
14622#define A_MC_PCTL_DTUNE 0x629c
14623#define A_MC_PCTL_DTUPRDO 0x62a0
14624
14625#define S_DTU_ALLBITS_1 16
14626#define M_DTU_ALLBITS_1 0xffffU
14627#define V_DTU_ALLBITS_1(x) ((x) << S_DTU_ALLBITS_1)
14628#define G_DTU_ALLBITS_1(x) (((x) >> S_DTU_ALLBITS_1) & M_DTU_ALLBITS_1)
14629
14630#define S_DTU_ALLBITS_0 0
14631#define M_DTU_ALLBITS_0 0xffffU
14632#define V_DTU_ALLBITS_0(x) ((x) << S_DTU_ALLBITS_0)
14633#define G_DTU_ALLBITS_0(x) (((x) >> S_DTU_ALLBITS_0) & M_DTU_ALLBITS_0)
14634
14635#define A_MC_PCTL_DTUPRD1 0x62a4
14636
14637#define S_DTU_ALLBITS_3 16
14638#define M_DTU_ALLBITS_3 0xffffU
14639#define V_DTU_ALLBITS_3(x) ((x) << S_DTU_ALLBITS_3)
14640#define G_DTU_ALLBITS_3(x) (((x) >> S_DTU_ALLBITS_3) & M_DTU_ALLBITS_3)
14641
14642#define S_DTU_ALLBITS_2 0
14643#define M_DTU_ALLBITS_2 0xffffU
14644#define V_DTU_ALLBITS_2(x) ((x) << S_DTU_ALLBITS_2)
14645#define G_DTU_ALLBITS_2(x) (((x) >> S_DTU_ALLBITS_2) & M_DTU_ALLBITS_2)
14646
14647#define A_MC_PCTL_DTUPRD2 0x62a8
14648
14649#define S_DTU_ALLBITS_5 16
14650#define M_DTU_ALLBITS_5 0xffffU
14651#define V_DTU_ALLBITS_5(x) ((x) << S_DTU_ALLBITS_5)
14652#define G_DTU_ALLBITS_5(x) (((x) >> S_DTU_ALLBITS_5) & M_DTU_ALLBITS_5)
14653
14654#define S_DTU_ALLBITS_4 0
14655#define M_DTU_ALLBITS_4 0xffffU
14656#define V_DTU_ALLBITS_4(x) ((x) << S_DTU_ALLBITS_4)
14657#define G_DTU_ALLBITS_4(x) (((x) >> S_DTU_ALLBITS_4) & M_DTU_ALLBITS_4)
14658
14659#define A_MC_PCTL_DTUPRD3 0x62ac
14660
14661#define S_DTU_ALLBITS_7 16
14662#define M_DTU_ALLBITS_7 0xffffU
14663#define V_DTU_ALLBITS_7(x) ((x) << S_DTU_ALLBITS_7)
14664#define G_DTU_ALLBITS_7(x) (((x) >> S_DTU_ALLBITS_7) & M_DTU_ALLBITS_7)
14665
14666#define S_DTU_ALLBITS_6 0
14667#define M_DTU_ALLBITS_6 0xffffU
14668#define V_DTU_ALLBITS_6(x) ((x) << S_DTU_ALLBITS_6)
14669#define G_DTU_ALLBITS_6(x) (((x) >> S_DTU_ALLBITS_6) & M_DTU_ALLBITS_6)
14670
14671#define A_MC_PCTL_DTUAWDT 0x62b0
14672
14673#define S_NUMBER_RANKS 9
14674#define M_NUMBER_RANKS 0x3U
14675#define V_NUMBER_RANKS(x) ((x) << S_NUMBER_RANKS)
14676#define G_NUMBER_RANKS(x) (((x) >> S_NUMBER_RANKS) & M_NUMBER_RANKS)
14677
14678#define S_ROW_ADDR_WIDTH 6
14679#define M_ROW_ADDR_WIDTH 0x3U
14680#define V_ROW_ADDR_WIDTH(x) ((x) << S_ROW_ADDR_WIDTH)
14681#define G_ROW_ADDR_WIDTH(x) (((x) >> S_ROW_ADDR_WIDTH) & M_ROW_ADDR_WIDTH)
14682
14683#define S_BANK_ADDR_WIDTH 3
14684#define M_BANK_ADDR_WIDTH 0x3U
14685#define V_BANK_ADDR_WIDTH(x) ((x) << S_BANK_ADDR_WIDTH)
14686#define G_BANK_ADDR_WIDTH(x) (((x) >> S_BANK_ADDR_WIDTH) & M_BANK_ADDR_WIDTH)
14687
14688#define S_COLUMN_ADDR_WIDTH 0
14689#define M_COLUMN_ADDR_WIDTH 0x3U
14690#define V_COLUMN_ADDR_WIDTH(x) ((x) << S_COLUMN_ADDR_WIDTH)
14691#define G_COLUMN_ADDR_WIDTH(x) (((x) >> S_COLUMN_ADDR_WIDTH) & M_COLUMN_ADDR_WIDTH)
14692
14693#define A_MC_PCTL_TOGCNT1U 0x62c0
14694
14695#define S_TOGGLE_COUNTER_1U 0
14696#define M_TOGGLE_COUNTER_1U 0x3ffU
14697#define V_TOGGLE_COUNTER_1U(x) ((x) << S_TOGGLE_COUNTER_1U)
14698#define G_TOGGLE_COUNTER_1U(x) (((x) >> S_TOGGLE_COUNTER_1U) & M_TOGGLE_COUNTER_1U)
14699
14700#define A_MC_PCTL_TINIT 0x62c4
14701
14702#define S_T_INIT 0
14703#define M_T_INIT 0x1ffU
14704#define V_T_INIT(x) ((x) << S_T_INIT)
14705#define G_T_INIT(x) (((x) >> S_T_INIT) & M_T_INIT)
14706
14707#define A_MC_PCTL_TRSTH 0x62c8
14708
14709#define S_T_RSTH 0
14710#define M_T_RSTH 0x3ffU
14711#define V_T_RSTH(x) ((x) << S_T_RSTH)
14712#define G_T_RSTH(x) (((x) >> S_T_RSTH) & M_T_RSTH)
14713
14714#define A_MC_PCTL_TOGCNT100N 0x62cc
14715
14716#define S_TOGGLE_COUNTER_100N 0
14717#define M_TOGGLE_COUNTER_100N 0x7fU
14718#define V_TOGGLE_COUNTER_100N(x) ((x) << S_TOGGLE_COUNTER_100N)
14719#define G_TOGGLE_COUNTER_100N(x) (((x) >> S_TOGGLE_COUNTER_100N) & M_TOGGLE_COUNTER_100N)
14720
14721#define A_MC_PCTL_TREFI 0x62d0
14722
14723#define S_T_REFI 0
14724#define M_T_REFI 0xffU
14725#define V_T_REFI(x) ((x) << S_T_REFI)
14726#define G_T_REFI(x) (((x) >> S_T_REFI) & M_T_REFI)
14727
14728#define A_MC_PCTL_TMRD 0x62d4
14729
14730#define S_T_MRD 0
14731#define M_T_MRD 0x7U
14732#define V_T_MRD(x) ((x) << S_T_MRD)
14733#define G_T_MRD(x) (((x) >> S_T_MRD) & M_T_MRD)
14734
14735#define A_MC_PCTL_TRFC 0x62d8
14736
14737#define S_T_RFC 0
14738#define M_T_RFC 0xffU
14739#define V_T_RFC(x) ((x) << S_T_RFC)
14740#define G_T_RFC(x) (((x) >> S_T_RFC) & M_T_RFC)
14741
14742#define A_MC_PCTL_TRP 0x62dc
14743
14744#define S_T_RP 0
14745#define M_T_RP 0xfU
14746#define V_T_RP(x) ((x) << S_T_RP)
14747#define G_T_RP(x) (((x) >> S_T_RP) & M_T_RP)
14748
14749#define A_MC_PCTL_TRTW 0x62e0
14750
14751#define S_T_RTW 0
14752#define M_T_RTW 0x7U
14753#define V_T_RTW(x) ((x) << S_T_RTW)
14754#define G_T_RTW(x) (((x) >> S_T_RTW) & M_T_RTW)
14755
14756#define A_MC_PCTL_TAL 0x62e4
14757
14758#define S_T_AL 0
14759#define M_T_AL 0xfU
14760#define V_T_AL(x) ((x) << S_T_AL)
14761#define G_T_AL(x) (((x) >> S_T_AL) & M_T_AL)
14762
14763#define A_MC_PCTL_TCL 0x62e8
14764
14765#define S_T_CL 0
14766#define M_T_CL 0xfU
14767#define V_T_CL(x) ((x) << S_T_CL)
14768#define G_T_CL(x) (((x) >> S_T_CL) & M_T_CL)
14769
14770#define A_MC_PCTL_TCWL 0x62ec
14771
14772#define S_T_CWL 0
14773#define M_T_CWL 0xfU
14774#define V_T_CWL(x) ((x) << S_T_CWL)
14775#define G_T_CWL(x) (((x) >> S_T_CWL) & M_T_CWL)
14776
14777#define A_MC_PCTL_TRAS 0x62f0
14778
14779#define S_T_RAS 0
14780#define M_T_RAS 0x3fU
14781#define V_T_RAS(x) ((x) << S_T_RAS)
14782#define G_T_RAS(x) (((x) >> S_T_RAS) & M_T_RAS)
14783
14784#define A_MC_PCTL_TRC 0x62f4
14785
14786#define S_T_RC 0
14787#define M_T_RC 0x3fU
14788#define V_T_RC(x) ((x) << S_T_RC)
14789#define G_T_RC(x) (((x) >> S_T_RC) & M_T_RC)
14790
14791#define A_MC_PCTL_TRCD 0x62f8
14792
14793#define S_T_RCD 0
14794#define M_T_RCD 0xfU
14795#define V_T_RCD(x) ((x) << S_T_RCD)
14796#define G_T_RCD(x) (((x) >> S_T_RCD) & M_T_RCD)
14797
14798#define A_MC_PCTL_TRRD 0x62fc
14799
14800#define S_T_RRD 0
14801#define M_T_RRD 0xfU
14802#define V_T_RRD(x) ((x) << S_T_RRD)
14803#define G_T_RRD(x) (((x) >> S_T_RRD) & M_T_RRD)
14804
14805#define A_MC_PCTL_TRTP 0x6300
14806
14807#define S_T_RTP 0
14808#define M_T_RTP 0x7U
14809#define V_T_RTP(x) ((x) << S_T_RTP)
14810#define G_T_RTP(x) (((x) >> S_T_RTP) & M_T_RTP)
14811
14812#define A_MC_PCTL_TWR 0x6304
14813
14814#define S_T_WR 0
14815#define M_T_WR 0x7U
14816#define V_T_WR(x) ((x) << S_T_WR)
14817#define G_T_WR(x) (((x) >> S_T_WR) & M_T_WR)
14818
14819#define A_MC_PCTL_TWTR 0x6308
14820
14821#define S_T_WTR 0
14822#define M_T_WTR 0x7U
14823#define V_T_WTR(x) ((x) << S_T_WTR)
14824#define G_T_WTR(x) (((x) >> S_T_WTR) & M_T_WTR)
14825
14826#define A_MC_PCTL_TEXSR 0x630c
14827
14828#define S_T_EXSR 0
14829#define M_T_EXSR 0x3ffU
14830#define V_T_EXSR(x) ((x) << S_T_EXSR)
14831#define G_T_EXSR(x) (((x) >> S_T_EXSR) & M_T_EXSR)
14832
14833#define A_MC_PCTL_TXP 0x6310
14834
14835#define S_T_XP 0
14836#define M_T_XP 0x7U
14837#define V_T_XP(x) ((x) << S_T_XP)
14838#define G_T_XP(x) (((x) >> S_T_XP) & M_T_XP)
14839
14840#define A_MC_PCTL_TXPDLL 0x6314
14841
14842#define S_T_XPDLL 0
14843#define M_T_XPDLL 0x3fU
14844#define V_T_XPDLL(x) ((x) << S_T_XPDLL)
14845#define G_T_XPDLL(x) (((x) >> S_T_XPDLL) & M_T_XPDLL)
14846
14847#define A_MC_PCTL_TZQCS 0x6318
14848
14849#define S_T_ZQCS 0
14850#define M_T_ZQCS 0x7fU
14851#define V_T_ZQCS(x) ((x) << S_T_ZQCS)
14852#define G_T_ZQCS(x) (((x) >> S_T_ZQCS) & M_T_ZQCS)
14853
14854#define A_MC_PCTL_TZQCSI 0x631c
14855
14856#define S_T_ZQCSI 0
14857#define M_T_ZQCSI 0xfffU
14858#define V_T_ZQCSI(x) ((x) << S_T_ZQCSI)
14859#define G_T_ZQCSI(x) (((x) >> S_T_ZQCSI) & M_T_ZQCSI)
14860
14861#define A_MC_PCTL_TDQS 0x6320
14862
14863#define S_T_DQS 0
14864#define M_T_DQS 0x7U
14865#define V_T_DQS(x) ((x) << S_T_DQS)
14866#define G_T_DQS(x) (((x) >> S_T_DQS) & M_T_DQS)
14867
14868#define A_MC_PCTL_TCKSRE 0x6324
14869
14870#define S_T_CKSRE 0
14871#define M_T_CKSRE 0xfU
14872#define V_T_CKSRE(x) ((x) << S_T_CKSRE)
14873#define G_T_CKSRE(x) (((x) >> S_T_CKSRE) & M_T_CKSRE)
14874
14875#define A_MC_PCTL_TCKSRX 0x6328
14876
14877#define S_T_CKSRX 0
14878#define M_T_CKSRX 0xfU
14879#define V_T_CKSRX(x) ((x) << S_T_CKSRX)
14880#define G_T_CKSRX(x) (((x) >> S_T_CKSRX) & M_T_CKSRX)
14881
14882#define A_MC_PCTL_TCKE 0x632c
14883
14884#define S_T_CKE 0
14885#define M_T_CKE 0x7U
14886#define V_T_CKE(x) ((x) << S_T_CKE)
14887#define G_T_CKE(x) (((x) >> S_T_CKE) & M_T_CKE)
14888
14889#define A_MC_PCTL_TMOD 0x6330
14890
14891#define S_T_MOD 0
14892#define M_T_MOD 0xfU
14893#define V_T_MOD(x) ((x) << S_T_MOD)
14894#define G_T_MOD(x) (((x) >> S_T_MOD) & M_T_MOD)
14895
14896#define A_MC_PCTL_TRSTL 0x6334
14897
14898#define S_RSTHOLD 0
14899#define M_RSTHOLD 0x7fU
14900#define V_RSTHOLD(x) ((x) << S_RSTHOLD)
14901#define G_RSTHOLD(x) (((x) >> S_RSTHOLD) & M_RSTHOLD)
14902
14903#define A_MC_PCTL_TZQCL 0x6338
14904
14905#define S_T_ZQCL 0
14906#define M_T_ZQCL 0x3ffU
14907#define V_T_ZQCL(x) ((x) << S_T_ZQCL)
14908#define G_T_ZQCL(x) (((x) >> S_T_ZQCL) & M_T_ZQCL)
14909
14910#define A_MC_PCTL_DWLCFG0 0x6370
14911
14912#define S_T_ADWL_VEC 0
14913#define M_T_ADWL_VEC 0x1ffU
14914#define V_T_ADWL_VEC(x) ((x) << S_T_ADWL_VEC)
14915#define G_T_ADWL_VEC(x) (((x) >> S_T_ADWL_VEC) & M_T_ADWL_VEC)
14916
14917#define A_MC_PCTL_DWLCFG1 0x6374
14918#define A_MC_PCTL_DWLCFG2 0x6378
14919#define A_MC_PCTL_DWLCFG3 0x637c
14920#define A_MC_PCTL_ECCCFG 0x6380
14921
14922#define S_INLINE_SYN_EN 4
14923#define V_INLINE_SYN_EN(x) ((x) << S_INLINE_SYN_EN)
14924#define F_INLINE_SYN_EN V_INLINE_SYN_EN(1U)
14925
14926#define S_ECC_EN 3
14927#define V_ECC_EN(x) ((x) << S_ECC_EN)
14928#define F_ECC_EN V_ECC_EN(1U)
14929
14930#define S_ECC_INTR_EN 2
14931#define V_ECC_INTR_EN(x) ((x) << S_ECC_INTR_EN)
14932#define F_ECC_INTR_EN V_ECC_INTR_EN(1U)
14933
14934#define A_MC_PCTL_ECCTST 0x6384
14935
14936#define S_ECC_TEST_MASK 0
14937#define M_ECC_TEST_MASK 0xffU
14938#define V_ECC_TEST_MASK(x) ((x) << S_ECC_TEST_MASK)
14939#define G_ECC_TEST_MASK(x) (((x) >> S_ECC_TEST_MASK) & M_ECC_TEST_MASK)
14940
14941#define A_MC_PCTL_ECCCLR 0x6388
14942
14943#define S_CLR_ECC_LOG 1
14944#define V_CLR_ECC_LOG(x) ((x) << S_CLR_ECC_LOG)
14945#define F_CLR_ECC_LOG V_CLR_ECC_LOG(1U)
14946
14947#define S_CLR_ECC_INTR 0
14948#define V_CLR_ECC_INTR(x) ((x) << S_CLR_ECC_INTR)
14949#define F_CLR_ECC_INTR V_CLR_ECC_INTR(1U)
14950
14951#define A_MC_PCTL_ECCLOG 0x638c
14952#define A_MC_PCTL_DTUWACTL 0x6400
14953
14954#define S_DTU_WR_RANK 30
14955#define M_DTU_WR_RANK 0x3U
14956#define V_DTU_WR_RANK(x) ((x) << S_DTU_WR_RANK)
14957#define G_DTU_WR_RANK(x) (((x) >> S_DTU_WR_RANK) & M_DTU_WR_RANK)
14958
14959#define S_DTU_WR_ROW 13
14960#define M_DTU_WR_ROW 0x1ffffU
14961#define V_DTU_WR_ROW(x) ((x) << S_DTU_WR_ROW)
14962#define G_DTU_WR_ROW(x) (((x) >> S_DTU_WR_ROW) & M_DTU_WR_ROW)
14963
14964#define S_DTU_WR_BANK 10
14965#define M_DTU_WR_BANK 0x7U
14966#define V_DTU_WR_BANK(x) ((x) << S_DTU_WR_BANK)
14967#define G_DTU_WR_BANK(x) (((x) >> S_DTU_WR_BANK) & M_DTU_WR_BANK)
14968
14969#define S_DTU_WR_COL 0
14970#define M_DTU_WR_COL 0x3ffU
14971#define V_DTU_WR_COL(x) ((x) << S_DTU_WR_COL)
14972#define G_DTU_WR_COL(x) (((x) >> S_DTU_WR_COL) & M_DTU_WR_COL)
14973
14974#define A_MC_PCTL_DTURACTL 0x6404
14975
14976#define S_DTU_RD_RANK 30
14977#define M_DTU_RD_RANK 0x3U
14978#define V_DTU_RD_RANK(x) ((x) << S_DTU_RD_RANK)
14979#define G_DTU_RD_RANK(x) (((x) >> S_DTU_RD_RANK) & M_DTU_RD_RANK)
14980
14981#define S_DTU_RD_ROW 13
14982#define M_DTU_RD_ROW 0x1ffffU
14983#define V_DTU_RD_ROW(x) ((x) << S_DTU_RD_ROW)
14984#define G_DTU_RD_ROW(x) (((x) >> S_DTU_RD_ROW) & M_DTU_RD_ROW)
14985
14986#define S_DTU_RD_BANK 10
14987#define M_DTU_RD_BANK 0x7U
14988#define V_DTU_RD_BANK(x) ((x) << S_DTU_RD_BANK)
14989#define G_DTU_RD_BANK(x) (((x) >> S_DTU_RD_BANK) & M_DTU_RD_BANK)
14990
14991#define S_DTU_RD_COL 0
14992#define M_DTU_RD_COL 0x3ffU
14993#define V_DTU_RD_COL(x) ((x) << S_DTU_RD_COL)
14994#define G_DTU_RD_COL(x) (((x) >> S_DTU_RD_COL) & M_DTU_RD_COL)
14995
14996#define A_MC_PCTL_DTUCFG 0x6408
14997
14998#define S_DTU_ROW_INCREMENTS 16
14999#define M_DTU_ROW_INCREMENTS 0x7fU
15000#define V_DTU_ROW_INCREMENTS(x) ((x) << S_DTU_ROW_INCREMENTS)
15001#define G_DTU_ROW_INCREMENTS(x) (((x) >> S_DTU_ROW_INCREMENTS) & M_DTU_ROW_INCREMENTS)
15002
15003#define S_DTU_WR_MULTI_RD 15
15004#define V_DTU_WR_MULTI_RD(x) ((x) << S_DTU_WR_MULTI_RD)
15005#define F_DTU_WR_MULTI_RD V_DTU_WR_MULTI_RD(1U)
15006
15007#define S_DTU_DATA_MASK_EN 14
15008#define V_DTU_DATA_MASK_EN(x) ((x) << S_DTU_DATA_MASK_EN)
15009#define F_DTU_DATA_MASK_EN V_DTU_DATA_MASK_EN(1U)
15010
15011#define S_DTU_TARGET_LANE 10
15012#define M_DTU_TARGET_LANE 0xfU
15013#define V_DTU_TARGET_LANE(x) ((x) << S_DTU_TARGET_LANE)
15014#define G_DTU_TARGET_LANE(x) (((x) >> S_DTU_TARGET_LANE) & M_DTU_TARGET_LANE)
15015
15016#define S_DTU_GENERATE_RANDOM 9
15017#define V_DTU_GENERATE_RANDOM(x) ((x) << S_DTU_GENERATE_RANDOM)
15018#define F_DTU_GENERATE_RANDOM V_DTU_GENERATE_RANDOM(1U)
15019
15020#define S_DTU_INCR_BANKS 8
15021#define V_DTU_INCR_BANKS(x) ((x) << S_DTU_INCR_BANKS)
15022#define F_DTU_INCR_BANKS V_DTU_INCR_BANKS(1U)
15023
15024#define S_DTU_INCR_COLS 7
15025#define V_DTU_INCR_COLS(x) ((x) << S_DTU_INCR_COLS)
15026#define F_DTU_INCR_COLS V_DTU_INCR_COLS(1U)
15027
15028#define S_DTU_NALEN 1
15029#define M_DTU_NALEN 0x3fU
15030#define V_DTU_NALEN(x) ((x) << S_DTU_NALEN)
15031#define G_DTU_NALEN(x) (((x) >> S_DTU_NALEN) & M_DTU_NALEN)
15032
15033#define S_DTU_ENABLE 0
15034#define V_DTU_ENABLE(x) ((x) << S_DTU_ENABLE)
15035#define F_DTU_ENABLE V_DTU_ENABLE(1U)
15036
15037#define A_MC_PCTL_DTUECTL 0x640c
15038
15039#define S_WR_MULTI_RD_RST 2
15040#define V_WR_MULTI_RD_RST(x) ((x) << S_WR_MULTI_RD_RST)
15041#define F_WR_MULTI_RD_RST V_WR_MULTI_RD_RST(1U)
15042
15043#define S_RUN_ERROR_REPORTS 1
15044#define V_RUN_ERROR_REPORTS(x) ((x) << S_RUN_ERROR_REPORTS)
15045#define F_RUN_ERROR_REPORTS V_RUN_ERROR_REPORTS(1U)
15046
15047#define S_RUN_DTU 0
15048#define V_RUN_DTU(x) ((x) << S_RUN_DTU)
15049#define F_RUN_DTU V_RUN_DTU(1U)
15050
15051#define A_MC_PCTL_DTUWD0 0x6410
15052
15053#define S_DTU_WR_BYTE3 24
15054#define M_DTU_WR_BYTE3 0xffU
15055#define V_DTU_WR_BYTE3(x) ((x) << S_DTU_WR_BYTE3)
15056#define G_DTU_WR_BYTE3(x) (((x) >> S_DTU_WR_BYTE3) & M_DTU_WR_BYTE3)
15057
15058#define S_DTU_WR_BYTE2 16
15059#define M_DTU_WR_BYTE2 0xffU
15060#define V_DTU_WR_BYTE2(x) ((x) << S_DTU_WR_BYTE2)
15061#define G_DTU_WR_BYTE2(x) (((x) >> S_DTU_WR_BYTE2) & M_DTU_WR_BYTE2)
15062
15063#define S_DTU_WR_BYTE1 8
15064#define M_DTU_WR_BYTE1 0xffU
15065#define V_DTU_WR_BYTE1(x) ((x) << S_DTU_WR_BYTE1)
15066#define G_DTU_WR_BYTE1(x) (((x) >> S_DTU_WR_BYTE1) & M_DTU_WR_BYTE1)
15067
15068#define S_DTU_WR_BYTE0 0
15069#define M_DTU_WR_BYTE0 0xffU
15070#define V_DTU_WR_BYTE0(x) ((x) << S_DTU_WR_BYTE0)
15071#define G_DTU_WR_BYTE0(x) (((x) >> S_DTU_WR_BYTE0) & M_DTU_WR_BYTE0)
15072
15073#define A_MC_PCTL_DTUWD1 0x6414
15074
15075#define S_DTU_WR_BYTE7 24
15076#define M_DTU_WR_BYTE7 0xffU
15077#define V_DTU_WR_BYTE7(x) ((x) << S_DTU_WR_BYTE7)
15078#define G_DTU_WR_BYTE7(x) (((x) >> S_DTU_WR_BYTE7) & M_DTU_WR_BYTE7)
15079
15080#define S_DTU_WR_BYTE6 16
15081#define M_DTU_WR_BYTE6 0xffU
15082#define V_DTU_WR_BYTE6(x) ((x) << S_DTU_WR_BYTE6)
15083#define G_DTU_WR_BYTE6(x) (((x) >> S_DTU_WR_BYTE6) & M_DTU_WR_BYTE6)
15084
15085#define S_DTU_WR_BYTE5 8
15086#define M_DTU_WR_BYTE5 0xffU
15087#define V_DTU_WR_BYTE5(x) ((x) << S_DTU_WR_BYTE5)
15088#define G_DTU_WR_BYTE5(x) (((x) >> S_DTU_WR_BYTE5) & M_DTU_WR_BYTE5)
15089
15090#define S_DTU_WR_BYTE4 0
15091#define M_DTU_WR_BYTE4 0xffU
15092#define V_DTU_WR_BYTE4(x) ((x) << S_DTU_WR_BYTE4)
15093#define G_DTU_WR_BYTE4(x) (((x) >> S_DTU_WR_BYTE4) & M_DTU_WR_BYTE4)
15094
15095#define A_MC_PCTL_DTUWD2 0x6418
15096
15097#define S_DTU_WR_BYTE11 24
15098#define M_DTU_WR_BYTE11 0xffU
15099#define V_DTU_WR_BYTE11(x) ((x) << S_DTU_WR_BYTE11)
15100#define G_DTU_WR_BYTE11(x) (((x) >> S_DTU_WR_BYTE11) & M_DTU_WR_BYTE11)
15101
15102#define S_DTU_WR_BYTE10 16
15103#define M_DTU_WR_BYTE10 0xffU
15104#define V_DTU_WR_BYTE10(x) ((x) << S_DTU_WR_BYTE10)
15105#define G_DTU_WR_BYTE10(x) (((x) >> S_DTU_WR_BYTE10) & M_DTU_WR_BYTE10)
15106
15107#define S_DTU_WR_BYTE9 8
15108#define M_DTU_WR_BYTE9 0xffU
15109#define V_DTU_WR_BYTE9(x) ((x) << S_DTU_WR_BYTE9)
15110#define G_DTU_WR_BYTE9(x) (((x) >> S_DTU_WR_BYTE9) & M_DTU_WR_BYTE9)
15111
15112#define S_DTU_WR_BYTE8 0
15113#define M_DTU_WR_BYTE8 0xffU
15114#define V_DTU_WR_BYTE8(x) ((x) << S_DTU_WR_BYTE8)
15115#define G_DTU_WR_BYTE8(x) (((x) >> S_DTU_WR_BYTE8) & M_DTU_WR_BYTE8)
15116
15117#define A_MC_PCTL_DTUWD3 0x641c
15118
15119#define S_DTU_WR_BYTE15 24
15120#define M_DTU_WR_BYTE15 0xffU
15121#define V_DTU_WR_BYTE15(x) ((x) << S_DTU_WR_BYTE15)
15122#define G_DTU_WR_BYTE15(x) (((x) >> S_DTU_WR_BYTE15) & M_DTU_WR_BYTE15)
15123
15124#define S_DTU_WR_BYTE14 16
15125#define M_DTU_WR_BYTE14 0xffU
15126#define V_DTU_WR_BYTE14(x) ((x) << S_DTU_WR_BYTE14)
15127#define G_DTU_WR_BYTE14(x) (((x) >> S_DTU_WR_BYTE14) & M_DTU_WR_BYTE14)
15128
15129#define S_DTU_WR_BYTE13 8
15130#define M_DTU_WR_BYTE13 0xffU
15131#define V_DTU_WR_BYTE13(x) ((x) << S_DTU_WR_BYTE13)
15132#define G_DTU_WR_BYTE13(x) (((x) >> S_DTU_WR_BYTE13) & M_DTU_WR_BYTE13)
15133
15134#define S_DTU_WR_BYTE12 0
15135#define M_DTU_WR_BYTE12 0xffU
15136#define V_DTU_WR_BYTE12(x) ((x) << S_DTU_WR_BYTE12)
15137#define G_DTU_WR_BYTE12(x) (((x) >> S_DTU_WR_BYTE12) & M_DTU_WR_BYTE12)
15138
15139#define A_MC_PCTL_DTUWDM 0x6420
15140
15141#define S_DM_WR_BYTE0 0
15142#define M_DM_WR_BYTE0 0xffffU
15143#define V_DM_WR_BYTE0(x) ((x) << S_DM_WR_BYTE0)
15144#define G_DM_WR_BYTE0(x) (((x) >> S_DM_WR_BYTE0) & M_DM_WR_BYTE0)
15145
15146#define A_MC_PCTL_DTURD0 0x6424
15147
15148#define S_DTU_RD_BYTE3 24
15149#define M_DTU_RD_BYTE3 0xffU
15150#define V_DTU_RD_BYTE3(x) ((x) << S_DTU_RD_BYTE3)
15151#define G_DTU_RD_BYTE3(x) (((x) >> S_DTU_RD_BYTE3) & M_DTU_RD_BYTE3)
15152
15153#define S_DTU_RD_BYTE2 16
15154#define M_DTU_RD_BYTE2 0xffU
15155#define V_DTU_RD_BYTE2(x) ((x) << S_DTU_RD_BYTE2)
15156#define G_DTU_RD_BYTE2(x) (((x) >> S_DTU_RD_BYTE2) & M_DTU_RD_BYTE2)
15157
15158#define S_DTU_RD_BYTE1 8
15159#define M_DTU_RD_BYTE1 0xffU
15160#define V_DTU_RD_BYTE1(x) ((x) << S_DTU_RD_BYTE1)
15161#define G_DTU_RD_BYTE1(x) (((x) >> S_DTU_RD_BYTE1) & M_DTU_RD_BYTE1)
15162
15163#define S_DTU_RD_BYTE0 0
15164#define M_DTU_RD_BYTE0 0xffU
15165#define V_DTU_RD_BYTE0(x) ((x) << S_DTU_RD_BYTE0)
15166#define G_DTU_RD_BYTE0(x) (((x) >> S_DTU_RD_BYTE0) & M_DTU_RD_BYTE0)
15167
15168#define A_MC_PCTL_DTURD1 0x6428
15169
15170#define S_DTU_RD_BYTE7 24
15171#define M_DTU_RD_BYTE7 0xffU
15172#define V_DTU_RD_BYTE7(x) ((x) << S_DTU_RD_BYTE7)
15173#define G_DTU_RD_BYTE7(x) (((x) >> S_DTU_RD_BYTE7) & M_DTU_RD_BYTE7)
15174
15175#define S_DTU_RD_BYTE6 16
15176#define M_DTU_RD_BYTE6 0xffU
15177#define V_DTU_RD_BYTE6(x) ((x) << S_DTU_RD_BYTE6)
15178#define G_DTU_RD_BYTE6(x) (((x) >> S_DTU_RD_BYTE6) & M_DTU_RD_BYTE6)
15179
15180#define S_DTU_RD_BYTE5 8
15181#define M_DTU_RD_BYTE5 0xffU
15182#define V_DTU_RD_BYTE5(x) ((x) << S_DTU_RD_BYTE5)
15183#define G_DTU_RD_BYTE5(x) (((x) >> S_DTU_RD_BYTE5) & M_DTU_RD_BYTE5)
15184
15185#define S_DTU_RD_BYTE4 0
15186#define M_DTU_RD_BYTE4 0xffU
15187#define V_DTU_RD_BYTE4(x) ((x) << S_DTU_RD_BYTE4)
15188#define G_DTU_RD_BYTE4(x) (((x) >> S_DTU_RD_BYTE4) & M_DTU_RD_BYTE4)
15189
15190#define A_MC_PCTL_DTURD2 0x642c
15191
15192#define S_DTU_RD_BYTE11 24
15193#define M_DTU_RD_BYTE11 0xffU
15194#define V_DTU_RD_BYTE11(x) ((x) << S_DTU_RD_BYTE11)
15195#define G_DTU_RD_BYTE11(x) (((x) >> S_DTU_RD_BYTE11) & M_DTU_RD_BYTE11)
15196
15197#define S_DTU_RD_BYTE10 16
15198#define M_DTU_RD_BYTE10 0xffU
15199#define V_DTU_RD_BYTE10(x) ((x) << S_DTU_RD_BYTE10)
15200#define G_DTU_RD_BYTE10(x) (((x) >> S_DTU_RD_BYTE10) & M_DTU_RD_BYTE10)
15201
15202#define S_DTU_RD_BYTE9 8
15203#define M_DTU_RD_BYTE9 0xffU
15204#define V_DTU_RD_BYTE9(x) ((x) << S_DTU_RD_BYTE9)
15205#define G_DTU_RD_BYTE9(x) (((x) >> S_DTU_RD_BYTE9) & M_DTU_RD_BYTE9)
15206
15207#define S_DTU_RD_BYTE8 0
15208#define M_DTU_RD_BYTE8 0xffU
15209#define V_DTU_RD_BYTE8(x) ((x) << S_DTU_RD_BYTE8)
15210#define G_DTU_RD_BYTE8(x) (((x) >> S_DTU_RD_BYTE8) & M_DTU_RD_BYTE8)
15211
15212#define A_MC_PCTL_DTURD3 0x6430
15213
15214#define S_DTU_RD_BYTE15 24
15215#define M_DTU_RD_BYTE15 0xffU
15216#define V_DTU_RD_BYTE15(x) ((x) << S_DTU_RD_BYTE15)
15217#define G_DTU_RD_BYTE15(x) (((x) >> S_DTU_RD_BYTE15) & M_DTU_RD_BYTE15)
15218
15219#define S_DTU_RD_BYTE14 16
15220#define M_DTU_RD_BYTE14 0xffU
15221#define V_DTU_RD_BYTE14(x) ((x) << S_DTU_RD_BYTE14)
15222#define G_DTU_RD_BYTE14(x) (((x) >> S_DTU_RD_BYTE14) & M_DTU_RD_BYTE14)
15223
15224#define S_DTU_RD_BYTE13 8
15225#define M_DTU_RD_BYTE13 0xffU
15226#define V_DTU_RD_BYTE13(x) ((x) << S_DTU_RD_BYTE13)
15227#define G_DTU_RD_BYTE13(x) (((x) >> S_DTU_RD_BYTE13) & M_DTU_RD_BYTE13)
15228
15229#define S_DTU_RD_BYTE12 0
15230#define M_DTU_RD_BYTE12 0xffU
15231#define V_DTU_RD_BYTE12(x) ((x) << S_DTU_RD_BYTE12)
15232#define G_DTU_RD_BYTE12(x) (((x) >> S_DTU_RD_BYTE12) & M_DTU_RD_BYTE12)
15233
15234#define A_MC_DTULFSRWD 0x6434
15235#define A_MC_PCTL_DTULFSRRD 0x6438
15236#define A_MC_PCTL_DTUEAF 0x643c
15237
15238#define S_EA_RANK 30
15239#define M_EA_RANK 0x3U
15240#define V_EA_RANK(x) ((x) << S_EA_RANK)
15241#define G_EA_RANK(x) (((x) >> S_EA_RANK) & M_EA_RANK)
15242
15243#define S_EA_ROW 13
15244#define M_EA_ROW 0x1ffffU
15245#define V_EA_ROW(x) ((x) << S_EA_ROW)
15246#define G_EA_ROW(x) (((x) >> S_EA_ROW) & M_EA_ROW)
15247
15248#define S_EA_BANK 10
15249#define M_EA_BANK 0x7U
15250#define V_EA_BANK(x) ((x) << S_EA_BANK)
15251#define G_EA_BANK(x) (((x) >> S_EA_BANK) & M_EA_BANK)
15252
15253#define S_EA_COLUMN 0
15254#define M_EA_COLUMN 0x3ffU
15255#define V_EA_COLUMN(x) ((x) << S_EA_COLUMN)
15256#define G_EA_COLUMN(x) (((x) >> S_EA_COLUMN) & M_EA_COLUMN)
15257
15258#define A_MC_PCTL_PHYPVTCFG 0x6500
15259
15260#define S_PVT_UPD_REQ_EN 15
15261#define V_PVT_UPD_REQ_EN(x) ((x) << S_PVT_UPD_REQ_EN)
15262#define F_PVT_UPD_REQ_EN V_PVT_UPD_REQ_EN(1U)
15263
15264#define S_PVT_UPD_TRIG_POL 14
15265#define V_PVT_UPD_TRIG_POL(x) ((x) << S_PVT_UPD_TRIG_POL)
15266#define F_PVT_UPD_TRIG_POL V_PVT_UPD_TRIG_POL(1U)
15267
15268#define S_PVT_UPD_TRIG_TYPE 12
15269#define V_PVT_UPD_TRIG_TYPE(x) ((x) << S_PVT_UPD_TRIG_TYPE)
15270#define F_PVT_UPD_TRIG_TYPE V_PVT_UPD_TRIG_TYPE(1U)
15271
15272#define S_PVT_UPD_DONE_POL 10
15273#define V_PVT_UPD_DONE_POL(x) ((x) << S_PVT_UPD_DONE_POL)
15274#define F_PVT_UPD_DONE_POL V_PVT_UPD_DONE_POL(1U)
15275
15276#define S_PVT_UPD_DONE_TYPE 8
15277#define M_PVT_UPD_DONE_TYPE 0x3U
15278#define V_PVT_UPD_DONE_TYPE(x) ((x) << S_PVT_UPD_DONE_TYPE)
15279#define G_PVT_UPD_DONE_TYPE(x) (((x) >> S_PVT_UPD_DONE_TYPE) & M_PVT_UPD_DONE_TYPE)
15280
15281#define S_PHY_UPD_REQ_EN 7
15282#define V_PHY_UPD_REQ_EN(x) ((x) << S_PHY_UPD_REQ_EN)
15283#define F_PHY_UPD_REQ_EN V_PHY_UPD_REQ_EN(1U)
15284
15285#define S_PHY_UPD_TRIG_POL 6
15286#define V_PHY_UPD_TRIG_POL(x) ((x) << S_PHY_UPD_TRIG_POL)
15287#define F_PHY_UPD_TRIG_POL V_PHY_UPD_TRIG_POL(1U)
15288
15289#define S_PHY_UPD_TRIG_TYPE 4
15290#define V_PHY_UPD_TRIG_TYPE(x) ((x) << S_PHY_UPD_TRIG_TYPE)
15291#define F_PHY_UPD_TRIG_TYPE V_PHY_UPD_TRIG_TYPE(1U)
15292
15293#define S_PHY_UPD_DONE_POL 2
15294#define V_PHY_UPD_DONE_POL(x) ((x) << S_PHY_UPD_DONE_POL)
15295#define F_PHY_UPD_DONE_POL V_PHY_UPD_DONE_POL(1U)
15296
15297#define S_PHY_UPD_DONE_TYPE 0
15298#define M_PHY_UPD_DONE_TYPE 0x3U
15299#define V_PHY_UPD_DONE_TYPE(x) ((x) << S_PHY_UPD_DONE_TYPE)
15300#define G_PHY_UPD_DONE_TYPE(x) (((x) >> S_PHY_UPD_DONE_TYPE) & M_PHY_UPD_DONE_TYPE)
15301
15302#define A_MC_PCTL_PHYPVTSTAT 0x6504
15303
15304#define S_I_PVT_UPD_TRIG 5
15305#define V_I_PVT_UPD_TRIG(x) ((x) << S_I_PVT_UPD_TRIG)
15306#define F_I_PVT_UPD_TRIG V_I_PVT_UPD_TRIG(1U)
15307
15308#define S_I_PVT_UPD_DONE 4
15309#define V_I_PVT_UPD_DONE(x) ((x) << S_I_PVT_UPD_DONE)
15310#define F_I_PVT_UPD_DONE V_I_PVT_UPD_DONE(1U)
15311
15312#define S_I_PHY_UPD_TRIG 1
15313#define V_I_PHY_UPD_TRIG(x) ((x) << S_I_PHY_UPD_TRIG)
15314#define F_I_PHY_UPD_TRIG V_I_PHY_UPD_TRIG(1U)
15315
15316#define S_I_PHY_UPD_DONE 0
15317#define V_I_PHY_UPD_DONE(x) ((x) << S_I_PHY_UPD_DONE)
15318#define F_I_PHY_UPD_DONE V_I_PHY_UPD_DONE(1U)
15319
15320#define A_MC_PCTL_PHYTUPDON 0x6508
15321
15322#define S_PHY_T_UPDON 0
15323#define M_PHY_T_UPDON 0xffU
15324#define V_PHY_T_UPDON(x) ((x) << S_PHY_T_UPDON)
15325#define G_PHY_T_UPDON(x) (((x) >> S_PHY_T_UPDON) & M_PHY_T_UPDON)
15326
15327#define A_MC_PCTL_PHYTUPDDLY 0x650c
15328
15329#define S_PHY_T_UPDDLY 0
15330#define M_PHY_T_UPDDLY 0xfU
15331#define V_PHY_T_UPDDLY(x) ((x) << S_PHY_T_UPDDLY)
15332#define G_PHY_T_UPDDLY(x) (((x) >> S_PHY_T_UPDDLY) & M_PHY_T_UPDDLY)
15333
15334#define A_MC_PCTL_PVTTUPON 0x6510
15335
15336#define S_PVT_T_UPDON 0
15337#define M_PVT_T_UPDON 0xffU
15338#define V_PVT_T_UPDON(x) ((x) << S_PVT_T_UPDON)
15339#define G_PVT_T_UPDON(x) (((x) >> S_PVT_T_UPDON) & M_PVT_T_UPDON)
15340
15341#define A_MC_PCTL_PVTTUPDDLY 0x6514
15342
15343#define S_PVT_T_UPDDLY 0
15344#define M_PVT_T_UPDDLY 0xfU
15345#define V_PVT_T_UPDDLY(x) ((x) << S_PVT_T_UPDDLY)
15346#define G_PVT_T_UPDDLY(x) (((x) >> S_PVT_T_UPDDLY) & M_PVT_T_UPDDLY)
15347
15348#define A_MC_PCTL_PHYPVTUPDI 0x6518
15349
15350#define S_PHYPVT_T_UPDI 0
15351#define M_PHYPVT_T_UPDI 0xffU
15352#define V_PHYPVT_T_UPDI(x) ((x) << S_PHYPVT_T_UPDI)
15353#define G_PHYPVT_T_UPDI(x) (((x) >> S_PHYPVT_T_UPDI) & M_PHYPVT_T_UPDI)
15354
15355#define A_MC_PCTL_PHYIOCRV1 0x651c
15356
15357#define S_BYTE_OE_CTL 16
15358#define M_BYTE_OE_CTL 0x3U
15359#define V_BYTE_OE_CTL(x) ((x) << S_BYTE_OE_CTL)
15360#define G_BYTE_OE_CTL(x) (((x) >> S_BYTE_OE_CTL) & M_BYTE_OE_CTL)
15361
15362#define S_DYN_SOC_ODT_ALAT 12
15363#define M_DYN_SOC_ODT_ALAT 0xfU
15364#define V_DYN_SOC_ODT_ALAT(x) ((x) << S_DYN_SOC_ODT_ALAT)
15365#define G_DYN_SOC_ODT_ALAT(x) (((x) >> S_DYN_SOC_ODT_ALAT) & M_DYN_SOC_ODT_ALAT)
15366
15367#define S_DYN_SOC_ODT_ATEN 8
15368#define M_DYN_SOC_ODT_ATEN 0x3U
15369#define V_DYN_SOC_ODT_ATEN(x) ((x) << S_DYN_SOC_ODT_ATEN)
15370#define G_DYN_SOC_ODT_ATEN(x) (((x) >> S_DYN_SOC_ODT_ATEN) & M_DYN_SOC_ODT_ATEN)
15371
15372#define S_DYN_SOC_ODT 2
15373#define V_DYN_SOC_ODT(x) ((x) << S_DYN_SOC_ODT)
15374#define F_DYN_SOC_ODT V_DYN_SOC_ODT(1U)
15375
15376#define S_SOC_ODT_EN 0
15377#define V_SOC_ODT_EN(x) ((x) << S_SOC_ODT_EN)
15378#define F_SOC_ODT_EN V_SOC_ODT_EN(1U)
15379
15380#define A_MC_PCTL_PHYTUPDWAIT 0x6520
15381
15382#define S_PHY_T_UPDWAIT 0
15383#define M_PHY_T_UPDWAIT 0x3fU
15384#define V_PHY_T_UPDWAIT(x) ((x) << S_PHY_T_UPDWAIT)
15385#define G_PHY_T_UPDWAIT(x) (((x) >> S_PHY_T_UPDWAIT) & M_PHY_T_UPDWAIT)
15386
15387#define A_MC_PCTL_PVTTUPDWAIT 0x6524
15388
15389#define S_PVT_T_UPDWAIT 0
15390#define M_PVT_T_UPDWAIT 0x3fU
15391#define V_PVT_T_UPDWAIT(x) ((x) << S_PVT_T_UPDWAIT)
15392#define G_PVT_T_UPDWAIT(x) (((x) >> S_PVT_T_UPDWAIT) & M_PVT_T_UPDWAIT)
15393
15394#define A_MC_DDR3PHYAC_GCR 0x6a00
15395
15396#define S_WLRANK 8
15397#define M_WLRANK 0x3U
15398#define V_WLRANK(x) ((x) << S_WLRANK)
15399#define G_WLRANK(x) (((x) >> S_WLRANK) & M_WLRANK)
15400
15401#define S_FDEPTH 6
15402#define M_FDEPTH 0x3U
15403#define V_FDEPTH(x) ((x) << S_FDEPTH)
15404#define G_FDEPTH(x) (((x) >> S_FDEPTH) & M_FDEPTH)
15405
15406#define S_LPFDEPTH 4
15407#define M_LPFDEPTH 0x3U
15408#define V_LPFDEPTH(x) ((x) << S_LPFDEPTH)
15409#define G_LPFDEPTH(x) (((x) >> S_LPFDEPTH) & M_LPFDEPTH)
15410
15411#define S_LPFEN 3
15412#define V_LPFEN(x) ((x) << S_LPFEN)
15413#define F_LPFEN V_LPFEN(1U)
15414
15415#define S_WL 2
15416#define V_WL(x) ((x) << S_WL)
15417#define F_WL V_WL(1U)
15418
15419#define S_CAL 1
15420#define V_CAL(x) ((x) << S_CAL)
15421#define F_CAL V_CAL(1U)
15422
15423#define S_MDLEN 0
15424#define V_MDLEN(x) ((x) << S_MDLEN)
15425#define F_MDLEN V_MDLEN(1U)
15426
15427#define A_MC_DDR3PHYAC_RCR0 0x6a04
15428
15429#define S_OCPONR 8
15430#define V_OCPONR(x) ((x) << S_OCPONR)
15431#define F_OCPONR V_OCPONR(1U)
15432
15433#define S_OCPOND 7
15434#define V_OCPOND(x) ((x) << S_OCPOND)
15435#define F_OCPOND V_OCPOND(1U)
15436
15437#define S_OCOEN 6
15438#define V_OCOEN(x) ((x) << S_OCOEN)
15439#define F_OCOEN V_OCOEN(1U)
15440
15441#define S_CKEPONR 5
15442#define V_CKEPONR(x) ((x) << S_CKEPONR)
15443#define F_CKEPONR V_CKEPONR(1U)
15444
15445#define S_CKEPOND 4
15446#define V_CKEPOND(x) ((x) << S_CKEPOND)
15447#define F_CKEPOND V_CKEPOND(1U)
15448
15449#define S_CKEOEN 3
15450#define V_CKEOEN(x) ((x) << S_CKEOEN)
15451#define F_CKEOEN V_CKEOEN(1U)
15452
15453#define S_CKPONR 2
15454#define V_CKPONR(x) ((x) << S_CKPONR)
15455#define F_CKPONR V_CKPONR(1U)
15456
15457#define S_CKPOND 1
15458#define V_CKPOND(x) ((x) << S_CKPOND)
15459#define F_CKPOND V_CKPOND(1U)
15460
15461#define S_CKOEN 0
15462#define V_CKOEN(x) ((x) << S_CKOEN)
15463#define F_CKOEN V_CKOEN(1U)
15464
15465#define A_MC_DDR3PHYAC_ACCR 0x6a14
15466
15467#define S_ACPONR 8
15468#define V_ACPONR(x) ((x) << S_ACPONR)
15469#define F_ACPONR V_ACPONR(1U)
15470
15471#define S_ACPOND 7
15472#define V_ACPOND(x) ((x) << S_ACPOND)
15473#define F_ACPOND V_ACPOND(1U)
15474
15475#define S_ACOEN 6
15476#define V_ACOEN(x) ((x) << S_ACOEN)
15477#define F_ACOEN V_ACOEN(1U)
15478
15479#define S_CK5PONR 5
15480#define V_CK5PONR(x) ((x) << S_CK5PONR)
15481#define F_CK5PONR V_CK5PONR(1U)
15482
15483#define S_CK5POND 4
15484#define V_CK5POND(x) ((x) << S_CK5POND)
15485#define F_CK5POND V_CK5POND(1U)
15486
15487#define S_CK5OEN 3
15488#define V_CK5OEN(x) ((x) << S_CK5OEN)
15489#define F_CK5OEN V_CK5OEN(1U)
15490
15491#define S_CK4PONR 2
15492#define V_CK4PONR(x) ((x) << S_CK4PONR)
15493#define F_CK4PONR V_CK4PONR(1U)
15494
15495#define S_CK4POND 1
15496#define V_CK4POND(x) ((x) << S_CK4POND)
15497#define F_CK4POND V_CK4POND(1U)
15498
15499#define S_CK4OEN 0
15500#define V_CK4OEN(x) ((x) << S_CK4OEN)
15501#define F_CK4OEN V_CK4OEN(1U)
15502
15503#define A_MC_DDR3PHYAC_GSR 0x6a18
15504
15505#define S_WLERR 4
15506#define V_WLERR(x) ((x) << S_WLERR)
15507#define F_WLERR V_WLERR(1U)
15508
15509#define S_INIT 3
15510#define V_INIT(x) ((x) << S_INIT)
15511#define F_INIT V_INIT(1U)
15512
15513#define S_ACCAL 0
15514#define V_ACCAL(x) ((x) << S_ACCAL)
15515#define F_ACCAL V_ACCAL(1U)
15516
15517#define A_MC_DDR3PHYAC_ECSR 0x6a1c
15518
15519#define S_WLDEC 1
15520#define V_WLDEC(x) ((x) << S_WLDEC)
15521#define F_WLDEC V_WLDEC(1U)
15522
15523#define S_WLINC 0
15524#define V_WLINC(x) ((x) << S_WLINC)
15525#define F_WLINC V_WLINC(1U)
15526
15527#define A_MC_DDR3PHYAC_OCSR 0x6a20
15528#define A_MC_DDR3PHYAC_MDIPR 0x6a24
15529
15530#define S_PRD 0
15531#define M_PRD 0x3ffU
15532#define V_PRD(x) ((x) << S_PRD)
15533#define G_PRD(x) (((x) >> S_PRD) & M_PRD)
15534
15535#define A_MC_DDR3PHYAC_MDTPR 0x6a28
15536#define A_MC_DDR3PHYAC_MDPPR0 0x6a2c
15537#define A_MC_DDR3PHYAC_MDPPR1 0x6a30
15538#define A_MC_DDR3PHYAC_PMBDR0 0x6a34
15539
15540#define S_DFLTDLY 0
15541#define M_DFLTDLY 0x7fU
15542#define V_DFLTDLY(x) ((x) << S_DFLTDLY)
15543#define G_DFLTDLY(x) (((x) >> S_DFLTDLY) & M_DFLTDLY)
15544
15545#define A_MC_DDR3PHYAC_PMBDR1 0x6a38
15546#define A_MC_DDR3PHYAC_ACR 0x6a60
15547
15548#define S_TSEL 9
15549#define V_TSEL(x) ((x) << S_TSEL)
15550#define F_TSEL V_TSEL(1U)
15551
15552#define S_ISEL 7
15553#define M_ISEL 0x3U
15554#define V_ISEL(x) ((x) << S_ISEL)
15555#define G_ISEL(x) (((x) >> S_ISEL) & M_ISEL)
15556
15557#define S_CALBYP 2
15558#define V_CALBYP(x) ((x) << S_CALBYP)
15559#define F_CALBYP V_CALBYP(1U)
15560
15561#define S_SDRSELINV 1
15562#define V_SDRSELINV(x) ((x) << S_SDRSELINV)
15563#define F_SDRSELINV V_SDRSELINV(1U)
15564
15565#define S_CKINV 0
15566#define V_CKINV(x) ((x) << S_CKINV)
15567#define F_CKINV V_CKINV(1U)
15568
15569#define A_MC_DDR3PHYAC_PSCR 0x6a64
15570
15571#define S_PSCALE 0
15572#define M_PSCALE 0x3ffU
15573#define V_PSCALE(x) ((x) << S_PSCALE)
15574#define G_PSCALE(x) (((x) >> S_PSCALE) & M_PSCALE)
15575
15576#define A_MC_DDR3PHYAC_PRCR 0x6a68
15577
15578#define S_PHYINIT 9
15579#define V_PHYINIT(x) ((x) << S_PHYINIT)
15580#define F_PHYINIT V_PHYINIT(1U)
15581
15582#define S_PHYHRST 7
15583#define V_PHYHRST(x) ((x) << S_PHYHRST)
15584#define F_PHYHRST V_PHYHRST(1U)
15585
15586#define S_RSTCLKS 3
15587#define M_RSTCLKS 0xfU
15588#define V_RSTCLKS(x) ((x) << S_RSTCLKS)
15589#define G_RSTCLKS(x) (((x) >> S_RSTCLKS) & M_RSTCLKS)
15590
15591#define S_PLLPD 2
15592#define V_PLLPD(x) ((x) << S_PLLPD)
15593#define F_PLLPD V_PLLPD(1U)
15594
15595#define S_PLLRST 1
15596#define V_PLLRST(x) ((x) << S_PLLRST)
15597#define F_PLLRST V_PLLRST(1U)
15598
15599#define S_PHYRST 0
15600#define V_PHYRST(x) ((x) << S_PHYRST)
15601#define F_PHYRST V_PHYRST(1U)
15602
15603#define A_MC_DDR3PHYAC_PLLCR0 0x6a6c
15604
15605#define S_RSTCXKS 4
15606#define M_RSTCXKS 0x1fU
15607#define V_RSTCXKS(x) ((x) << S_RSTCXKS)
15608#define G_RSTCXKS(x) (((x) >> S_RSTCXKS) & M_RSTCXKS)
15609
15610#define S_ICPSEL 3
15611#define V_ICPSEL(x) ((x) << S_ICPSEL)
15612#define F_ICPSEL V_ICPSEL(1U)
15613
15614#define S_TESTA 0
15615#define M_TESTA 0x7U
15616#define V_TESTA(x) ((x) << S_TESTA)
15617#define G_TESTA(x) (((x) >> S_TESTA) & M_TESTA)
15618
15619#define A_MC_DDR3PHYAC_PLLCR1 0x6a70
15620
15621#define S_BYPASS 9
15622#define V_BYPASS(x) ((x) << S_BYPASS)
15623#define F_BYPASS V_BYPASS(1U)
15624
15625#define S_BDIV 3
15626#define M_BDIV 0x3U
15627#define V_BDIV(x) ((x) << S_BDIV)
15628#define G_BDIV(x) (((x) >> S_BDIV) & M_BDIV)
15629
15630#define S_TESTD 0
15631#define M_TESTD 0x7U
15632#define V_TESTD(x) ((x) << S_TESTD)
15633#define G_TESTD(x) (((x) >> S_TESTD) & M_TESTD)
15634
15635#define A_MC_DDR3PHYAC_CLKENR 0x6a78
15636
15637#define S_CKCLKEN 3
15638#define M_CKCLKEN 0x3fU
15639#define V_CKCLKEN(x) ((x) << S_CKCLKEN)
15640#define G_CKCLKEN(x) (((x) >> S_CKCLKEN) & M_CKCLKEN)
15641
15642#define S_HDRCLKEN 2
15643#define V_HDRCLKEN(x) ((x) << S_HDRCLKEN)
15644#define F_HDRCLKEN V_HDRCLKEN(1U)
15645
15646#define S_SDRCLKEN 1
15647#define V_SDRCLKEN(x) ((x) << S_SDRCLKEN)
15648#define F_SDRCLKEN V_SDRCLKEN(1U)
15649
15650#define S_DDRCLKEN 0
15651#define V_DDRCLKEN(x) ((x) << S_DDRCLKEN)
15652#define F_DDRCLKEN V_DDRCLKEN(1U)
15653
15654#define A_MC_DDR3PHYDATX8_GCR 0x6b00
15655
15656#define S_PONR 6
15657#define V_PONR(x) ((x) << S_PONR)
15658#define F_PONR V_PONR(1U)
15659
15660#define S_POND 5
15661#define V_POND(x) ((x) << S_POND)
15662#define F_POND V_POND(1U)
15663
15664#define S_RDBDVT 4
15665#define V_RDBDVT(x) ((x) << S_RDBDVT)
15666#define F_RDBDVT V_RDBDVT(1U)
15667
15668#define S_WDBDVT 3
15669#define V_WDBDVT(x) ((x) << S_WDBDVT)
15670#define F_WDBDVT V_WDBDVT(1U)
15671
15672#define S_RDSDVT 2
15673#define V_RDSDVT(x) ((x) << S_RDSDVT)
15674#define F_RDSDVT V_RDSDVT(1U)
15675
15676#define S_WDSDVT 1
15677#define V_WDSDVT(x) ((x) << S_WDSDVT)
15678#define F_WDSDVT V_WDSDVT(1U)
15679
15680#define S_WLSDVT 0
15681#define V_WLSDVT(x) ((x) << S_WLSDVT)
15682#define F_WLSDVT V_WLSDVT(1U)
15683
15684#define A_MC_DDR3PHYDATX8_WDSDR 0x6b04
15685
15686#define S_WDSDR_DLY 0
15687#define M_WDSDR_DLY 0x3ffU
15688#define V_WDSDR_DLY(x) ((x) << S_WDSDR_DLY)
15689#define G_WDSDR_DLY(x) (((x) >> S_WDSDR_DLY) & M_WDSDR_DLY)
15690
15691#define A_MC_DDR3PHYDATX8_WLDPR 0x6b08
15692#define A_MC_DDR3PHYDATX8_WLDR 0x6b0c
15693
15694#define S_WL_DLY 0
15695#define M_WL_DLY 0x3ffU
15696#define V_WL_DLY(x) ((x) << S_WL_DLY)
15697#define G_WL_DLY(x) (((x) >> S_WL_DLY) & M_WL_DLY)
15698
15699#define A_MC_DDR3PHYDATX8_WDBDR0 0x6b1c
15700
15701#define S_DLY 0
15702#define M_DLY 0x7fU
15703#define V_DLY(x) ((x) << S_DLY)
15704#define G_DLY(x) (((x) >> S_DLY) & M_DLY)
15705
15706#define A_MC_DDR3PHYDATX8_WDBDR1 0x6b20
15707#define A_MC_DDR3PHYDATX8_WDBDR2 0x6b24
15708#define A_MC_DDR3PHYDATX8_WDBDR3 0x6b28
15709#define A_MC_DDR3PHYDATX8_WDBDR4 0x6b2c
15710#define A_MC_DDR3PHYDATX8_WDBDR5 0x6b30
15711#define A_MC_DDR3PHYDATX8_WDBDR6 0x6b34
15712#define A_MC_DDR3PHYDATX8_WDBDR7 0x6b38
15713#define A_MC_DDR3PHYDATX8_WDBDR8 0x6b3c
15714#define A_MC_DDR3PHYDATX8_WDBDMR 0x6b40
15715
15716#define S_MAXDLY 0
15717#define M_MAXDLY 0x7fU
15718#define V_MAXDLY(x) ((x) << S_MAXDLY)
15719#define G_MAXDLY(x) (((x) >> S_MAXDLY) & M_MAXDLY)
15720
15721#define A_MC_DDR3PHYDATX8_RDSDR 0x6b44
15722
15723#define S_RDSDR_DLY 0
15724#define M_RDSDR_DLY 0x3ffU
15725#define V_RDSDR_DLY(x) ((x) << S_RDSDR_DLY)
15726#define G_RDSDR_DLY(x) (((x) >> S_RDSDR_DLY) & M_RDSDR_DLY)
15727
15728#define A_MC_DDR3PHYDATX8_RDBDR0 0x6b48
15729#define A_MC_DDR3PHYDATX8_RDBDR1 0x6b4c
15730#define A_MC_DDR3PHYDATX8_RDBDR2 0x6b50
15731#define A_MC_DDR3PHYDATX8_RDBDR3 0x6b54
15732#define A_MC_DDR3PHYDATX8_RDBDR4 0x6b58
15733#define A_MC_DDR3PHYDATX8_RDBDR5 0x6b5c
15734#define A_MC_DDR3PHYDATX8_RDBDR6 0x6b60
15735#define A_MC_DDR3PHYDATX8_RDBDR7 0x6b64
15736#define A_MC_DDR3PHYDATX8_RDBDMR 0x6b68
15737#define A_MC_DDR3PHYDATX8_PMBDR0 0x6b6c
15738#define A_MC_DDR3PHYDATX8_PMBDR1 0x6b70
15739#define A_MC_DDR3PHYDATX8_PMBDR2 0x6b74
15740#define A_MC_DDR3PHYDATX8_PMBDR3 0x6b78
15741#define A_MC_DDR3PHYDATX8_WDBDPR 0x6b7c
15742
15743#define S_DP_DLY 0
15744#define M_DP_DLY 0x1ffU
15745#define V_DP_DLY(x) ((x) << S_DP_DLY)
15746#define G_DP_DLY(x) (((x) >> S_DP_DLY) & M_DP_DLY)
15747
15748#define A_MC_DDR3PHYDATX8_RDBDPR 0x6b80
15749#define A_MC_DDR3PHYDATX8_GSR 0x6b84
15750
15751#define S_WLDONE 3
15752#define V_WLDONE(x) ((x) << S_WLDONE)
15753#define F_WLDONE V_WLDONE(1U)
15754
15755#define S_WLCAL 2
15756#define V_WLCAL(x) ((x) << S_WLCAL)
15757#define F_WLCAL V_WLCAL(1U)
15758
15759#define S_READ 1
15760#define V_READ(x) ((x) << S_READ)
15761#define F_READ V_READ(1U)
15762
15763#define S_RDQSCAL 0
15764#define V_RDQSCAL(x) ((x) << S_RDQSCAL)
15765#define F_RDQSCAL V_RDQSCAL(1U)
15766
15767#define A_MC_DDR3PHYDATX8_ACR 0x6bf0
15768
15769#define S_PHYHSRST 9
15770#define V_PHYHSRST(x) ((x) << S_PHYHSRST)
15771#define F_PHYHSRST V_PHYHSRST(1U)
15772
15773#define S_WLSTEP 8
15774#define V_WLSTEP(x) ((x) << S_WLSTEP)
15775#define F_WLSTEP V_WLSTEP(1U)
15776
15777#define S_SDR_SEL_INV 2
15778#define V_SDR_SEL_INV(x) ((x) << S_SDR_SEL_INV)
15779#define F_SDR_SEL_INV V_SDR_SEL_INV(1U)
15780
15781#define S_DDRSELINV 1
15782#define V_DDRSELINV(x) ((x) << S_DDRSELINV)
15783#define F_DDRSELINV V_DDRSELINV(1U)
15784
15785#define S_DSINV 0
15786#define V_DSINV(x) ((x) << S_DSINV)
15787#define F_DSINV V_DSINV(1U)
15788
15789#define A_MC_DDR3PHYDATX8_RSR 0x6bf4
15790
15791#define S_WLRANKSEL 9
15792#define V_WLRANKSEL(x) ((x) << S_WLRANKSEL)
15793#define F_WLRANKSEL V_WLRANKSEL(1U)
15794
15795#define S_RANK 0
15796#define M_RANK 0x3U
15797#define V_RANK(x) ((x) << S_RANK)
15798#define G_RANK(x) (((x) >> S_RANK) & M_RANK)
15799
15800#define A_MC_DDR3PHYDATX8_CLKENR 0x6bf8
15801
15802#define S_DTOSEL 8
15803#define M_DTOSEL 0x3U
15804#define V_DTOSEL(x) ((x) << S_DTOSEL)
15805#define G_DTOSEL(x) (((x) >> S_DTOSEL) & M_DTOSEL)
15806
15807#define A_MC_PVT_REG_CALIBRATE_CTL 0x7400
15808#define A_MC_PVT_REG_UPDATE_CTL 0x7404
15809#define A_MC_PVT_REG_LAST_MEASUREMENT 0x7408
15810#define A_MC_PVT_REG_DRVN 0x740c
15811#define A_MC_PVT_REG_DRVP 0x7410
15812#define A_MC_PVT_REG_TERMN 0x7414
15813#define A_MC_PVT_REG_TERMP 0x7418
15814#define A_MC_PVT_REG_THRESHOLD 0x741c
15815#define A_MC_PVT_REG_IN_TERMP 0x7420
15816#define A_MC_PVT_REG_IN_TERMN 0x7424
15817#define A_MC_PVT_REG_IN_DRVP 0x7428
15818#define A_MC_PVT_REG_IN_DRVN 0x742c
15819#define A_MC_PVT_REG_OUT_TERMP 0x7430
15820#define A_MC_PVT_REG_OUT_TERMN 0x7434
15821#define A_MC_PVT_REG_OUT_DRVP 0x7438
15822#define A_MC_PVT_REG_OUT_DRVN 0x743c
15823#define A_MC_PVT_REG_HISTORY_TERMP 0x7440
15824#define A_MC_PVT_REG_HISTORY_TERMN 0x7444
15825#define A_MC_PVT_REG_HISTORY_DRVP 0x7448
15826#define A_MC_PVT_REG_HISTORY_DRVN 0x744c
15827#define A_MC_PVT_REG_SAMPLE_WAIT_CLKS 0x7450
15828#define A_MC_DDRPHY_RST_CTRL 0x7500
15829
15830#define S_DDRIO_ENABLE 1
15831#define V_DDRIO_ENABLE(x) ((x) << S_DDRIO_ENABLE)
15832#define F_DDRIO_ENABLE V_DDRIO_ENABLE(1U)
15833
15834#define S_PHY_RST_N 0
15835#define V_PHY_RST_N(x) ((x) << S_PHY_RST_N)
15836#define F_PHY_RST_N V_PHY_RST_N(1U)
15837
15838#define A_MC_PERFORMANCE_CTRL 0x7504
15839
15840#define S_STALL_CHK_BIT 2
15841#define V_STALL_CHK_BIT(x) ((x) << S_STALL_CHK_BIT)
15842#define F_STALL_CHK_BIT V_STALL_CHK_BIT(1U)
15843
15844#define S_DDR3_BRC_MODE 1
15845#define V_DDR3_BRC_MODE(x) ((x) << S_DDR3_BRC_MODE)
15846#define F_DDR3_BRC_MODE V_DDR3_BRC_MODE(1U)
15847
15848#define S_RMW_PERF_CTRL 0
15849#define V_RMW_PERF_CTRL(x) ((x) << S_RMW_PERF_CTRL)
15850#define F_RMW_PERF_CTRL V_RMW_PERF_CTRL(1U)
15851
15852#define A_MC_ECC_CTRL 0x7508
15853
15854#define S_ECC_BYPASS_BIST 1
15855#define V_ECC_BYPASS_BIST(x) ((x) << S_ECC_BYPASS_BIST)
15856#define F_ECC_BYPASS_BIST V_ECC_BYPASS_BIST(1U)
15857
15858#define S_ECC_DISABLE 0
15859#define V_ECC_DISABLE(x) ((x) << S_ECC_DISABLE)
15860#define F_ECC_DISABLE V_ECC_DISABLE(1U)
15861
15862#define A_MC_PAR_ENABLE 0x750c
15863
15864#define S_ECC_UE_PAR_ENABLE 3
15865#define V_ECC_UE_PAR_ENABLE(x) ((x) << S_ECC_UE_PAR_ENABLE)
15866#define F_ECC_UE_PAR_ENABLE V_ECC_UE_PAR_ENABLE(1U)
15867
15868#define S_ECC_CE_PAR_ENABLE 2
15869#define V_ECC_CE_PAR_ENABLE(x) ((x) << S_ECC_CE_PAR_ENABLE)
15870#define F_ECC_CE_PAR_ENABLE V_ECC_CE_PAR_ENABLE(1U)
15871
15872#define S_PERR_REG_INT_ENABLE 1
15873#define V_PERR_REG_INT_ENABLE(x) ((x) << S_PERR_REG_INT_ENABLE)
15874#define F_PERR_REG_INT_ENABLE V_PERR_REG_INT_ENABLE(1U)
15875
15876#define S_PERR_BLK_INT_ENABLE 0
15877#define V_PERR_BLK_INT_ENABLE(x) ((x) << S_PERR_BLK_INT_ENABLE)
15878#define F_PERR_BLK_INT_ENABLE V_PERR_BLK_INT_ENABLE(1U)
15879
15880#define A_MC_PAR_CAUSE 0x7510
15881
15882#define S_ECC_UE_PAR_CAUSE 3
15883#define V_ECC_UE_PAR_CAUSE(x) ((x) << S_ECC_UE_PAR_CAUSE)
15884#define F_ECC_UE_PAR_CAUSE V_ECC_UE_PAR_CAUSE(1U)
15885
15886#define S_ECC_CE_PAR_CAUSE 2
15887#define V_ECC_CE_PAR_CAUSE(x) ((x) << S_ECC_CE_PAR_CAUSE)
15888#define F_ECC_CE_PAR_CAUSE V_ECC_CE_PAR_CAUSE(1U)
15889
15890#define S_FIFOR_PAR_CAUSE 1
15891#define V_FIFOR_PAR_CAUSE(x) ((x) << S_FIFOR_PAR_CAUSE)
15892#define F_FIFOR_PAR_CAUSE V_FIFOR_PAR_CAUSE(1U)
15893
15894#define S_RDATA_FIFOR_PAR_CAUSE 0
15895#define V_RDATA_FIFOR_PAR_CAUSE(x) ((x) << S_RDATA_FIFOR_PAR_CAUSE)
15896#define F_RDATA_FIFOR_PAR_CAUSE V_RDATA_FIFOR_PAR_CAUSE(1U)
15897
15898#define A_MC_INT_ENABLE 0x7514
15899
15900#define S_ECC_UE_INT_ENABLE 2
15901#define V_ECC_UE_INT_ENABLE(x) ((x) << S_ECC_UE_INT_ENABLE)
15902#define F_ECC_UE_INT_ENABLE V_ECC_UE_INT_ENABLE(1U)
15903
15904#define S_ECC_CE_INT_ENABLE 1
15905#define V_ECC_CE_INT_ENABLE(x) ((x) << S_ECC_CE_INT_ENABLE)
15906#define F_ECC_CE_INT_ENABLE V_ECC_CE_INT_ENABLE(1U)
15907
15908#define S_PERR_INT_ENABLE 0
15909#define V_PERR_INT_ENABLE(x) ((x) << S_PERR_INT_ENABLE)
15910#define F_PERR_INT_ENABLE V_PERR_INT_ENABLE(1U)
15911
15912#define A_MC_INT_CAUSE 0x7518
15913
15914#define S_ECC_UE_INT_CAUSE 2
15915#define V_ECC_UE_INT_CAUSE(x) ((x) << S_ECC_UE_INT_CAUSE)
15916#define F_ECC_UE_INT_CAUSE V_ECC_UE_INT_CAUSE(1U)
15917
15918#define S_ECC_CE_INT_CAUSE 1
15919#define V_ECC_CE_INT_CAUSE(x) ((x) << S_ECC_CE_INT_CAUSE)
15920#define F_ECC_CE_INT_CAUSE V_ECC_CE_INT_CAUSE(1U)
15921
15922#define S_PERR_INT_CAUSE 0
15923#define V_PERR_INT_CAUSE(x) ((x) << S_PERR_INT_CAUSE)
15924#define F_PERR_INT_CAUSE V_PERR_INT_CAUSE(1U)
15925
15926#define A_MC_ECC_STATUS 0x751c
15927
15928#define S_ECC_CECNT 16
15929#define M_ECC_CECNT 0xffffU
15930#define V_ECC_CECNT(x) ((x) << S_ECC_CECNT)
15931#define G_ECC_CECNT(x) (((x) >> S_ECC_CECNT) & M_ECC_CECNT)
15932
15933#define S_ECC_UECNT 0
15934#define M_ECC_UECNT 0xffffU
15935#define V_ECC_UECNT(x) ((x) << S_ECC_UECNT)
15936#define G_ECC_UECNT(x) (((x) >> S_ECC_UECNT) & M_ECC_UECNT)
15937
15938#define A_MC_PHY_CTRL 0x7520
15939
15940#define S_CTLPHYRR 0
15941#define V_CTLPHYRR(x) ((x) << S_CTLPHYRR)
15942#define F_CTLPHYRR V_CTLPHYRR(1U)
15943
15944#define A_MC_STATIC_CFG_STATUS 0x7524
15945
15946#define S_STATIC_MODE 9
15947#define V_STATIC_MODE(x) ((x) << S_STATIC_MODE)
15948#define F_STATIC_MODE V_STATIC_MODE(1U)
15949
15950#define S_STATIC_DEN 6
15951#define M_STATIC_DEN 0x7U
15952#define V_STATIC_DEN(x) ((x) << S_STATIC_DEN)
15953#define G_STATIC_DEN(x) (((x) >> S_STATIC_DEN) & M_STATIC_DEN)
15954
15955#define S_STATIC_ORG 5
15956#define V_STATIC_ORG(x) ((x) << S_STATIC_ORG)
15957#define F_STATIC_ORG V_STATIC_ORG(1U)
15958
15959#define S_STATIC_RKS 4
15960#define V_STATIC_RKS(x) ((x) << S_STATIC_RKS)
15961#define F_STATIC_RKS V_STATIC_RKS(1U)
15962
15963#define S_STATIC_WIDTH 1
15964#define M_STATIC_WIDTH 0x7U
15965#define V_STATIC_WIDTH(x) ((x) << S_STATIC_WIDTH)
15966#define G_STATIC_WIDTH(x) (((x) >> S_STATIC_WIDTH) & M_STATIC_WIDTH)
15967
15968#define S_STATIC_SLOW 0
15969#define V_STATIC_SLOW(x) ((x) << S_STATIC_SLOW)
15970#define F_STATIC_SLOW V_STATIC_SLOW(1U)
15971
15972#define A_MC_CORE_PCTL_STAT 0x7528
15973
15974#define S_PCTL_ACCESS_STAT 0
15975#define M_PCTL_ACCESS_STAT 0x7U
15976#define V_PCTL_ACCESS_STAT(x) ((x) << S_PCTL_ACCESS_STAT)
15977#define G_PCTL_ACCESS_STAT(x) (((x) >> S_PCTL_ACCESS_STAT) & M_PCTL_ACCESS_STAT)
15978
15979#define A_MC_DEBUG_CNT 0x752c
15980
15981#define S_WDATA_OCNT 8
15982#define M_WDATA_OCNT 0x1fU
15983#define V_WDATA_OCNT(x) ((x) << S_WDATA_OCNT)
15984#define G_WDATA_OCNT(x) (((x) >> S_WDATA_OCNT) & M_WDATA_OCNT)
15985
15986#define S_RDATA_OCNT 0
15987#define M_RDATA_OCNT 0x1fU
15988#define V_RDATA_OCNT(x) ((x) << S_RDATA_OCNT)
15989#define G_RDATA_OCNT(x) (((x) >> S_RDATA_OCNT) & M_RDATA_OCNT)
15990
15991#define A_MC_BONUS 0x7530
15992#define A_MC_BIST_CMD 0x7600
15993
15994#define S_START_BIST 31
15995#define V_START_BIST(x) ((x) << S_START_BIST)
15996#define F_START_BIST V_START_BIST(1U)
15997
15998#define S_BIST_CMD_GAP 8
15999#define M_BIST_CMD_GAP 0xffU
16000#define V_BIST_CMD_GAP(x) ((x) << S_BIST_CMD_GAP)
16001#define G_BIST_CMD_GAP(x) (((x) >> S_BIST_CMD_GAP) & M_BIST_CMD_GAP)
16002
16003#define S_BIST_OPCODE 0
16004#define M_BIST_OPCODE 0x3U
16005#define V_BIST_OPCODE(x) ((x) << S_BIST_OPCODE)
16006#define G_BIST_OPCODE(x) (((x) >> S_BIST_OPCODE) & M_BIST_OPCODE)
16007
16008#define A_MC_BIST_CMD_ADDR 0x7604
16009#define A_MC_BIST_CMD_LEN 0x7608
16010#define A_MC_BIST_DATA_PATTERN 0x760c
16011
16012#define S_BIST_DATA_TYPE 0
16013#define M_BIST_DATA_TYPE 0xfU
16014#define V_BIST_DATA_TYPE(x) ((x) << S_BIST_DATA_TYPE)
16015#define G_BIST_DATA_TYPE(x) (((x) >> S_BIST_DATA_TYPE) & M_BIST_DATA_TYPE)
16016
16017#define A_MC_BIST_USER_WDATA0 0x7614
16018#define A_MC_BIST_USER_WDATA1 0x7618
16019#define A_MC_BIST_USER_WDATA2 0x761c
16020
16021#define S_USER_DATA2 0
16022#define M_USER_DATA2 0xffU
16023#define V_USER_DATA2(x) ((x) << S_USER_DATA2)
16024#define G_USER_DATA2(x) (((x) >> S_USER_DATA2) & M_USER_DATA2)
16025
16026#define A_MC_BIST_NUM_ERR 0x7680
16027#define A_MC_BIST_ERR_FIRST_ADDR 0x7684
16028#define A_MC_BIST_STATUS_RDATA 0x7688
16029
16030/* registers for module MA */
16031#define MA_BASE_ADDR 0x7700
16032
16033#define A_MA_CLIENT0_RD_LATENCY_THRESHOLD 0x7700
16034
16035#define S_THRESHOLD1 17
16036#define M_THRESHOLD1 0x7fffU
16037#define V_THRESHOLD1(x) ((x) << S_THRESHOLD1)
16038#define G_THRESHOLD1(x) (((x) >> S_THRESHOLD1) & M_THRESHOLD1)
16039
16040#define S_THRESHOLD1_EN 16
16041#define V_THRESHOLD1_EN(x) ((x) << S_THRESHOLD1_EN)
16042#define F_THRESHOLD1_EN V_THRESHOLD1_EN(1U)
16043
16044#define S_THRESHOLD0 1
16045#define M_THRESHOLD0 0x7fffU
16046#define V_THRESHOLD0(x) ((x) << S_THRESHOLD0)
16047#define G_THRESHOLD0(x) (((x) >> S_THRESHOLD0) & M_THRESHOLD0)
16048
16049#define S_THRESHOLD0_EN 0
16050#define V_THRESHOLD0_EN(x) ((x) << S_THRESHOLD0_EN)
16051#define F_THRESHOLD0_EN V_THRESHOLD0_EN(1U)
16052
16053#define A_MA_CLIENT0_WR_LATENCY_THRESHOLD 0x7704
16054#define A_MA_CLIENT1_RD_LATENCY_THRESHOLD 0x7708
16055#define A_MA_CLIENT1_WR_LATENCY_THRESHOLD 0x770c
16056#define A_MA_CLIENT2_RD_LATENCY_THRESHOLD 0x7710
16057#define A_MA_CLIENT2_WR_LATENCY_THRESHOLD 0x7714
16058#define A_MA_CLIENT3_RD_LATENCY_THRESHOLD 0x7718
16059#define A_MA_CLIENT3_WR_LATENCY_THRESHOLD 0x771c
16060#define A_MA_CLIENT4_RD_LATENCY_THRESHOLD 0x7720
16061#define A_MA_CLIENT4_WR_LATENCY_THRESHOLD 0x7724
16062#define A_MA_CLIENT5_RD_LATENCY_THRESHOLD 0x7728
16063#define A_MA_CLIENT5_WR_LATENCY_THRESHOLD 0x772c
16064#define A_MA_CLIENT6_RD_LATENCY_THRESHOLD 0x7730
16065#define A_MA_CLIENT6_WR_LATENCY_THRESHOLD 0x7734
16066#define A_MA_CLIENT7_RD_LATENCY_THRESHOLD 0x7738
16067#define A_MA_CLIENT7_WR_LATENCY_THRESHOLD 0x773c
16068#define A_MA_CLIENT8_RD_LATENCY_THRESHOLD 0x7740
16069#define A_MA_CLIENT8_WR_LATENCY_THRESHOLD 0x7744
16070#define A_MA_CLIENT9_RD_LATENCY_THRESHOLD 0x7748
16071#define A_MA_CLIENT9_WR_LATENCY_THRESHOLD 0x774c
16072#define A_MA_CLIENT10_RD_LATENCY_THRESHOLD 0x7750
16073#define A_MA_CLIENT10_WR_LATENCY_THRESHOLD 0x7754
16074#define A_MA_CLIENT11_RD_LATENCY_THRESHOLD 0x7758
16075#define A_MA_CLIENT11_WR_LATENCY_THRESHOLD 0x775c
16076#define A_MA_CLIENT12_RD_LATENCY_THRESHOLD 0x7760
16077#define A_MA_CLIENT12_WR_LATENCY_THRESHOLD 0x7764
16078#define A_MA_SGE_TH0_DEBUG_CNT 0x7768
16079
16080#define S_DBG_READ_DATA_CNT 24
16081#define M_DBG_READ_DATA_CNT 0xffU
16082#define V_DBG_READ_DATA_CNT(x) ((x) << S_DBG_READ_DATA_CNT)
16083#define G_DBG_READ_DATA_CNT(x) (((x) >> S_DBG_READ_DATA_CNT) & M_DBG_READ_DATA_CNT)
16084
16085#define S_DBG_READ_REQ_CNT 16
16086#define M_DBG_READ_REQ_CNT 0xffU
16087#define V_DBG_READ_REQ_CNT(x) ((x) << S_DBG_READ_REQ_CNT)
16088#define G_DBG_READ_REQ_CNT(x) (((x) >> S_DBG_READ_REQ_CNT) & M_DBG_READ_REQ_CNT)
16089
16090#define S_DBG_WRITE_DATA_CNT 8
16091#define M_DBG_WRITE_DATA_CNT 0xffU
16092#define V_DBG_WRITE_DATA_CNT(x) ((x) << S_DBG_WRITE_DATA_CNT)
16093#define G_DBG_WRITE_DATA_CNT(x) (((x) >> S_DBG_WRITE_DATA_CNT) & M_DBG_WRITE_DATA_CNT)
16094
16095#define S_DBG_WRITE_REQ_CNT 0
16096#define M_DBG_WRITE_REQ_CNT 0xffU
16097#define V_DBG_WRITE_REQ_CNT(x) ((x) << S_DBG_WRITE_REQ_CNT)
16098#define G_DBG_WRITE_REQ_CNT(x) (((x) >> S_DBG_WRITE_REQ_CNT) & M_DBG_WRITE_REQ_CNT)
16099
16100#define A_MA_SGE_TH1_DEBUG_CNT 0x776c
16101#define A_MA_ULPTX_DEBUG_CNT 0x7770
16102#define A_MA_ULPRX_DEBUG_CNT 0x7774
16103#define A_MA_ULPTXRX_DEBUG_CNT 0x7778
16104#define A_MA_TP_TH0_DEBUG_CNT 0x777c
16105#define A_MA_TP_TH1_DEBUG_CNT 0x7780
16106#define A_MA_LE_DEBUG_CNT 0x7784
16107#define A_MA_CIM_DEBUG_CNT 0x7788
16108#define A_MA_PCIE_DEBUG_CNT 0x778c
16109#define A_MA_PMTX_DEBUG_CNT 0x7790
16110#define A_MA_PMRX_DEBUG_CNT 0x7794
16111#define A_MA_HMA_DEBUG_CNT 0x7798
16112#define A_MA_EDRAM0_BAR 0x77c0
16113
16114#define S_EDRAM0_BASE 16
16115#define M_EDRAM0_BASE 0xfffU
16116#define V_EDRAM0_BASE(x) ((x) << S_EDRAM0_BASE)
16117#define G_EDRAM0_BASE(x) (((x) >> S_EDRAM0_BASE) & M_EDRAM0_BASE)
16118
16119#define S_EDRAM0_SIZE 0
16120#define M_EDRAM0_SIZE 0xfffU
16121#define V_EDRAM0_SIZE(x) ((x) << S_EDRAM0_SIZE)
16122#define G_EDRAM0_SIZE(x) (((x) >> S_EDRAM0_SIZE) & M_EDRAM0_SIZE)
16123
16124#define A_MA_EDRAM1_BAR 0x77c4
16125
16126#define S_EDRAM1_BASE 16
16127#define M_EDRAM1_BASE 0xfffU
16128#define V_EDRAM1_BASE(x) ((x) << S_EDRAM1_BASE)
16129#define G_EDRAM1_BASE(x) (((x) >> S_EDRAM1_BASE) & M_EDRAM1_BASE)
16130
16131#define S_EDRAM1_SIZE 0
16132#define M_EDRAM1_SIZE 0xfffU
16133#define V_EDRAM1_SIZE(x) ((x) << S_EDRAM1_SIZE)
16134#define G_EDRAM1_SIZE(x) (((x) >> S_EDRAM1_SIZE) & M_EDRAM1_SIZE)
16135
16136#define A_MA_EXT_MEMORY_BAR 0x77c8
16137
16138#define S_EXT_MEM_BASE 16
16139#define M_EXT_MEM_BASE 0xfffU
16140#define V_EXT_MEM_BASE(x) ((x) << S_EXT_MEM_BASE)
16141#define G_EXT_MEM_BASE(x) (((x) >> S_EXT_MEM_BASE) & M_EXT_MEM_BASE)
16142
16143#define S_EXT_MEM_SIZE 0
16144#define M_EXT_MEM_SIZE 0xfffU
16145#define V_EXT_MEM_SIZE(x) ((x) << S_EXT_MEM_SIZE)
16146#define G_EXT_MEM_SIZE(x) (((x) >> S_EXT_MEM_SIZE) & M_EXT_MEM_SIZE)
16147
16148#define A_MA_EXT_MEMORY0_BAR 0x77c8
16149
16150#define S_EXT_MEM0_BASE 16
16151#define M_EXT_MEM0_BASE 0xfffU
16152#define V_EXT_MEM0_BASE(x) ((x) << S_EXT_MEM0_BASE)
16153#define G_EXT_MEM0_BASE(x) (((x) >> S_EXT_MEM0_BASE) & M_EXT_MEM0_BASE)
16154
16155#define S_EXT_MEM0_SIZE 0
16156#define M_EXT_MEM0_SIZE 0xfffU
16157#define V_EXT_MEM0_SIZE(x) ((x) << S_EXT_MEM0_SIZE)
16158#define G_EXT_MEM0_SIZE(x) (((x) >> S_EXT_MEM0_SIZE) & M_EXT_MEM0_SIZE)
16159
16160#define A_MA_HOST_MEMORY_BAR 0x77cc
16161
16162#define S_HMA_BASE 16
16163#define M_HMA_BASE 0xfffU
16164#define V_HMA_BASE(x) ((x) << S_HMA_BASE)
16165#define G_HMA_BASE(x) (((x) >> S_HMA_BASE) & M_HMA_BASE)
16166
16167#define S_HMA_SIZE 0
16168#define M_HMA_SIZE 0xfffU
16169#define V_HMA_SIZE(x) ((x) << S_HMA_SIZE)
16170#define G_HMA_SIZE(x) (((x) >> S_HMA_SIZE) & M_HMA_SIZE)
16171
16172#define A_MA_EXT_MEM_PAGE_SIZE 0x77d0
16173
16174#define S_BRC_MODE 2
16175#define V_BRC_MODE(x) ((x) << S_BRC_MODE)
16176#define F_BRC_MODE V_BRC_MODE(1U)
16177
16178#define S_EXT_MEM_PAGE_SIZE 0
16179#define M_EXT_MEM_PAGE_SIZE 0x3U
16180#define V_EXT_MEM_PAGE_SIZE(x) ((x) << S_EXT_MEM_PAGE_SIZE)
16181#define G_EXT_MEM_PAGE_SIZE(x) (((x) >> S_EXT_MEM_PAGE_SIZE) & M_EXT_MEM_PAGE_SIZE)
16182
16183#define S_BRC_MODE1 6
16184#define V_BRC_MODE1(x) ((x) << S_BRC_MODE1)
16185#define F_BRC_MODE1 V_BRC_MODE1(1U)
16186
16187#define S_EXT_MEM_PAGE_SIZE1 4
16188#define M_EXT_MEM_PAGE_SIZE1 0x3U
16189#define V_EXT_MEM_PAGE_SIZE1(x) ((x) << S_EXT_MEM_PAGE_SIZE1)
16190#define G_EXT_MEM_PAGE_SIZE1(x) (((x) >> S_EXT_MEM_PAGE_SIZE1) & M_EXT_MEM_PAGE_SIZE1)
16191
16192#define S_BRBC_MODE 4
16193#define V_BRBC_MODE(x) ((x) << S_BRBC_MODE)
16194#define F_BRBC_MODE V_BRBC_MODE(1U)
16195
16196#define S_T6_BRC_MODE 3
16197#define V_T6_BRC_MODE(x) ((x) << S_T6_BRC_MODE)
16198#define F_T6_BRC_MODE V_T6_BRC_MODE(1U)
16199
16200#define S_T6_EXT_MEM_PAGE_SIZE 0
16201#define M_T6_EXT_MEM_PAGE_SIZE 0x7U
16202#define V_T6_EXT_MEM_PAGE_SIZE(x) ((x) << S_T6_EXT_MEM_PAGE_SIZE)
16203#define G_T6_EXT_MEM_PAGE_SIZE(x) (((x) >> S_T6_EXT_MEM_PAGE_SIZE) & M_T6_EXT_MEM_PAGE_SIZE)
16204
16205#define A_MA_ARB_CTRL 0x77d4
16206
16207#define S_DIS_PAGE_HINT 1
16208#define V_DIS_PAGE_HINT(x) ((x) << S_DIS_PAGE_HINT)
16209#define F_DIS_PAGE_HINT V_DIS_PAGE_HINT(1U)
16210
16211#define S_DIS_ADV_ARB 0
16212#define V_DIS_ADV_ARB(x) ((x) << S_DIS_ADV_ARB)
16213#define F_DIS_ADV_ARB V_DIS_ADV_ARB(1U)
16214
16215#define S_DIS_BANK_FAIR 2
16216#define V_DIS_BANK_FAIR(x) ((x) << S_DIS_BANK_FAIR)
16217#define F_DIS_BANK_FAIR V_DIS_BANK_FAIR(1U)
16218
16219#define S_HMA_WRT_EN 26
16220#define V_HMA_WRT_EN(x) ((x) << S_HMA_WRT_EN)
16221#define F_HMA_WRT_EN V_HMA_WRT_EN(1U)
16222
16223#define S_HMA_NUM_PG_128B_FDBK 21
16224#define M_HMA_NUM_PG_128B_FDBK 0x1fU
16225#define V_HMA_NUM_PG_128B_FDBK(x) ((x) << S_HMA_NUM_PG_128B_FDBK)
16226#define G_HMA_NUM_PG_128B_FDBK(x) (((x) >> S_HMA_NUM_PG_128B_FDBK) & M_HMA_NUM_PG_128B_FDBK)
16227
16228#define S_HMA_DIS_128B_PG_CNT_FDBK 20
16229#define V_HMA_DIS_128B_PG_CNT_FDBK(x) ((x) << S_HMA_DIS_128B_PG_CNT_FDBK)
16230#define F_HMA_DIS_128B_PG_CNT_FDBK V_HMA_DIS_128B_PG_CNT_FDBK(1U)
16231
16232#define S_HMA_DIS_BG_ARB 19
16233#define V_HMA_DIS_BG_ARB(x) ((x) << S_HMA_DIS_BG_ARB)
16234#define F_HMA_DIS_BG_ARB V_HMA_DIS_BG_ARB(1U)
16235
16236#define S_HMA_DIS_BANK_FAIR 18
16237#define V_HMA_DIS_BANK_FAIR(x) ((x) << S_HMA_DIS_BANK_FAIR)
16238#define F_HMA_DIS_BANK_FAIR V_HMA_DIS_BANK_FAIR(1U)
16239
16240#define S_HMA_DIS_PAGE_HINT 17
16241#define V_HMA_DIS_PAGE_HINT(x) ((x) << S_HMA_DIS_PAGE_HINT)
16242#define F_HMA_DIS_PAGE_HINT V_HMA_DIS_PAGE_HINT(1U)
16243
16244#define S_HMA_DIS_ADV_ARB 16
16245#define V_HMA_DIS_ADV_ARB(x) ((x) << S_HMA_DIS_ADV_ARB)
16246#define F_HMA_DIS_ADV_ARB V_HMA_DIS_ADV_ARB(1U)
16247
16248#define S_NUM_PG_128B_FDBK 5
16249#define M_NUM_PG_128B_FDBK 0x1fU
16250#define V_NUM_PG_128B_FDBK(x) ((x) << S_NUM_PG_128B_FDBK)
16251#define G_NUM_PG_128B_FDBK(x) (((x) >> S_NUM_PG_128B_FDBK) & M_NUM_PG_128B_FDBK)
16252
16253#define S_DIS_128B_PG_CNT_FDBK 4
16254#define V_DIS_128B_PG_CNT_FDBK(x) ((x) << S_DIS_128B_PG_CNT_FDBK)
16255#define F_DIS_128B_PG_CNT_FDBK V_DIS_128B_PG_CNT_FDBK(1U)
16256
16257#define S_DIS_BG_ARB 3
16258#define V_DIS_BG_ARB(x) ((x) << S_DIS_BG_ARB)
16259#define F_DIS_BG_ARB V_DIS_BG_ARB(1U)
16260
16261#define A_MA_TARGET_MEM_ENABLE 0x77d8
16262
16263#define S_HMA_ENABLE 3
16264#define V_HMA_ENABLE(x) ((x) << S_HMA_ENABLE)
16265#define F_HMA_ENABLE V_HMA_ENABLE(1U)
16266
16267#define S_EXT_MEM_ENABLE 2
16268#define V_EXT_MEM_ENABLE(x) ((x) << S_EXT_MEM_ENABLE)
16269#define F_EXT_MEM_ENABLE V_EXT_MEM_ENABLE(1U)
16270
16271#define S_EDRAM1_ENABLE 1
16272#define V_EDRAM1_ENABLE(x) ((x) << S_EDRAM1_ENABLE)
16273#define F_EDRAM1_ENABLE V_EDRAM1_ENABLE(1U)
16274
16275#define S_EDRAM0_ENABLE 0
16276#define V_EDRAM0_ENABLE(x) ((x) << S_EDRAM0_ENABLE)
16277#define F_EDRAM0_ENABLE V_EDRAM0_ENABLE(1U)
16278
16279#define S_HMA_MUX 5
16280#define V_HMA_MUX(x) ((x) << S_HMA_MUX)
16281#define F_HMA_MUX V_HMA_MUX(1U)
16282
16283#define S_EXT_MEM1_ENABLE 4
16284#define V_EXT_MEM1_ENABLE(x) ((x) << S_EXT_MEM1_ENABLE)
16285#define F_EXT_MEM1_ENABLE V_EXT_MEM1_ENABLE(1U)
16286
16287#define S_EXT_MEM0_ENABLE 2
16288#define V_EXT_MEM0_ENABLE(x) ((x) << S_EXT_MEM0_ENABLE)
16289#define F_EXT_MEM0_ENABLE V_EXT_MEM0_ENABLE(1U)
16290
16291#define S_MC_SPLIT 6
16292#define V_MC_SPLIT(x) ((x) << S_MC_SPLIT)
16293#define F_MC_SPLIT V_MC_SPLIT(1U)
16294
16295#define A_MA_INT_ENABLE 0x77dc
16296
16297#define S_MEM_PERR_INT_ENABLE 1
16298#define V_MEM_PERR_INT_ENABLE(x) ((x) << S_MEM_PERR_INT_ENABLE)
16299#define F_MEM_PERR_INT_ENABLE V_MEM_PERR_INT_ENABLE(1U)
16300
16301#define S_MEM_WRAP_INT_ENABLE 0
16302#define V_MEM_WRAP_INT_ENABLE(x) ((x) << S_MEM_WRAP_INT_ENABLE)
16303#define F_MEM_WRAP_INT_ENABLE V_MEM_WRAP_INT_ENABLE(1U)
16304
16305#define S_MEM_TO_INT_ENABLE 2
16306#define V_MEM_TO_INT_ENABLE(x) ((x) << S_MEM_TO_INT_ENABLE)
16307#define F_MEM_TO_INT_ENABLE V_MEM_TO_INT_ENABLE(1U)
16308
16309#define A_MA_INT_CAUSE 0x77e0
16310
16311#define S_MEM_PERR_INT_CAUSE 1
16312#define V_MEM_PERR_INT_CAUSE(x) ((x) << S_MEM_PERR_INT_CAUSE)
16313#define F_MEM_PERR_INT_CAUSE V_MEM_PERR_INT_CAUSE(1U)
16314
16315#define S_MEM_WRAP_INT_CAUSE 0
16316#define V_MEM_WRAP_INT_CAUSE(x) ((x) << S_MEM_WRAP_INT_CAUSE)
16317#define F_MEM_WRAP_INT_CAUSE V_MEM_WRAP_INT_CAUSE(1U)
16318
16319#define S_MEM_TO_INT_CAUSE 2
16320#define V_MEM_TO_INT_CAUSE(x) ((x) << S_MEM_TO_INT_CAUSE)
16321#define F_MEM_TO_INT_CAUSE V_MEM_TO_INT_CAUSE(1U)
16322
16323#define A_MA_INT_WRAP_STATUS 0x77e4
16324
16325#define S_MEM_WRAP_ADDRESS 4
16326#define M_MEM_WRAP_ADDRESS 0xfffffffU
16327#define V_MEM_WRAP_ADDRESS(x) ((x) << S_MEM_WRAP_ADDRESS)
16328#define G_MEM_WRAP_ADDRESS(x) (((x) >> S_MEM_WRAP_ADDRESS) & M_MEM_WRAP_ADDRESS)
16329
16330#define S_MEM_WRAP_CLIENT_NUM 0
16331#define M_MEM_WRAP_CLIENT_NUM 0xfU
16332#define V_MEM_WRAP_CLIENT_NUM(x) ((x) << S_MEM_WRAP_CLIENT_NUM)
16333#define G_MEM_WRAP_CLIENT_NUM(x) (((x) >> S_MEM_WRAP_CLIENT_NUM) & M_MEM_WRAP_CLIENT_NUM)
16334
16335#define A_MA_TP_THREAD1_MAPPER 0x77e8
16336
16337#define S_TP_THREAD1_EN 0
16338#define M_TP_THREAD1_EN 0xffU
16339#define V_TP_THREAD1_EN(x) ((x) << S_TP_THREAD1_EN)
16340#define G_TP_THREAD1_EN(x) (((x) >> S_TP_THREAD1_EN) & M_TP_THREAD1_EN)
16341
16342#define A_MA_SGE_THREAD1_MAPPER 0x77ec
16343
16344#define S_SGE_THREAD1_EN 0
16345#define M_SGE_THREAD1_EN 0xffU
16346#define V_SGE_THREAD1_EN(x) ((x) << S_SGE_THREAD1_EN)
16347#define G_SGE_THREAD1_EN(x) (((x) >> S_SGE_THREAD1_EN) & M_SGE_THREAD1_EN)
16348
16349#define A_MA_PARITY_ERROR_ENABLE 0x77f0
16350
16351#define S_TP_DMARBT_PAR_ERROR_EN 31
16352#define V_TP_DMARBT_PAR_ERROR_EN(x) ((x) << S_TP_DMARBT_PAR_ERROR_EN)
16353#define F_TP_DMARBT_PAR_ERROR_EN V_TP_DMARBT_PAR_ERROR_EN(1U)
16354
16355#define S_LOGIC_FIFO_PAR_ERROR_EN 30
16356#define V_LOGIC_FIFO_PAR_ERROR_EN(x) ((x) << S_LOGIC_FIFO_PAR_ERROR_EN)
16357#define F_LOGIC_FIFO_PAR_ERROR_EN V_LOGIC_FIFO_PAR_ERROR_EN(1U)
16358
16359#define S_ARB3_PAR_WRQUEUE_ERROR_EN 29
16360#define V_ARB3_PAR_WRQUEUE_ERROR_EN(x) ((x) << S_ARB3_PAR_WRQUEUE_ERROR_EN)
16361#define F_ARB3_PAR_WRQUEUE_ERROR_EN V_ARB3_PAR_WRQUEUE_ERROR_EN(1U)
16362
16363#define S_ARB2_PAR_WRQUEUE_ERROR_EN 28
16364#define V_ARB2_PAR_WRQUEUE_ERROR_EN(x) ((x) << S_ARB2_PAR_WRQUEUE_ERROR_EN)
16365#define F_ARB2_PAR_WRQUEUE_ERROR_EN V_ARB2_PAR_WRQUEUE_ERROR_EN(1U)
16366
16367#define S_ARB1_PAR_WRQUEUE_ERROR_EN 27
16368#define V_ARB1_PAR_WRQUEUE_ERROR_EN(x) ((x) << S_ARB1_PAR_WRQUEUE_ERROR_EN)
16369#define F_ARB1_PAR_WRQUEUE_ERROR_EN V_ARB1_PAR_WRQUEUE_ERROR_EN(1U)
16370
16371#define S_ARB0_PAR_WRQUEUE_ERROR_EN 26
16372#define V_ARB0_PAR_WRQUEUE_ERROR_EN(x) ((x) << S_ARB0_PAR_WRQUEUE_ERROR_EN)
16373#define F_ARB0_PAR_WRQUEUE_ERROR_EN V_ARB0_PAR_WRQUEUE_ERROR_EN(1U)
16374
16375#define S_ARB3_PAR_RDQUEUE_ERROR_EN 25
16376#define V_ARB3_PAR_RDQUEUE_ERROR_EN(x) ((x) << S_ARB3_PAR_RDQUEUE_ERROR_EN)
16377#define F_ARB3_PAR_RDQUEUE_ERROR_EN V_ARB3_PAR_RDQUEUE_ERROR_EN(1U)
16378
16379#define S_ARB2_PAR_RDQUEUE_ERROR_EN 24
16380#define V_ARB2_PAR_RDQUEUE_ERROR_EN(x) ((x) << S_ARB2_PAR_RDQUEUE_ERROR_EN)
16381#define F_ARB2_PAR_RDQUEUE_ERROR_EN V_ARB2_PAR_RDQUEUE_ERROR_EN(1U)
16382
16383#define S_ARB1_PAR_RDQUEUE_ERROR_EN 23
16384#define V_ARB1_PAR_RDQUEUE_ERROR_EN(x) ((x) << S_ARB1_PAR_RDQUEUE_ERROR_EN)
16385#define F_ARB1_PAR_RDQUEUE_ERROR_EN V_ARB1_PAR_RDQUEUE_ERROR_EN(1U)
16386
16387#define S_ARB0_PAR_RDQUEUE_ERROR_EN 22
16388#define V_ARB0_PAR_RDQUEUE_ERROR_EN(x) ((x) << S_ARB0_PAR_RDQUEUE_ERROR_EN)
16389#define F_ARB0_PAR_RDQUEUE_ERROR_EN V_ARB0_PAR_RDQUEUE_ERROR_EN(1U)
16390
16391#define S_CL10_PAR_WRQUEUE_ERROR_EN 21
16392#define V_CL10_PAR_WRQUEUE_ERROR_EN(x) ((x) << S_CL10_PAR_WRQUEUE_ERROR_EN)
16393#define F_CL10_PAR_WRQUEUE_ERROR_EN V_CL10_PAR_WRQUEUE_ERROR_EN(1U)
16394
16395#define S_CL9_PAR_WRQUEUE_ERROR_EN 20
16396#define V_CL9_PAR_WRQUEUE_ERROR_EN(x) ((x) << S_CL9_PAR_WRQUEUE_ERROR_EN)
16397#define F_CL9_PAR_WRQUEUE_ERROR_EN V_CL9_PAR_WRQUEUE_ERROR_EN(1U)
16398
16399#define S_CL8_PAR_WRQUEUE_ERROR_EN 19
16400#define V_CL8_PAR_WRQUEUE_ERROR_EN(x) ((x) << S_CL8_PAR_WRQUEUE_ERROR_EN)
16401#define F_CL8_PAR_WRQUEUE_ERROR_EN V_CL8_PAR_WRQUEUE_ERROR_EN(1U)
16402
16403#define S_CL7_PAR_WRQUEUE_ERROR_EN 18
16404#define V_CL7_PAR_WRQUEUE_ERROR_EN(x) ((x) << S_CL7_PAR_WRQUEUE_ERROR_EN)
16405#define F_CL7_PAR_WRQUEUE_ERROR_EN V_CL7_PAR_WRQUEUE_ERROR_EN(1U)
16406
16407#define S_CL6_PAR_WRQUEUE_ERROR_EN 17
16408#define V_CL6_PAR_WRQUEUE_ERROR_EN(x) ((x) << S_CL6_PAR_WRQUEUE_ERROR_EN)
16409#define F_CL6_PAR_WRQUEUE_ERROR_EN V_CL6_PAR_WRQUEUE_ERROR_EN(1U)
16410
16411#define S_CL5_PAR_WRQUEUE_ERROR_EN 16
16412#define V_CL5_PAR_WRQUEUE_ERROR_EN(x) ((x) << S_CL5_PAR_WRQUEUE_ERROR_EN)
16413#define F_CL5_PAR_WRQUEUE_ERROR_EN V_CL5_PAR_WRQUEUE_ERROR_EN(1U)
16414
16415#define S_CL4_PAR_WRQUEUE_ERROR_EN 15
16416#define V_CL4_PAR_WRQUEUE_ERROR_EN(x) ((x) << S_CL4_PAR_WRQUEUE_ERROR_EN)
16417#define F_CL4_PAR_WRQUEUE_ERROR_EN V_CL4_PAR_WRQUEUE_ERROR_EN(1U)
16418
16419#define S_CL3_PAR_WRQUEUE_ERROR_EN 14
16420#define V_CL3_PAR_WRQUEUE_ERROR_EN(x) ((x) << S_CL3_PAR_WRQUEUE_ERROR_EN)
16421#define F_CL3_PAR_WRQUEUE_ERROR_EN V_CL3_PAR_WRQUEUE_ERROR_EN(1U)
16422
16423#define S_CL2_PAR_WRQUEUE_ERROR_EN 13
16424#define V_CL2_PAR_WRQUEUE_ERROR_EN(x) ((x) << S_CL2_PAR_WRQUEUE_ERROR_EN)
16425#define F_CL2_PAR_WRQUEUE_ERROR_EN V_CL2_PAR_WRQUEUE_ERROR_EN(1U)
16426
16427#define S_CL1_PAR_WRQUEUE_ERROR_EN 12
16428#define V_CL1_PAR_WRQUEUE_ERROR_EN(x) ((x) << S_CL1_PAR_WRQUEUE_ERROR_EN)
16429#define F_CL1_PAR_WRQUEUE_ERROR_EN V_CL1_PAR_WRQUEUE_ERROR_EN(1U)
16430
16431#define S_CL0_PAR_WRQUEUE_ERROR_EN 11
16432#define V_CL0_PAR_WRQUEUE_ERROR_EN(x) ((x) << S_CL0_PAR_WRQUEUE_ERROR_EN)
16433#define F_CL0_PAR_WRQUEUE_ERROR_EN V_CL0_PAR_WRQUEUE_ERROR_EN(1U)
16434
16435#define S_CL10_PAR_RDQUEUE_ERROR_EN 10
16436#define V_CL10_PAR_RDQUEUE_ERROR_EN(x) ((x) << S_CL10_PAR_RDQUEUE_ERROR_EN)
16437#define F_CL10_PAR_RDQUEUE_ERROR_EN V_CL10_PAR_RDQUEUE_ERROR_EN(1U)
16438
16439#define S_CL9_PAR_RDQUEUE_ERROR_EN 9
16440#define V_CL9_PAR_RDQUEUE_ERROR_EN(x) ((x) << S_CL9_PAR_RDQUEUE_ERROR_EN)
16441#define F_CL9_PAR_RDQUEUE_ERROR_EN V_CL9_PAR_RDQUEUE_ERROR_EN(1U)
16442
16443#define S_CL8_PAR_RDQUEUE_ERROR_EN 8
16444#define V_CL8_PAR_RDQUEUE_ERROR_EN(x) ((x) << S_CL8_PAR_RDQUEUE_ERROR_EN)
16445#define F_CL8_PAR_RDQUEUE_ERROR_EN V_CL8_PAR_RDQUEUE_ERROR_EN(1U)
16446
16447#define S_CL7_PAR_RDQUEUE_ERROR_EN 7
16448#define V_CL7_PAR_RDQUEUE_ERROR_EN(x) ((x) << S_CL7_PAR_RDQUEUE_ERROR_EN)
16449#define F_CL7_PAR_RDQUEUE_ERROR_EN V_CL7_PAR_RDQUEUE_ERROR_EN(1U)
16450
16451#define S_CL6_PAR_RDQUEUE_ERROR_EN 6
16452#define V_CL6_PAR_RDQUEUE_ERROR_EN(x) ((x) << S_CL6_PAR_RDQUEUE_ERROR_EN)
16453#define F_CL6_PAR_RDQUEUE_ERROR_EN V_CL6_PAR_RDQUEUE_ERROR_EN(1U)
16454
16455#define S_CL5_PAR_RDQUEUE_ERROR_EN 5
16456#define V_CL5_PAR_RDQUEUE_ERROR_EN(x) ((x) << S_CL5_PAR_RDQUEUE_ERROR_EN)
16457#define F_CL5_PAR_RDQUEUE_ERROR_EN V_CL5_PAR_RDQUEUE_ERROR_EN(1U)
16458
16459#define S_CL4_PAR_RDQUEUE_ERROR_EN 4
16460#define V_CL4_PAR_RDQUEUE_ERROR_EN(x) ((x) << S_CL4_PAR_RDQUEUE_ERROR_EN)
16461#define F_CL4_PAR_RDQUEUE_ERROR_EN V_CL4_PAR_RDQUEUE_ERROR_EN(1U)
16462
16463#define S_CL3_PAR_RDQUEUE_ERROR_EN 3
16464#define V_CL3_PAR_RDQUEUE_ERROR_EN(x) ((x) << S_CL3_PAR_RDQUEUE_ERROR_EN)
16465#define F_CL3_PAR_RDQUEUE_ERROR_EN V_CL3_PAR_RDQUEUE_ERROR_EN(1U)
16466
16467#define S_CL2_PAR_RDQUEUE_ERROR_EN 2
16468#define V_CL2_PAR_RDQUEUE_ERROR_EN(x) ((x) << S_CL2_PAR_RDQUEUE_ERROR_EN)
16469#define F_CL2_PAR_RDQUEUE_ERROR_EN V_CL2_PAR_RDQUEUE_ERROR_EN(1U)
16470
16471#define S_CL1_PAR_RDQUEUE_ERROR_EN 1
16472#define V_CL1_PAR_RDQUEUE_ERROR_EN(x) ((x) << S_CL1_PAR_RDQUEUE_ERROR_EN)
16473#define F_CL1_PAR_RDQUEUE_ERROR_EN V_CL1_PAR_RDQUEUE_ERROR_EN(1U)
16474
16475#define S_CL0_PAR_RDQUEUE_ERROR_EN 0
16476#define V_CL0_PAR_RDQUEUE_ERROR_EN(x) ((x) << S_CL0_PAR_RDQUEUE_ERROR_EN)
16477#define F_CL0_PAR_RDQUEUE_ERROR_EN V_CL0_PAR_RDQUEUE_ERROR_EN(1U)
16478
16479#define A_MA_PARITY_ERROR_ENABLE1 0x77f0
16480#define A_MA_PARITY_ERROR_STATUS 0x77f4
16481
16482#define S_TP_DMARBT_PAR_ERROR 31
16483#define V_TP_DMARBT_PAR_ERROR(x) ((x) << S_TP_DMARBT_PAR_ERROR)
16484#define F_TP_DMARBT_PAR_ERROR V_TP_DMARBT_PAR_ERROR(1U)
16485
16486#define S_LOGIC_FIFO_PAR_ERROR 30
16487#define V_LOGIC_FIFO_PAR_ERROR(x) ((x) << S_LOGIC_FIFO_PAR_ERROR)
16488#define F_LOGIC_FIFO_PAR_ERROR V_LOGIC_FIFO_PAR_ERROR(1U)
16489
16490#define S_ARB3_PAR_WRQUEUE_ERROR 29
16491#define V_ARB3_PAR_WRQUEUE_ERROR(x) ((x) << S_ARB3_PAR_WRQUEUE_ERROR)
16492#define F_ARB3_PAR_WRQUEUE_ERROR V_ARB3_PAR_WRQUEUE_ERROR(1U)
16493
16494#define S_ARB2_PAR_WRQUEUE_ERROR 28
16495#define V_ARB2_PAR_WRQUEUE_ERROR(x) ((x) << S_ARB2_PAR_WRQUEUE_ERROR)
16496#define F_ARB2_PAR_WRQUEUE_ERROR V_ARB2_PAR_WRQUEUE_ERROR(1U)
16497
16498#define S_ARB1_PAR_WRQUEUE_ERROR 27
16499#define V_ARB1_PAR_WRQUEUE_ERROR(x) ((x) << S_ARB1_PAR_WRQUEUE_ERROR)
16500#define F_ARB1_PAR_WRQUEUE_ERROR V_ARB1_PAR_WRQUEUE_ERROR(1U)
16501
16502#define S_ARB0_PAR_WRQUEUE_ERROR 26
16503#define V_ARB0_PAR_WRQUEUE_ERROR(x) ((x) << S_ARB0_PAR_WRQUEUE_ERROR)
16504#define F_ARB0_PAR_WRQUEUE_ERROR V_ARB0_PAR_WRQUEUE_ERROR(1U)
16505
16506#define S_ARB3_PAR_RDQUEUE_ERROR 25
16507#define V_ARB3_PAR_RDQUEUE_ERROR(x) ((x) << S_ARB3_PAR_RDQUEUE_ERROR)
16508#define F_ARB3_PAR_RDQUEUE_ERROR V_ARB3_PAR_RDQUEUE_ERROR(1U)
16509
16510#define S_ARB2_PAR_RDQUEUE_ERROR 24
16511#define V_ARB2_PAR_RDQUEUE_ERROR(x) ((x) << S_ARB2_PAR_RDQUEUE_ERROR)
16512#define F_ARB2_PAR_RDQUEUE_ERROR V_ARB2_PAR_RDQUEUE_ERROR(1U)
16513
16514#define S_ARB1_PAR_RDQUEUE_ERROR 23
16515#define V_ARB1_PAR_RDQUEUE_ERROR(x) ((x) << S_ARB1_PAR_RDQUEUE_ERROR)
16516#define F_ARB1_PAR_RDQUEUE_ERROR V_ARB1_PAR_RDQUEUE_ERROR(1U)
16517
16518#define S_ARB0_PAR_RDQUEUE_ERROR 22
16519#define V_ARB0_PAR_RDQUEUE_ERROR(x) ((x) << S_ARB0_PAR_RDQUEUE_ERROR)
16520#define F_ARB0_PAR_RDQUEUE_ERROR V_ARB0_PAR_RDQUEUE_ERROR(1U)
16521
16522#define S_CL10_PAR_WRQUEUE_ERROR 21
16523#define V_CL10_PAR_WRQUEUE_ERROR(x) ((x) << S_CL10_PAR_WRQUEUE_ERROR)
16524#define F_CL10_PAR_WRQUEUE_ERROR V_CL10_PAR_WRQUEUE_ERROR(1U)
16525
16526#define S_CL9_PAR_WRQUEUE_ERROR 20
16527#define V_CL9_PAR_WRQUEUE_ERROR(x) ((x) << S_CL9_PAR_WRQUEUE_ERROR)
16528#define F_CL9_PAR_WRQUEUE_ERROR V_CL9_PAR_WRQUEUE_ERROR(1U)
16529
16530#define S_CL8_PAR_WRQUEUE_ERROR 19
16531#define V_CL8_PAR_WRQUEUE_ERROR(x) ((x) << S_CL8_PAR_WRQUEUE_ERROR)
16532#define F_CL8_PAR_WRQUEUE_ERROR V_CL8_PAR_WRQUEUE_ERROR(1U)
16533
16534#define S_CL7_PAR_WRQUEUE_ERROR 18
16535#define V_CL7_PAR_WRQUEUE_ERROR(x) ((x) << S_CL7_PAR_WRQUEUE_ERROR)
16536#define F_CL7_PAR_WRQUEUE_ERROR V_CL7_PAR_WRQUEUE_ERROR(1U)
16537
16538#define S_CL6_PAR_WRQUEUE_ERROR 17
16539#define V_CL6_PAR_WRQUEUE_ERROR(x) ((x) << S_CL6_PAR_WRQUEUE_ERROR)
16540#define F_CL6_PAR_WRQUEUE_ERROR V_CL6_PAR_WRQUEUE_ERROR(1U)
16541
16542#define S_CL5_PAR_WRQUEUE_ERROR 16
16543#define V_CL5_PAR_WRQUEUE_ERROR(x) ((x) << S_CL5_PAR_WRQUEUE_ERROR)
16544#define F_CL5_PAR_WRQUEUE_ERROR V_CL5_PAR_WRQUEUE_ERROR(1U)
16545
16546#define S_CL4_PAR_WRQUEUE_ERROR 15
16547#define V_CL4_PAR_WRQUEUE_ERROR(x) ((x) << S_CL4_PAR_WRQUEUE_ERROR)
16548#define F_CL4_PAR_WRQUEUE_ERROR V_CL4_PAR_WRQUEUE_ERROR(1U)
16549
16550#define S_CL3_PAR_WRQUEUE_ERROR 14
16551#define V_CL3_PAR_WRQUEUE_ERROR(x) ((x) << S_CL3_PAR_WRQUEUE_ERROR)
16552#define F_CL3_PAR_WRQUEUE_ERROR V_CL3_PAR_WRQUEUE_ERROR(1U)
16553
16554#define S_CL2_PAR_WRQUEUE_ERROR 13
16555#define V_CL2_PAR_WRQUEUE_ERROR(x) ((x) << S_CL2_PAR_WRQUEUE_ERROR)
16556#define F_CL2_PAR_WRQUEUE_ERROR V_CL2_PAR_WRQUEUE_ERROR(1U)
16557
16558#define S_CL1_PAR_WRQUEUE_ERROR 12
16559#define V_CL1_PAR_WRQUEUE_ERROR(x) ((x) << S_CL1_PAR_WRQUEUE_ERROR)
16560#define F_CL1_PAR_WRQUEUE_ERROR V_CL1_PAR_WRQUEUE_ERROR(1U)
16561
16562#define S_CL0_PAR_WRQUEUE_ERROR 11
16563#define V_CL0_PAR_WRQUEUE_ERROR(x) ((x) << S_CL0_PAR_WRQUEUE_ERROR)
16564#define F_CL0_PAR_WRQUEUE_ERROR V_CL0_PAR_WRQUEUE_ERROR(1U)
16565
16566#define S_CL10_PAR_RDQUEUE_ERROR 10
16567#define V_CL10_PAR_RDQUEUE_ERROR(x) ((x) << S_CL10_PAR_RDQUEUE_ERROR)
16568#define F_CL10_PAR_RDQUEUE_ERROR V_CL10_PAR_RDQUEUE_ERROR(1U)
16569
16570#define S_CL9_PAR_RDQUEUE_ERROR 9
16571#define V_CL9_PAR_RDQUEUE_ERROR(x) ((x) << S_CL9_PAR_RDQUEUE_ERROR)
16572#define F_CL9_PAR_RDQUEUE_ERROR V_CL9_PAR_RDQUEUE_ERROR(1U)
16573
16574#define S_CL8_PAR_RDQUEUE_ERROR 8
16575#define V_CL8_PAR_RDQUEUE_ERROR(x) ((x) << S_CL8_PAR_RDQUEUE_ERROR)
16576#define F_CL8_PAR_RDQUEUE_ERROR V_CL8_PAR_RDQUEUE_ERROR(1U)
16577
16578#define S_CL7_PAR_RDQUEUE_ERROR 7
16579#define V_CL7_PAR_RDQUEUE_ERROR(x) ((x) << S_CL7_PAR_RDQUEUE_ERROR)
16580#define F_CL7_PAR_RDQUEUE_ERROR V_CL7_PAR_RDQUEUE_ERROR(1U)
16581
16582#define S_CL6_PAR_RDQUEUE_ERROR 6
16583#define V_CL6_PAR_RDQUEUE_ERROR(x) ((x) << S_CL6_PAR_RDQUEUE_ERROR)
16584#define F_CL6_PAR_RDQUEUE_ERROR V_CL6_PAR_RDQUEUE_ERROR(1U)
16585
16586#define S_CL5_PAR_RDQUEUE_ERROR 5
16587#define V_CL5_PAR_RDQUEUE_ERROR(x) ((x) << S_CL5_PAR_RDQUEUE_ERROR)
16588#define F_CL5_PAR_RDQUEUE_ERROR V_CL5_PAR_RDQUEUE_ERROR(1U)
16589
16590#define S_CL4_PAR_RDQUEUE_ERROR 4
16591#define V_CL4_PAR_RDQUEUE_ERROR(x) ((x) << S_CL4_PAR_RDQUEUE_ERROR)
16592#define F_CL4_PAR_RDQUEUE_ERROR V_CL4_PAR_RDQUEUE_ERROR(1U)
16593
16594#define S_CL3_PAR_RDQUEUE_ERROR 3
16595#define V_CL3_PAR_RDQUEUE_ERROR(x) ((x) << S_CL3_PAR_RDQUEUE_ERROR)
16596#define F_CL3_PAR_RDQUEUE_ERROR V_CL3_PAR_RDQUEUE_ERROR(1U)
16597
16598#define S_CL2_PAR_RDQUEUE_ERROR 2
16599#define V_CL2_PAR_RDQUEUE_ERROR(x) ((x) << S_CL2_PAR_RDQUEUE_ERROR)
16600#define F_CL2_PAR_RDQUEUE_ERROR V_CL2_PAR_RDQUEUE_ERROR(1U)
16601
16602#define S_CL1_PAR_RDQUEUE_ERROR 1
16603#define V_CL1_PAR_RDQUEUE_ERROR(x) ((x) << S_CL1_PAR_RDQUEUE_ERROR)
16604#define F_CL1_PAR_RDQUEUE_ERROR V_CL1_PAR_RDQUEUE_ERROR(1U)
16605
16606#define S_CL0_PAR_RDQUEUE_ERROR 0
16607#define V_CL0_PAR_RDQUEUE_ERROR(x) ((x) << S_CL0_PAR_RDQUEUE_ERROR)
16608#define F_CL0_PAR_RDQUEUE_ERROR V_CL0_PAR_RDQUEUE_ERROR(1U)
16609
16610#define A_MA_PARITY_ERROR_STATUS1 0x77f4
16611#define A_MA_SGE_PCIE_COHERANCY_CTRL 0x77f8
16612
16613#define S_BONUS_REG 6
16614#define M_BONUS_REG 0x3ffffffU
16615#define V_BONUS_REG(x) ((x) << S_BONUS_REG)
16616#define G_BONUS_REG(x) (((x) >> S_BONUS_REG) & M_BONUS_REG)
16617
16618#define S_COHERANCY_CMD_TYPE 4
16619#define M_COHERANCY_CMD_TYPE 0x3U
16620#define V_COHERANCY_CMD_TYPE(x) ((x) << S_COHERANCY_CMD_TYPE)
16621#define G_COHERANCY_CMD_TYPE(x) (((x) >> S_COHERANCY_CMD_TYPE) & M_COHERANCY_CMD_TYPE)
16622
16623#define S_COHERANCY_THREAD_NUM 1
16624#define M_COHERANCY_THREAD_NUM 0x7U
16625#define V_COHERANCY_THREAD_NUM(x) ((x) << S_COHERANCY_THREAD_NUM)
16626#define G_COHERANCY_THREAD_NUM(x) (((x) >> S_COHERANCY_THREAD_NUM) & M_COHERANCY_THREAD_NUM)
16627
16628#define S_COHERANCY_ENABLE 0
16629#define V_COHERANCY_ENABLE(x) ((x) << S_COHERANCY_ENABLE)
16630#define F_COHERANCY_ENABLE V_COHERANCY_ENABLE(1U)
16631
16632#define A_MA_ERROR_ENABLE 0x77fc
16633
16634#define S_UE_ENABLE 0
16635#define V_UE_ENABLE(x) ((x) << S_UE_ENABLE)
16636#define F_UE_ENABLE V_UE_ENABLE(1U)
16637
16638#define S_FUTURE_EXPANSION 1
16639#define M_FUTURE_EXPANSION 0x7fffffffU
16640#define V_FUTURE_EXPANSION(x) ((x) << S_FUTURE_EXPANSION)
16641#define G_FUTURE_EXPANSION(x) (((x) >> S_FUTURE_EXPANSION) & M_FUTURE_EXPANSION)
16642
16643#define S_FUTURE_EXPANSION_EE 1
16644#define M_FUTURE_EXPANSION_EE 0x7fffffffU
16645#define V_FUTURE_EXPANSION_EE(x) ((x) << S_FUTURE_EXPANSION_EE)
16646#define G_FUTURE_EXPANSION_EE(x) (((x) >> S_FUTURE_EXPANSION_EE) & M_FUTURE_EXPANSION_EE)
16647
16648#define A_MA_PARITY_ERROR_ENABLE2 0x7800
16649
16650#define S_ARB4_PAR_WRQUEUE_ERROR_EN 1
16651#define V_ARB4_PAR_WRQUEUE_ERROR_EN(x) ((x) << S_ARB4_PAR_WRQUEUE_ERROR_EN)
16652#define F_ARB4_PAR_WRQUEUE_ERROR_EN V_ARB4_PAR_WRQUEUE_ERROR_EN(1U)
16653
16654#define S_ARB4_PAR_RDQUEUE_ERROR_EN 0
16655#define V_ARB4_PAR_RDQUEUE_ERROR_EN(x) ((x) << S_ARB4_PAR_RDQUEUE_ERROR_EN)
16656#define F_ARB4_PAR_RDQUEUE_ERROR_EN V_ARB4_PAR_RDQUEUE_ERROR_EN(1U)
16657
16658#define A_MA_PARITY_ERROR_STATUS2 0x7804
16659
16660#define S_ARB4_PAR_WRQUEUE_ERROR 1
16661#define V_ARB4_PAR_WRQUEUE_ERROR(x) ((x) << S_ARB4_PAR_WRQUEUE_ERROR)
16662#define F_ARB4_PAR_WRQUEUE_ERROR V_ARB4_PAR_WRQUEUE_ERROR(1U)
16663
16664#define S_ARB4_PAR_RDQUEUE_ERROR 0
16665#define V_ARB4_PAR_RDQUEUE_ERROR(x) ((x) << S_ARB4_PAR_RDQUEUE_ERROR)
16666#define F_ARB4_PAR_RDQUEUE_ERROR V_ARB4_PAR_RDQUEUE_ERROR(1U)
16667
16668#define A_MA_EXT_MEMORY1_BAR 0x7808
16669
16670#define S_EXT_MEM1_BASE 16
16671#define M_EXT_MEM1_BASE 0xfffU
16672#define V_EXT_MEM1_BASE(x) ((x) << S_EXT_MEM1_BASE)
16673#define G_EXT_MEM1_BASE(x) (((x) >> S_EXT_MEM1_BASE) & M_EXT_MEM1_BASE)
16674
16675#define S_EXT_MEM1_SIZE 0
16676#define M_EXT_MEM1_SIZE 0xfffU
16677#define V_EXT_MEM1_SIZE(x) ((x) << S_EXT_MEM1_SIZE)
16678#define G_EXT_MEM1_SIZE(x) (((x) >> S_EXT_MEM1_SIZE) & M_EXT_MEM1_SIZE)
16679
16680#define A_MA_PMTX_THROTTLE 0x780c
16681
16682#define S_FL_ENABLE 31
16683#define V_FL_ENABLE(x) ((x) << S_FL_ENABLE)
16684#define F_FL_ENABLE V_FL_ENABLE(1U)
16685
16686#define S_FL_LIMIT 0
16687#define M_FL_LIMIT 0xffU
16688#define V_FL_LIMIT(x) ((x) << S_FL_LIMIT)
16689#define G_FL_LIMIT(x) (((x) >> S_FL_LIMIT) & M_FL_LIMIT)
16690
16691#define A_MA_PMRX_THROTTLE 0x7810
16692#define A_MA_SGE_TH0_WRDATA_CNT 0x7814
16693#define A_MA_SGE_TH1_WRDATA_CNT 0x7818
16694#define A_MA_ULPTX_WRDATA_CNT 0x781c
16695#define A_MA_ULPRX_WRDATA_CNT 0x7820
16696#define A_MA_ULPTXRX_WRDATA_CNT 0x7824
16697#define A_MA_TP_TH0_WRDATA_CNT 0x7828
16698#define A_MA_TP_TH1_WRDATA_CNT 0x782c
16699#define A_MA_LE_WRDATA_CNT 0x7830
16700#define A_MA_CIM_WRDATA_CNT 0x7834
16701#define A_MA_PCIE_WRDATA_CNT 0x7838
16702#define A_MA_PMTX_WRDATA_CNT 0x783c
16703#define A_MA_PMRX_WRDATA_CNT 0x7840
16704#define A_MA_HMA_WRDATA_CNT 0x7844
16705#define A_MA_SGE_TH0_RDDATA_CNT 0x7848
16706#define A_MA_SGE_TH1_RDDATA_CNT 0x784c
16707#define A_MA_ULPTX_RDDATA_CNT 0x7850
16708#define A_MA_ULPRX_RDDATA_CNT 0x7854
16709#define A_MA_ULPTXRX_RDDATA_CNT 0x7858
16710#define A_MA_TP_TH0_RDDATA_CNT 0x785c
16711#define A_MA_TP_TH1_RDDATA_CNT 0x7860
16712#define A_MA_LE_RDDATA_CNT 0x7864
16713#define A_MA_CIM_RDDATA_CNT 0x7868
16714#define A_MA_PCIE_RDDATA_CNT 0x786c
16715#define A_MA_PMTX_RDDATA_CNT 0x7870
16716#define A_MA_PMRX_RDDATA_CNT 0x7874
16717#define A_MA_HMA_RDDATA_CNT 0x7878
16718#define A_MA_EDRAM0_WRDATA_CNT1 0x787c
16719#define A_MA_EXIT_ADDR_FAULT 0x787c
16720
16721#define S_EXIT_ADDR_FAULT 0
16722#define V_EXIT_ADDR_FAULT(x) ((x) << S_EXIT_ADDR_FAULT)
16723#define F_EXIT_ADDR_FAULT V_EXIT_ADDR_FAULT(1U)
16724
16725#define A_MA_EDRAM0_WRDATA_CNT0 0x7880
16726#define A_MA_DDR_DEVICE_CFG 0x7880
16727
16728#define S_MEM_WIDTH 1
16729#define M_MEM_WIDTH 0x7U
16730#define V_MEM_WIDTH(x) ((x) << S_MEM_WIDTH)
16731#define G_MEM_WIDTH(x) (((x) >> S_MEM_WIDTH) & M_MEM_WIDTH)
16732
16733#define S_DDR_MODE 0
16734#define V_DDR_MODE(x) ((x) << S_DDR_MODE)
16735#define F_DDR_MODE V_DDR_MODE(1U)
16736
16737#define A_MA_EDRAM1_WRDATA_CNT1 0x7884
16738#define A_MA_EDRAM1_WRDATA_CNT0 0x7888
16739#define A_MA_EXT_MEMORY0_WRDATA_CNT1 0x788c
16740#define A_MA_EXT_MEMORY0_WRDATA_CNT0 0x7890
16741#define A_MA_HOST_MEMORY_WRDATA_CNT1 0x7894
16742#define A_MA_HOST_MEMORY_WRDATA_CNT0 0x7898
16743#define A_MA_EXT_MEMORY1_WRDATA_CNT1 0x789c
16744#define A_MA_EXT_MEMORY1_WRDATA_CNT0 0x78a0
16745#define A_MA_EDRAM0_RDDATA_CNT1 0x78a4
16746#define A_MA_EDRAM0_RDDATA_CNT0 0x78a8
16747#define A_MA_EDRAM1_RDDATA_CNT1 0x78ac
16748#define A_MA_EDRAM1_RDDATA_CNT0 0x78b0
16749#define A_MA_EXT_MEMORY0_RDDATA_CNT1 0x78b4
16750#define A_MA_EXT_MEMORY0_RDDATA_CNT0 0x78b8
16751#define A_MA_HOST_MEMORY_RDDATA_CNT1 0x78bc
16752#define A_MA_HOST_MEMORY_RDDATA_CNT0 0x78c0
16753#define A_MA_EXT_MEMORY1_RDDATA_CNT1 0x78c4
16754#define A_MA_EXT_MEMORY1_RDDATA_CNT0 0x78c8
16755#define A_MA_TIMEOUT_CFG 0x78cc
16756
16757#define S_CLR 31
16758#define V_CLR(x) ((x) << S_CLR)
16759#define F_CLR V_CLR(1U)
16760
16761#define S_CNT_LOCK 30
16762#define V_CNT_LOCK(x) ((x) << S_CNT_LOCK)
16763#define F_CNT_LOCK V_CNT_LOCK(1U)
16764
16765#define S_WRN 24
16766#define V_WRN(x) ((x) << S_WRN)
16767#define F_WRN V_WRN(1U)
16768
16769#define S_DIR 23
16770#define V_DIR(x) ((x) << S_DIR)
16771#define F_DIR V_DIR(1U)
16772
16773#define S_TO_BUS 22
16774#define V_TO_BUS(x) ((x) << S_TO_BUS)
16775#define F_TO_BUS V_TO_BUS(1U)
16776
16777#define S_CLIENT 16
16778#define M_CLIENT 0xfU
16779#define V_CLIENT(x) ((x) << S_CLIENT)
16780#define G_CLIENT(x) (((x) >> S_CLIENT) & M_CLIENT)
16781
16782#define S_DELAY 0
16783#define M_DELAY 0xffffU
16784#define V_DELAY(x) ((x) << S_DELAY)
16785#define G_DELAY(x) (((x) >> S_DELAY) & M_DELAY)
16786
16787#define A_MA_TIMEOUT_CNT 0x78d0
16788
16789#define S_CNT_VAL 0
16790#define M_CNT_VAL 0xffffU
16791#define V_CNT_VAL(x) ((x) << S_CNT_VAL)
16792#define G_CNT_VAL(x) (((x) >> S_CNT_VAL) & M_CNT_VAL)
16793
16794#define A_MA_WRITE_TIMEOUT_ERROR_ENABLE 0x78d4
16795
16796#define S_FUTURE_CEXPANSION 29
16797#define M_FUTURE_CEXPANSION 0x7U
16798#define V_FUTURE_CEXPANSION(x) ((x) << S_FUTURE_CEXPANSION)
16799#define G_FUTURE_CEXPANSION(x) (((x) >> S_FUTURE_CEXPANSION) & M_FUTURE_CEXPANSION)
16800
16801#define S_CL12_WR_CMD_TO_EN 28
16802#define V_CL12_WR_CMD_TO_EN(x) ((x) << S_CL12_WR_CMD_TO_EN)
16803#define F_CL12_WR_CMD_TO_EN V_CL12_WR_CMD_TO_EN(1U)
16804
16805#define S_CL11_WR_CMD_TO_EN 27
16806#define V_CL11_WR_CMD_TO_EN(x) ((x) << S_CL11_WR_CMD_TO_EN)
16807#define F_CL11_WR_CMD_TO_EN V_CL11_WR_CMD_TO_EN(1U)
16808
16809#define S_CL10_WR_CMD_TO_EN 26
16810#define V_CL10_WR_CMD_TO_EN(x) ((x) << S_CL10_WR_CMD_TO_EN)
16811#define F_CL10_WR_CMD_TO_EN V_CL10_WR_CMD_TO_EN(1U)
16812
16813#define S_CL9_WR_CMD_TO_EN 25
16814#define V_CL9_WR_CMD_TO_EN(x) ((x) << S_CL9_WR_CMD_TO_EN)
16815#define F_CL9_WR_CMD_TO_EN V_CL9_WR_CMD_TO_EN(1U)
16816
16817#define S_CL8_WR_CMD_TO_EN 24
16818#define V_CL8_WR_CMD_TO_EN(x) ((x) << S_CL8_WR_CMD_TO_EN)
16819#define F_CL8_WR_CMD_TO_EN V_CL8_WR_CMD_TO_EN(1U)
16820
16821#define S_CL7_WR_CMD_TO_EN 23
16822#define V_CL7_WR_CMD_TO_EN(x) ((x) << S_CL7_WR_CMD_TO_EN)
16823#define F_CL7_WR_CMD_TO_EN V_CL7_WR_CMD_TO_EN(1U)
16824
16825#define S_CL6_WR_CMD_TO_EN 22
16826#define V_CL6_WR_CMD_TO_EN(x) ((x) << S_CL6_WR_CMD_TO_EN)
16827#define F_CL6_WR_CMD_TO_EN V_CL6_WR_CMD_TO_EN(1U)
16828
16829#define S_CL5_WR_CMD_TO_EN 21
16830#define V_CL5_WR_CMD_TO_EN(x) ((x) << S_CL5_WR_CMD_TO_EN)
16831#define F_CL5_WR_CMD_TO_EN V_CL5_WR_CMD_TO_EN(1U)
16832
16833#define S_CL4_WR_CMD_TO_EN 20
16834#define V_CL4_WR_CMD_TO_EN(x) ((x) << S_CL4_WR_CMD_TO_EN)
16835#define F_CL4_WR_CMD_TO_EN V_CL4_WR_CMD_TO_EN(1U)
16836
16837#define S_CL3_WR_CMD_TO_EN 19
16838#define V_CL3_WR_CMD_TO_EN(x) ((x) << S_CL3_WR_CMD_TO_EN)
16839#define F_CL3_WR_CMD_TO_EN V_CL3_WR_CMD_TO_EN(1U)
16840
16841#define S_CL2_WR_CMD_TO_EN 18
16842#define V_CL2_WR_CMD_TO_EN(x) ((x) << S_CL2_WR_CMD_TO_EN)
16843#define F_CL2_WR_CMD_TO_EN V_CL2_WR_CMD_TO_EN(1U)
16844
16845#define S_CL1_WR_CMD_TO_EN 17
16846#define V_CL1_WR_CMD_TO_EN(x) ((x) << S_CL1_WR_CMD_TO_EN)
16847#define F_CL1_WR_CMD_TO_EN V_CL1_WR_CMD_TO_EN(1U)
16848
16849#define S_CL0_WR_CMD_TO_EN 16
16850#define V_CL0_WR_CMD_TO_EN(x) ((x) << S_CL0_WR_CMD_TO_EN)
16851#define F_CL0_WR_CMD_TO_EN V_CL0_WR_CMD_TO_EN(1U)
16852
16853#define S_FUTURE_DEXPANSION 13
16854#define M_FUTURE_DEXPANSION 0x7U
16855#define V_FUTURE_DEXPANSION(x) ((x) << S_FUTURE_DEXPANSION)
16856#define G_FUTURE_DEXPANSION(x) (((x) >> S_FUTURE_DEXPANSION) & M_FUTURE_DEXPANSION)
16857
16858#define S_CL12_WR_DATA_TO_EN 12
16859#define V_CL12_WR_DATA_TO_EN(x) ((x) << S_CL12_WR_DATA_TO_EN)
16860#define F_CL12_WR_DATA_TO_EN V_CL12_WR_DATA_TO_EN(1U)
16861
16862#define S_CL11_WR_DATA_TO_EN 11
16863#define V_CL11_WR_DATA_TO_EN(x) ((x) << S_CL11_WR_DATA_TO_EN)
16864#define F_CL11_WR_DATA_TO_EN V_CL11_WR_DATA_TO_EN(1U)
16865
16866#define S_CL10_WR_DATA_TO_EN 10
16867#define V_CL10_WR_DATA_TO_EN(x) ((x) << S_CL10_WR_DATA_TO_EN)
16868#define F_CL10_WR_DATA_TO_EN V_CL10_WR_DATA_TO_EN(1U)
16869
16870#define S_CL9_WR_DATA_TO_EN 9
16871#define V_CL9_WR_DATA_TO_EN(x) ((x) << S_CL9_WR_DATA_TO_EN)
16872#define F_CL9_WR_DATA_TO_EN V_CL9_WR_DATA_TO_EN(1U)
16873
16874#define S_CL8_WR_DATA_TO_EN 8
16875#define V_CL8_WR_DATA_TO_EN(x) ((x) << S_CL8_WR_DATA_TO_EN)
16876#define F_CL8_WR_DATA_TO_EN V_CL8_WR_DATA_TO_EN(1U)
16877
16878#define S_CL7_WR_DATA_TO_EN 7
16879#define V_CL7_WR_DATA_TO_EN(x) ((x) << S_CL7_WR_DATA_TO_EN)
16880#define F_CL7_WR_DATA_TO_EN V_CL7_WR_DATA_TO_EN(1U)
16881
16882#define S_CL6_WR_DATA_TO_EN 6
16883#define V_CL6_WR_DATA_TO_EN(x) ((x) << S_CL6_WR_DATA_TO_EN)
16884#define F_CL6_WR_DATA_TO_EN V_CL6_WR_DATA_TO_EN(1U)
16885
16886#define S_CL5_WR_DATA_TO_EN 5
16887#define V_CL5_WR_DATA_TO_EN(x) ((x) << S_CL5_WR_DATA_TO_EN)
16888#define F_CL5_WR_DATA_TO_EN V_CL5_WR_DATA_TO_EN(1U)
16889
16890#define S_CL4_WR_DATA_TO_EN 4
16891#define V_CL4_WR_DATA_TO_EN(x) ((x) << S_CL4_WR_DATA_TO_EN)
16892#define F_CL4_WR_DATA_TO_EN V_CL4_WR_DATA_TO_EN(1U)
16893
16894#define S_CL3_WR_DATA_TO_EN 3
16895#define V_CL3_WR_DATA_TO_EN(x) ((x) << S_CL3_WR_DATA_TO_EN)
16896#define F_CL3_WR_DATA_TO_EN V_CL3_WR_DATA_TO_EN(1U)
16897
16898#define S_CL2_WR_DATA_TO_EN 2
16899#define V_CL2_WR_DATA_TO_EN(x) ((x) << S_CL2_WR_DATA_TO_EN)
16900#define F_CL2_WR_DATA_TO_EN V_CL2_WR_DATA_TO_EN(1U)
16901
16902#define S_CL1_WR_DATA_TO_EN 1
16903#define V_CL1_WR_DATA_TO_EN(x) ((x) << S_CL1_WR_DATA_TO_EN)
16904#define F_CL1_WR_DATA_TO_EN V_CL1_WR_DATA_TO_EN(1U)
16905
16906#define S_CL0_WR_DATA_TO_EN 0
16907#define V_CL0_WR_DATA_TO_EN(x) ((x) << S_CL0_WR_DATA_TO_EN)
16908#define F_CL0_WR_DATA_TO_EN V_CL0_WR_DATA_TO_EN(1U)
16909
16910#define S_FUTURE_CEXPANSION_WTE 29
16911#define M_FUTURE_CEXPANSION_WTE 0x7U
16912#define V_FUTURE_CEXPANSION_WTE(x) ((x) << S_FUTURE_CEXPANSION_WTE)
16913#define G_FUTURE_CEXPANSION_WTE(x) (((x) >> S_FUTURE_CEXPANSION_WTE) & M_FUTURE_CEXPANSION_WTE)
16914
16915#define S_FUTURE_DEXPANSION_WTE 13
16916#define M_FUTURE_DEXPANSION_WTE 0x7U
16917#define V_FUTURE_DEXPANSION_WTE(x) ((x) << S_FUTURE_DEXPANSION_WTE)
16918#define G_FUTURE_DEXPANSION_WTE(x) (((x) >> S_FUTURE_DEXPANSION_WTE) & M_FUTURE_DEXPANSION_WTE)
16919
16920#define A_MA_WRITE_TIMEOUT_ERROR_STATUS 0x78d8
16921
16922#define S_CL12_WR_CMD_TO_ERROR 28
16923#define V_CL12_WR_CMD_TO_ERROR(x) ((x) << S_CL12_WR_CMD_TO_ERROR)
16924#define F_CL12_WR_CMD_TO_ERROR V_CL12_WR_CMD_TO_ERROR(1U)
16925
16926#define S_CL11_WR_CMD_TO_ERROR 27
16927#define V_CL11_WR_CMD_TO_ERROR(x) ((x) << S_CL11_WR_CMD_TO_ERROR)
16928#define F_CL11_WR_CMD_TO_ERROR V_CL11_WR_CMD_TO_ERROR(1U)
16929
16930#define S_CL10_WR_CMD_TO_ERROR 26
16931#define V_CL10_WR_CMD_TO_ERROR(x) ((x) << S_CL10_WR_CMD_TO_ERROR)
16932#define F_CL10_WR_CMD_TO_ERROR V_CL10_WR_CMD_TO_ERROR(1U)
16933
16934#define S_CL9_WR_CMD_TO_ERROR 25
16935#define V_CL9_WR_CMD_TO_ERROR(x) ((x) << S_CL9_WR_CMD_TO_ERROR)
16936#define F_CL9_WR_CMD_TO_ERROR V_CL9_WR_CMD_TO_ERROR(1U)
16937
16938#define S_CL8_WR_CMD_TO_ERROR 24
16939#define V_CL8_WR_CMD_TO_ERROR(x) ((x) << S_CL8_WR_CMD_TO_ERROR)
16940#define F_CL8_WR_CMD_TO_ERROR V_CL8_WR_CMD_TO_ERROR(1U)
16941
16942#define S_CL7_WR_CMD_TO_ERROR 23
16943#define V_CL7_WR_CMD_TO_ERROR(x) ((x) << S_CL7_WR_CMD_TO_ERROR)
16944#define F_CL7_WR_CMD_TO_ERROR V_CL7_WR_CMD_TO_ERROR(1U)
16945
16946#define S_CL6_WR_CMD_TO_ERROR 22
16947#define V_CL6_WR_CMD_TO_ERROR(x) ((x) << S_CL6_WR_CMD_TO_ERROR)
16948#define F_CL6_WR_CMD_TO_ERROR V_CL6_WR_CMD_TO_ERROR(1U)
16949
16950#define S_CL5_WR_CMD_TO_ERROR 21
16951#define V_CL5_WR_CMD_TO_ERROR(x) ((x) << S_CL5_WR_CMD_TO_ERROR)
16952#define F_CL5_WR_CMD_TO_ERROR V_CL5_WR_CMD_TO_ERROR(1U)
16953
16954#define S_CL4_WR_CMD_TO_ERROR 20
16955#define V_CL4_WR_CMD_TO_ERROR(x) ((x) << S_CL4_WR_CMD_TO_ERROR)
16956#define F_CL4_WR_CMD_TO_ERROR V_CL4_WR_CMD_TO_ERROR(1U)
16957
16958#define S_CL3_WR_CMD_TO_ERROR 19
16959#define V_CL3_WR_CMD_TO_ERROR(x) ((x) << S_CL3_WR_CMD_TO_ERROR)
16960#define F_CL3_WR_CMD_TO_ERROR V_CL3_WR_CMD_TO_ERROR(1U)
16961
16962#define S_CL2_WR_CMD_TO_ERROR 18
16963#define V_CL2_WR_CMD_TO_ERROR(x) ((x) << S_CL2_WR_CMD_TO_ERROR)
16964#define F_CL2_WR_CMD_TO_ERROR V_CL2_WR_CMD_TO_ERROR(1U)
16965
16966#define S_CL1_WR_CMD_TO_ERROR 17
16967#define V_CL1_WR_CMD_TO_ERROR(x) ((x) << S_CL1_WR_CMD_TO_ERROR)
16968#define F_CL1_WR_CMD_TO_ERROR V_CL1_WR_CMD_TO_ERROR(1U)
16969
16970#define S_CL0_WR_CMD_TO_ERROR 16
16971#define V_CL0_WR_CMD_TO_ERROR(x) ((x) << S_CL0_WR_CMD_TO_ERROR)
16972#define F_CL0_WR_CMD_TO_ERROR V_CL0_WR_CMD_TO_ERROR(1U)
16973
16974#define S_CL12_WR_DATA_TO_ERROR 12
16975#define V_CL12_WR_DATA_TO_ERROR(x) ((x) << S_CL12_WR_DATA_TO_ERROR)
16976#define F_CL12_WR_DATA_TO_ERROR V_CL12_WR_DATA_TO_ERROR(1U)
16977
16978#define S_CL11_WR_DATA_TO_ERROR 11
16979#define V_CL11_WR_DATA_TO_ERROR(x) ((x) << S_CL11_WR_DATA_TO_ERROR)
16980#define F_CL11_WR_DATA_TO_ERROR V_CL11_WR_DATA_TO_ERROR(1U)
16981
16982#define S_CL10_WR_DATA_TO_ERROR 10
16983#define V_CL10_WR_DATA_TO_ERROR(x) ((x) << S_CL10_WR_DATA_TO_ERROR)
16984#define F_CL10_WR_DATA_TO_ERROR V_CL10_WR_DATA_TO_ERROR(1U)
16985
16986#define S_CL9_WR_DATA_TO_ERROR 9
16987#define V_CL9_WR_DATA_TO_ERROR(x) ((x) << S_CL9_WR_DATA_TO_ERROR)
16988#define F_CL9_WR_DATA_TO_ERROR V_CL9_WR_DATA_TO_ERROR(1U)
16989
16990#define S_CL8_WR_DATA_TO_ERROR 8
16991#define V_CL8_WR_DATA_TO_ERROR(x) ((x) << S_CL8_WR_DATA_TO_ERROR)
16992#define F_CL8_WR_DATA_TO_ERROR V_CL8_WR_DATA_TO_ERROR(1U)
16993
16994#define S_CL7_WR_DATA_TO_ERROR 7
16995#define V_CL7_WR_DATA_TO_ERROR(x) ((x) << S_CL7_WR_DATA_TO_ERROR)
16996#define F_CL7_WR_DATA_TO_ERROR V_CL7_WR_DATA_TO_ERROR(1U)
16997
16998#define S_CL6_WR_DATA_TO_ERROR 6
16999#define V_CL6_WR_DATA_TO_ERROR(x) ((x) << S_CL6_WR_DATA_TO_ERROR)
17000#define F_CL6_WR_DATA_TO_ERROR V_CL6_WR_DATA_TO_ERROR(1U)
17001
17002#define S_CL5_WR_DATA_TO_ERROR 5
17003#define V_CL5_WR_DATA_TO_ERROR(x) ((x) << S_CL5_WR_DATA_TO_ERROR)
17004#define F_CL5_WR_DATA_TO_ERROR V_CL5_WR_DATA_TO_ERROR(1U)
17005
17006#define S_CL4_WR_DATA_TO_ERROR 4
17007#define V_CL4_WR_DATA_TO_ERROR(x) ((x) << S_CL4_WR_DATA_TO_ERROR)
17008#define F_CL4_WR_DATA_TO_ERROR V_CL4_WR_DATA_TO_ERROR(1U)
17009
17010#define S_CL3_WR_DATA_TO_ERROR 3
17011#define V_CL3_WR_DATA_TO_ERROR(x) ((x) << S_CL3_WR_DATA_TO_ERROR)
17012#define F_CL3_WR_DATA_TO_ERROR V_CL3_WR_DATA_TO_ERROR(1U)
17013
17014#define S_CL2_WR_DATA_TO_ERROR 2
17015#define V_CL2_WR_DATA_TO_ERROR(x) ((x) << S_CL2_WR_DATA_TO_ERROR)
17016#define F_CL2_WR_DATA_TO_ERROR V_CL2_WR_DATA_TO_ERROR(1U)
17017
17018#define S_CL1_WR_DATA_TO_ERROR 1
17019#define V_CL1_WR_DATA_TO_ERROR(x) ((x) << S_CL1_WR_DATA_TO_ERROR)
17020#define F_CL1_WR_DATA_TO_ERROR V_CL1_WR_DATA_TO_ERROR(1U)
17021
17022#define S_CL0_WR_DATA_TO_ERROR 0
17023#define V_CL0_WR_DATA_TO_ERROR(x) ((x) << S_CL0_WR_DATA_TO_ERROR)
17024#define F_CL0_WR_DATA_TO_ERROR V_CL0_WR_DATA_TO_ERROR(1U)
17025
17026#define S_FUTURE_CEXPANSION_WTS 29
17027#define M_FUTURE_CEXPANSION_WTS 0x7U
17028#define V_FUTURE_CEXPANSION_WTS(x) ((x) << S_FUTURE_CEXPANSION_WTS)
17029#define G_FUTURE_CEXPANSION_WTS(x) (((x) >> S_FUTURE_CEXPANSION_WTS) & M_FUTURE_CEXPANSION_WTS)
17030
17031#define S_FUTURE_DEXPANSION_WTS 13
17032#define M_FUTURE_DEXPANSION_WTS 0x7U
17033#define V_FUTURE_DEXPANSION_WTS(x) ((x) << S_FUTURE_DEXPANSION_WTS)
17034#define G_FUTURE_DEXPANSION_WTS(x) (((x) >> S_FUTURE_DEXPANSION_WTS) & M_FUTURE_DEXPANSION_WTS)
17035
17036#define A_MA_READ_TIMEOUT_ERROR_ENABLE 0x78dc
17037
17038#define S_CL12_RD_CMD_TO_EN 28
17039#define V_CL12_RD_CMD_TO_EN(x) ((x) << S_CL12_RD_CMD_TO_EN)
17040#define F_CL12_RD_CMD_TO_EN V_CL12_RD_CMD_TO_EN(1U)
17041
17042#define S_CL11_RD_CMD_TO_EN 27
17043#define V_CL11_RD_CMD_TO_EN(x) ((x) << S_CL11_RD_CMD_TO_EN)
17044#define F_CL11_RD_CMD_TO_EN V_CL11_RD_CMD_TO_EN(1U)
17045
17046#define S_CL10_RD_CMD_TO_EN 26
17047#define V_CL10_RD_CMD_TO_EN(x) ((x) << S_CL10_RD_CMD_TO_EN)
17048#define F_CL10_RD_CMD_TO_EN V_CL10_RD_CMD_TO_EN(1U)
17049
17050#define S_CL9_RD_CMD_TO_EN 25
17051#define V_CL9_RD_CMD_TO_EN(x) ((x) << S_CL9_RD_CMD_TO_EN)
17052#define F_CL9_RD_CMD_TO_EN V_CL9_RD_CMD_TO_EN(1U)
17053
17054#define S_CL8_RD_CMD_TO_EN 24
17055#define V_CL8_RD_CMD_TO_EN(x) ((x) << S_CL8_RD_CMD_TO_EN)
17056#define F_CL8_RD_CMD_TO_EN V_CL8_RD_CMD_TO_EN(1U)
17057
17058#define S_CL7_RD_CMD_TO_EN 23
17059#define V_CL7_RD_CMD_TO_EN(x) ((x) << S_CL7_RD_CMD_TO_EN)
17060#define F_CL7_RD_CMD_TO_EN V_CL7_RD_CMD_TO_EN(1U)
17061
17062#define S_CL6_RD_CMD_TO_EN 22
17063#define V_CL6_RD_CMD_TO_EN(x) ((x) << S_CL6_RD_CMD_TO_EN)
17064#define F_CL6_RD_CMD_TO_EN V_CL6_RD_CMD_TO_EN(1U)
17065
17066#define S_CL5_RD_CMD_TO_EN 21
17067#define V_CL5_RD_CMD_TO_EN(x) ((x) << S_CL5_RD_CMD_TO_EN)
17068#define F_CL5_RD_CMD_TO_EN V_CL5_RD_CMD_TO_EN(1U)
17069
17070#define S_CL4_RD_CMD_TO_EN 20
17071#define V_CL4_RD_CMD_TO_EN(x) ((x) << S_CL4_RD_CMD_TO_EN)
17072#define F_CL4_RD_CMD_TO_EN V_CL4_RD_CMD_TO_EN(1U)
17073
17074#define S_CL3_RD_CMD_TO_EN 19
17075#define V_CL3_RD_CMD_TO_EN(x) ((x) << S_CL3_RD_CMD_TO_EN)
17076#define F_CL3_RD_CMD_TO_EN V_CL3_RD_CMD_TO_EN(1U)
17077
17078#define S_CL2_RD_CMD_TO_EN 18
17079#define V_CL2_RD_CMD_TO_EN(x) ((x) << S_CL2_RD_CMD_TO_EN)
17080#define F_CL2_RD_CMD_TO_EN V_CL2_RD_CMD_TO_EN(1U)
17081
17082#define S_CL1_RD_CMD_TO_EN 17
17083#define V_CL1_RD_CMD_TO_EN(x) ((x) << S_CL1_RD_CMD_TO_EN)
17084#define F_CL1_RD_CMD_TO_EN V_CL1_RD_CMD_TO_EN(1U)
17085
17086#define S_CL0_RD_CMD_TO_EN 16
17087#define V_CL0_RD_CMD_TO_EN(x) ((x) << S_CL0_RD_CMD_TO_EN)
17088#define F_CL0_RD_CMD_TO_EN V_CL0_RD_CMD_TO_EN(1U)
17089
17090#define S_CL12_RD_DATA_TO_EN 12
17091#define V_CL12_RD_DATA_TO_EN(x) ((x) << S_CL12_RD_DATA_TO_EN)
17092#define F_CL12_RD_DATA_TO_EN V_CL12_RD_DATA_TO_EN(1U)
17093
17094#define S_CL11_RD_DATA_TO_EN 11
17095#define V_CL11_RD_DATA_TO_EN(x) ((x) << S_CL11_RD_DATA_TO_EN)
17096#define F_CL11_RD_DATA_TO_EN V_CL11_RD_DATA_TO_EN(1U)
17097
17098#define S_CL10_RD_DATA_TO_EN 10
17099#define V_CL10_RD_DATA_TO_EN(x) ((x) << S_CL10_RD_DATA_TO_EN)
17100#define F_CL10_RD_DATA_TO_EN V_CL10_RD_DATA_TO_EN(1U)
17101
17102#define S_CL9_RD_DATA_TO_EN 9
17103#define V_CL9_RD_DATA_TO_EN(x) ((x) << S_CL9_RD_DATA_TO_EN)
17104#define F_CL9_RD_DATA_TO_EN V_CL9_RD_DATA_TO_EN(1U)
17105
17106#define S_CL8_RD_DATA_TO_EN 8
17107#define V_CL8_RD_DATA_TO_EN(x) ((x) << S_CL8_RD_DATA_TO_EN)
17108#define F_CL8_RD_DATA_TO_EN V_CL8_RD_DATA_TO_EN(1U)
17109
17110#define S_CL7_RD_DATA_TO_EN 7
17111#define V_CL7_RD_DATA_TO_EN(x) ((x) << S_CL7_RD_DATA_TO_EN)
17112#define F_CL7_RD_DATA_TO_EN V_CL7_RD_DATA_TO_EN(1U)
17113
17114#define S_CL6_RD_DATA_TO_EN 6
17115#define V_CL6_RD_DATA_TO_EN(x) ((x) << S_CL6_RD_DATA_TO_EN)
17116#define F_CL6_RD_DATA_TO_EN V_CL6_RD_DATA_TO_EN(1U)
17117
17118#define S_CL5_RD_DATA_TO_EN 5
17119#define V_CL5_RD_DATA_TO_EN(x) ((x) << S_CL5_RD_DATA_TO_EN)
17120#define F_CL5_RD_DATA_TO_EN V_CL5_RD_DATA_TO_EN(1U)
17121
17122#define S_CL4_RD_DATA_TO_EN 4
17123#define V_CL4_RD_DATA_TO_EN(x) ((x) << S_CL4_RD_DATA_TO_EN)
17124#define F_CL4_RD_DATA_TO_EN V_CL4_RD_DATA_TO_EN(1U)
17125
17126#define S_CL3_RD_DATA_TO_EN 3
17127#define V_CL3_RD_DATA_TO_EN(x) ((x) << S_CL3_RD_DATA_TO_EN)
17128#define F_CL3_RD_DATA_TO_EN V_CL3_RD_DATA_TO_EN(1U)
17129
17130#define S_CL2_RD_DATA_TO_EN 2
17131#define V_CL2_RD_DATA_TO_EN(x) ((x) << S_CL2_RD_DATA_TO_EN)
17132#define F_CL2_RD_DATA_TO_EN V_CL2_RD_DATA_TO_EN(1U)
17133
17134#define S_CL1_RD_DATA_TO_EN 1
17135#define V_CL1_RD_DATA_TO_EN(x) ((x) << S_CL1_RD_DATA_TO_EN)
17136#define F_CL1_RD_DATA_TO_EN V_CL1_RD_DATA_TO_EN(1U)
17137
17138#define S_CL0_RD_DATA_TO_EN 0
17139#define V_CL0_RD_DATA_TO_EN(x) ((x) << S_CL0_RD_DATA_TO_EN)
17140#define F_CL0_RD_DATA_TO_EN V_CL0_RD_DATA_TO_EN(1U)
17141
17142#define S_FUTURE_CEXPANSION_RTE 29
17143#define M_FUTURE_CEXPANSION_RTE 0x7U
17144#define V_FUTURE_CEXPANSION_RTE(x) ((x) << S_FUTURE_CEXPANSION_RTE)
17145#define G_FUTURE_CEXPANSION_RTE(x) (((x) >> S_FUTURE_CEXPANSION_RTE) & M_FUTURE_CEXPANSION_RTE)
17146
17147#define S_FUTURE_DEXPANSION_RTE 13
17148#define M_FUTURE_DEXPANSION_RTE 0x7U
17149#define V_FUTURE_DEXPANSION_RTE(x) ((x) << S_FUTURE_DEXPANSION_RTE)
17150#define G_FUTURE_DEXPANSION_RTE(x) (((x) >> S_FUTURE_DEXPANSION_RTE) & M_FUTURE_DEXPANSION_RTE)
17151
17152#define A_MA_READ_TIMEOUT_ERROR_STATUS 0x78e0
17153
17154#define S_CL12_RD_CMD_TO_ERROR 28
17155#define V_CL12_RD_CMD_TO_ERROR(x) ((x) << S_CL12_RD_CMD_TO_ERROR)
17156#define F_CL12_RD_CMD_TO_ERROR V_CL12_RD_CMD_TO_ERROR(1U)
17157
17158#define S_CL11_RD_CMD_TO_ERROR 27
17159#define V_CL11_RD_CMD_TO_ERROR(x) ((x) << S_CL11_RD_CMD_TO_ERROR)
17160#define F_CL11_RD_CMD_TO_ERROR V_CL11_RD_CMD_TO_ERROR(1U)
17161
17162#define S_CL10_RD_CMD_TO_ERROR 26
17163#define V_CL10_RD_CMD_TO_ERROR(x) ((x) << S_CL10_RD_CMD_TO_ERROR)
17164#define F_CL10_RD_CMD_TO_ERROR V_CL10_RD_CMD_TO_ERROR(1U)
17165
17166#define S_CL9_RD_CMD_TO_ERROR 25
17167#define V_CL9_RD_CMD_TO_ERROR(x) ((x) << S_CL9_RD_CMD_TO_ERROR)
17168#define F_CL9_RD_CMD_TO_ERROR V_CL9_RD_CMD_TO_ERROR(1U)
17169
17170#define S_CL8_RD_CMD_TO_ERROR 24
17171#define V_CL8_RD_CMD_TO_ERROR(x) ((x) << S_CL8_RD_CMD_TO_ERROR)
17172#define F_CL8_RD_CMD_TO_ERROR V_CL8_RD_CMD_TO_ERROR(1U)
17173
17174#define S_CL7_RD_CMD_TO_ERROR 23
17175#define V_CL7_RD_CMD_TO_ERROR(x) ((x) << S_CL7_RD_CMD_TO_ERROR)
17176#define F_CL7_RD_CMD_TO_ERROR V_CL7_RD_CMD_TO_ERROR(1U)
17177
17178#define S_CL6_RD_CMD_TO_ERROR 22
17179#define V_CL6_RD_CMD_TO_ERROR(x) ((x) << S_CL6_RD_CMD_TO_ERROR)
17180#define F_CL6_RD_CMD_TO_ERROR V_CL6_RD_CMD_TO_ERROR(1U)
17181
17182#define S_CL5_RD_CMD_TO_ERROR 21
17183#define V_CL5_RD_CMD_TO_ERROR(x) ((x) << S_CL5_RD_CMD_TO_ERROR)
17184#define F_CL5_RD_CMD_TO_ERROR V_CL5_RD_CMD_TO_ERROR(1U)
17185
17186#define S_CL4_RD_CMD_TO_ERROR 20
17187#define V_CL4_RD_CMD_TO_ERROR(x) ((x) << S_CL4_RD_CMD_TO_ERROR)
17188#define F_CL4_RD_CMD_TO_ERROR V_CL4_RD_CMD_TO_ERROR(1U)
17189
17190#define S_CL3_RD_CMD_TO_ERROR 19
17191#define V_CL3_RD_CMD_TO_ERROR(x) ((x) << S_CL3_RD_CMD_TO_ERROR)
17192#define F_CL3_RD_CMD_TO_ERROR V_CL3_RD_CMD_TO_ERROR(1U)
17193
17194#define S_CL2_RD_CMD_TO_ERROR 18
17195#define V_CL2_RD_CMD_TO_ERROR(x) ((x) << S_CL2_RD_CMD_TO_ERROR)
17196#define F_CL2_RD_CMD_TO_ERROR V_CL2_RD_CMD_TO_ERROR(1U)
17197
17198#define S_CL1_RD_CMD_TO_ERROR 17
17199#define V_CL1_RD_CMD_TO_ERROR(x) ((x) << S_CL1_RD_CMD_TO_ERROR)
17200#define F_CL1_RD_CMD_TO_ERROR V_CL1_RD_CMD_TO_ERROR(1U)
17201
17202#define S_CL0_RD_CMD_TO_ERROR 16
17203#define V_CL0_RD_CMD_TO_ERROR(x) ((x) << S_CL0_RD_CMD_TO_ERROR)
17204#define F_CL0_RD_CMD_TO_ERROR V_CL0_RD_CMD_TO_ERROR(1U)
17205
17206#define S_CL12_RD_DATA_TO_ERROR 12
17207#define V_CL12_RD_DATA_TO_ERROR(x) ((x) << S_CL12_RD_DATA_TO_ERROR)
17208#define F_CL12_RD_DATA_TO_ERROR V_CL12_RD_DATA_TO_ERROR(1U)
17209
17210#define S_CL11_RD_DATA_TO_ERROR 11
17211#define V_CL11_RD_DATA_TO_ERROR(x) ((x) << S_CL11_RD_DATA_TO_ERROR)
17212#define F_CL11_RD_DATA_TO_ERROR V_CL11_RD_DATA_TO_ERROR(1U)
17213
17214#define S_CL10_RD_DATA_TO_ERROR 10
17215#define V_CL10_RD_DATA_TO_ERROR(x) ((x) << S_CL10_RD_DATA_TO_ERROR)
17216#define F_CL10_RD_DATA_TO_ERROR V_CL10_RD_DATA_TO_ERROR(1U)
17217
17218#define S_CL9_RD_DATA_TO_ERROR 9
17219#define V_CL9_RD_DATA_TO_ERROR(x) ((x) << S_CL9_RD_DATA_TO_ERROR)
17220#define F_CL9_RD_DATA_TO_ERROR V_CL9_RD_DATA_TO_ERROR(1U)
17221
17222#define S_CL8_RD_DATA_TO_ERROR 8
17223#define V_CL8_RD_DATA_TO_ERROR(x) ((x) << S_CL8_RD_DATA_TO_ERROR)
17224#define F_CL8_RD_DATA_TO_ERROR V_CL8_RD_DATA_TO_ERROR(1U)
17225
17226#define S_CL7_RD_DATA_TO_ERROR 7
17227#define V_CL7_RD_DATA_TO_ERROR(x) ((x) << S_CL7_RD_DATA_TO_ERROR)
17228#define F_CL7_RD_DATA_TO_ERROR V_CL7_RD_DATA_TO_ERROR(1U)
17229
17230#define S_CL6_RD_DATA_TO_ERROR 6
17231#define V_CL6_RD_DATA_TO_ERROR(x) ((x) << S_CL6_RD_DATA_TO_ERROR)
17232#define F_CL6_RD_DATA_TO_ERROR V_CL6_RD_DATA_TO_ERROR(1U)
17233
17234#define S_CL5_RD_DATA_TO_ERROR 5
17235#define V_CL5_RD_DATA_TO_ERROR(x) ((x) << S_CL5_RD_DATA_TO_ERROR)
17236#define F_CL5_RD_DATA_TO_ERROR V_CL5_RD_DATA_TO_ERROR(1U)
17237
17238#define S_CL4_RD_DATA_TO_ERROR 4
17239#define V_CL4_RD_DATA_TO_ERROR(x) ((x) << S_CL4_RD_DATA_TO_ERROR)
17240#define F_CL4_RD_DATA_TO_ERROR V_CL4_RD_DATA_TO_ERROR(1U)
17241
17242#define S_CL3_RD_DATA_TO_ERROR 3
17243#define V_CL3_RD_DATA_TO_ERROR(x) ((x) << S_CL3_RD_DATA_TO_ERROR)
17244#define F_CL3_RD_DATA_TO_ERROR V_CL3_RD_DATA_TO_ERROR(1U)
17245
17246#define S_CL2_RD_DATA_TO_ERROR 2
17247#define V_CL2_RD_DATA_TO_ERROR(x) ((x) << S_CL2_RD_DATA_TO_ERROR)
17248#define F_CL2_RD_DATA_TO_ERROR V_CL2_RD_DATA_TO_ERROR(1U)
17249
17250#define S_CL1_RD_DATA_TO_ERROR 1
17251#define V_CL1_RD_DATA_TO_ERROR(x) ((x) << S_CL1_RD_DATA_TO_ERROR)
17252#define F_CL1_RD_DATA_TO_ERROR V_CL1_RD_DATA_TO_ERROR(1U)
17253
17254#define S_CL0_RD_DATA_TO_ERROR 0
17255#define V_CL0_RD_DATA_TO_ERROR(x) ((x) << S_CL0_RD_DATA_TO_ERROR)
17256#define F_CL0_RD_DATA_TO_ERROR V_CL0_RD_DATA_TO_ERROR(1U)
17257
17258#define S_FUTURE_CEXPANSION_RTS 29
17259#define M_FUTURE_CEXPANSION_RTS 0x7U
17260#define V_FUTURE_CEXPANSION_RTS(x) ((x) << S_FUTURE_CEXPANSION_RTS)
17261#define G_FUTURE_CEXPANSION_RTS(x) (((x) >> S_FUTURE_CEXPANSION_RTS) & M_FUTURE_CEXPANSION_RTS)
17262
17263#define S_FUTURE_DEXPANSION_RTS 13
17264#define M_FUTURE_DEXPANSION_RTS 0x7U
17265#define V_FUTURE_DEXPANSION_RTS(x) ((x) << S_FUTURE_DEXPANSION_RTS)
17266#define G_FUTURE_DEXPANSION_RTS(x) (((x) >> S_FUTURE_DEXPANSION_RTS) & M_FUTURE_DEXPANSION_RTS)
17267
17268#define A_MA_BKP_CNT_SEL 0x78e4
17269
17270#define S_BKP_CNT_TYPE 30
17271#define M_BKP_CNT_TYPE 0x3U
17272#define V_BKP_CNT_TYPE(x) ((x) << S_BKP_CNT_TYPE)
17273#define G_BKP_CNT_TYPE(x) (((x) >> S_BKP_CNT_TYPE) & M_BKP_CNT_TYPE)
17274
17275#define S_BKP_CLIENT 24
17276#define M_BKP_CLIENT 0xfU
17277#define V_BKP_CLIENT(x) ((x) << S_BKP_CLIENT)
17278#define G_BKP_CLIENT(x) (((x) >> S_BKP_CLIENT) & M_BKP_CLIENT)
17279
17280#define A_MA_BKP_CNT 0x78e8
17281#define A_MA_WRT_ARB 0x78ec
17282
17283#define S_WRT_EN 31
17284#define V_WRT_EN(x) ((x) << S_WRT_EN)
17285#define F_WRT_EN V_WRT_EN(1U)
17286
17287#define S_WR_TIM 16
17288#define M_WR_TIM 0xffU
17289#define V_WR_TIM(x) ((x) << S_WR_TIM)
17290#define G_WR_TIM(x) (((x) >> S_WR_TIM) & M_WR_TIM)
17291
17292#define S_RD_WIN 8
17293#define M_RD_WIN 0xffU
17294#define V_RD_WIN(x) ((x) << S_RD_WIN)
17295#define G_RD_WIN(x) (((x) >> S_RD_WIN) & M_RD_WIN)
17296
17297#define S_WR_WIN 0
17298#define M_WR_WIN 0xffU
17299#define V_WR_WIN(x) ((x) << S_WR_WIN)
17300#define G_WR_WIN(x) (((x) >> S_WR_WIN) & M_WR_WIN)
17301
17302#define A_MA_IF_PARITY_ERROR_ENABLE 0x78f0
17303
17304#define S_T5_FUTURE_DEXPANSION 13
17305#define M_T5_FUTURE_DEXPANSION 0x7ffffU
17306#define V_T5_FUTURE_DEXPANSION(x) ((x) << S_T5_FUTURE_DEXPANSION)
17307#define G_T5_FUTURE_DEXPANSION(x) (((x) >> S_T5_FUTURE_DEXPANSION) & M_T5_FUTURE_DEXPANSION)
17308
17309#define S_CL12_IF_PAR_EN 12
17310#define V_CL12_IF_PAR_EN(x) ((x) << S_CL12_IF_PAR_EN)
17311#define F_CL12_IF_PAR_EN V_CL12_IF_PAR_EN(1U)
17312
17313#define S_CL11_IF_PAR_EN 11
17314#define V_CL11_IF_PAR_EN(x) ((x) << S_CL11_IF_PAR_EN)
17315#define F_CL11_IF_PAR_EN V_CL11_IF_PAR_EN(1U)
17316
17317#define S_CL10_IF_PAR_EN 10
17318#define V_CL10_IF_PAR_EN(x) ((x) << S_CL10_IF_PAR_EN)
17319#define F_CL10_IF_PAR_EN V_CL10_IF_PAR_EN(1U)
17320
17321#define S_CL9_IF_PAR_EN 9
17322#define V_CL9_IF_PAR_EN(x) ((x) << S_CL9_IF_PAR_EN)
17323#define F_CL9_IF_PAR_EN V_CL9_IF_PAR_EN(1U)
17324
17325#define S_CL8_IF_PAR_EN 8
17326#define V_CL8_IF_PAR_EN(x) ((x) << S_CL8_IF_PAR_EN)
17327#define F_CL8_IF_PAR_EN V_CL8_IF_PAR_EN(1U)
17328
17329#define S_CL7_IF_PAR_EN 7
17330#define V_CL7_IF_PAR_EN(x) ((x) << S_CL7_IF_PAR_EN)
17331#define F_CL7_IF_PAR_EN V_CL7_IF_PAR_EN(1U)
17332
17333#define S_CL6_IF_PAR_EN 6
17334#define V_CL6_IF_PAR_EN(x) ((x) << S_CL6_IF_PAR_EN)
17335#define F_CL6_IF_PAR_EN V_CL6_IF_PAR_EN(1U)
17336
17337#define S_CL5_IF_PAR_EN 5
17338#define V_CL5_IF_PAR_EN(x) ((x) << S_CL5_IF_PAR_EN)
17339#define F_CL5_IF_PAR_EN V_CL5_IF_PAR_EN(1U)
17340
17341#define S_CL4_IF_PAR_EN 4
17342#define V_CL4_IF_PAR_EN(x) ((x) << S_CL4_IF_PAR_EN)
17343#define F_CL4_IF_PAR_EN V_CL4_IF_PAR_EN(1U)
17344
17345#define S_CL3_IF_PAR_EN 3
17346#define V_CL3_IF_PAR_EN(x) ((x) << S_CL3_IF_PAR_EN)
17347#define F_CL3_IF_PAR_EN V_CL3_IF_PAR_EN(1U)
17348
17349#define S_CL2_IF_PAR_EN 2
17350#define V_CL2_IF_PAR_EN(x) ((x) << S_CL2_IF_PAR_EN)
17351#define F_CL2_IF_PAR_EN V_CL2_IF_PAR_EN(1U)
17352
17353#define S_CL1_IF_PAR_EN 1
17354#define V_CL1_IF_PAR_EN(x) ((x) << S_CL1_IF_PAR_EN)
17355#define F_CL1_IF_PAR_EN V_CL1_IF_PAR_EN(1U)
17356
17357#define S_CL0_IF_PAR_EN 0
17358#define V_CL0_IF_PAR_EN(x) ((x) << S_CL0_IF_PAR_EN)
17359#define F_CL0_IF_PAR_EN V_CL0_IF_PAR_EN(1U)
17360
17361#define S_FUTURE_DEXPANSION_IPE 13
17362#define M_FUTURE_DEXPANSION_IPE 0x7ffffU
17363#define V_FUTURE_DEXPANSION_IPE(x) ((x) << S_FUTURE_DEXPANSION_IPE)
17364#define G_FUTURE_DEXPANSION_IPE(x) (((x) >> S_FUTURE_DEXPANSION_IPE) & M_FUTURE_DEXPANSION_IPE)
17365
17366#define A_MA_IF_PARITY_ERROR_STATUS 0x78f4
17367
17368#define S_T5_FUTURE_DEXPANSION 13
17369#define M_T5_FUTURE_DEXPANSION 0x7ffffU
17370#define V_T5_FUTURE_DEXPANSION(x) ((x) << S_T5_FUTURE_DEXPANSION)
17371#define G_T5_FUTURE_DEXPANSION(x) (((x) >> S_T5_FUTURE_DEXPANSION) & M_T5_FUTURE_DEXPANSION)
17372
17373#define S_CL12_IF_PAR_ERROR 12
17374#define V_CL12_IF_PAR_ERROR(x) ((x) << S_CL12_IF_PAR_ERROR)
17375#define F_CL12_IF_PAR_ERROR V_CL12_IF_PAR_ERROR(1U)
17376
17377#define S_CL11_IF_PAR_ERROR 11
17378#define V_CL11_IF_PAR_ERROR(x) ((x) << S_CL11_IF_PAR_ERROR)
17379#define F_CL11_IF_PAR_ERROR V_CL11_IF_PAR_ERROR(1U)
17380
17381#define S_CL10_IF_PAR_ERROR 10
17382#define V_CL10_IF_PAR_ERROR(x) ((x) << S_CL10_IF_PAR_ERROR)
17383#define F_CL10_IF_PAR_ERROR V_CL10_IF_PAR_ERROR(1U)
17384
17385#define S_CL9_IF_PAR_ERROR 9
17386#define V_CL9_IF_PAR_ERROR(x) ((x) << S_CL9_IF_PAR_ERROR)
17387#define F_CL9_IF_PAR_ERROR V_CL9_IF_PAR_ERROR(1U)
17388
17389#define S_CL8_IF_PAR_ERROR 8
17390#define V_CL8_IF_PAR_ERROR(x) ((x) << S_CL8_IF_PAR_ERROR)
17391#define F_CL8_IF_PAR_ERROR V_CL8_IF_PAR_ERROR(1U)
17392
17393#define S_CL7_IF_PAR_ERROR 7
17394#define V_CL7_IF_PAR_ERROR(x) ((x) << S_CL7_IF_PAR_ERROR)
17395#define F_CL7_IF_PAR_ERROR V_CL7_IF_PAR_ERROR(1U)
17396
17397#define S_CL6_IF_PAR_ERROR 6
17398#define V_CL6_IF_PAR_ERROR(x) ((x) << S_CL6_IF_PAR_ERROR)
17399#define F_CL6_IF_PAR_ERROR V_CL6_IF_PAR_ERROR(1U)
17400
17401#define S_CL5_IF_PAR_ERROR 5
17402#define V_CL5_IF_PAR_ERROR(x) ((x) << S_CL5_IF_PAR_ERROR)
17403#define F_CL5_IF_PAR_ERROR V_CL5_IF_PAR_ERROR(1U)
17404
17405#define S_CL4_IF_PAR_ERROR 4
17406#define V_CL4_IF_PAR_ERROR(x) ((x) << S_CL4_IF_PAR_ERROR)
17407#define F_CL4_IF_PAR_ERROR V_CL4_IF_PAR_ERROR(1U)
17408
17409#define S_CL3_IF_PAR_ERROR 3
17410#define V_CL3_IF_PAR_ERROR(x) ((x) << S_CL3_IF_PAR_ERROR)
17411#define F_CL3_IF_PAR_ERROR V_CL3_IF_PAR_ERROR(1U)
17412
17413#define S_CL2_IF_PAR_ERROR 2
17414#define V_CL2_IF_PAR_ERROR(x) ((x) << S_CL2_IF_PAR_ERROR)
17415#define F_CL2_IF_PAR_ERROR V_CL2_IF_PAR_ERROR(1U)
17416
17417#define S_CL1_IF_PAR_ERROR 1
17418#define V_CL1_IF_PAR_ERROR(x) ((x) << S_CL1_IF_PAR_ERROR)
17419#define F_CL1_IF_PAR_ERROR V_CL1_IF_PAR_ERROR(1U)
17420
17421#define S_CL0_IF_PAR_ERROR 0
17422#define V_CL0_IF_PAR_ERROR(x) ((x) << S_CL0_IF_PAR_ERROR)
17423#define F_CL0_IF_PAR_ERROR V_CL0_IF_PAR_ERROR(1U)
17424
17425#define S_FUTURE_DEXPANSION_IPS 13
17426#define M_FUTURE_DEXPANSION_IPS 0x7ffffU
17427#define V_FUTURE_DEXPANSION_IPS(x) ((x) << S_FUTURE_DEXPANSION_IPS)
17428#define G_FUTURE_DEXPANSION_IPS(x) (((x) >> S_FUTURE_DEXPANSION_IPS) & M_FUTURE_DEXPANSION_IPS)
17429
17430#define A_MA_LOCAL_DEBUG_CFG 0x78f8
17431
17432#define S_DEBUG_OR 15
17433#define V_DEBUG_OR(x) ((x) << S_DEBUG_OR)
17434#define F_DEBUG_OR V_DEBUG_OR(1U)
17435
17436#define S_DEBUG_HI 14
17437#define V_DEBUG_HI(x) ((x) << S_DEBUG_HI)
17438#define F_DEBUG_HI V_DEBUG_HI(1U)
17439
17440#define S_DEBUG_RPT 13
17441#define V_DEBUG_RPT(x) ((x) << S_DEBUG_RPT)
17442#define F_DEBUG_RPT V_DEBUG_RPT(1U)
17443
17444#define S_DEBUGPAGE 10
17445#define M_DEBUGPAGE 0x7U
17446#define V_DEBUGPAGE(x) ((x) << S_DEBUGPAGE)
17447#define G_DEBUGPAGE(x) (((x) >> S_DEBUGPAGE) & M_DEBUGPAGE)
17448
17449#define A_MA_LOCAL_DEBUG_RPT 0x78fc
17450#define A_MA_SGE_THREAD_0_CLIENT_INTERFACE_EXTERNAL 0xa000
17451
17452#define S_CMDVLD0 31
17453#define V_CMDVLD0(x) ((x) << S_CMDVLD0)
17454#define F_CMDVLD0 V_CMDVLD0(1U)
17455
17456#define S_CMDRDY0 30
17457#define V_CMDRDY0(x) ((x) << S_CMDRDY0)
17458#define F_CMDRDY0 V_CMDRDY0(1U)
17459
17460#define S_CMDTYPE0 29
17461#define V_CMDTYPE0(x) ((x) << S_CMDTYPE0)
17462#define F_CMDTYPE0 V_CMDTYPE0(1U)
17463
17464#define S_CMDLEN0 21
17465#define M_CMDLEN0 0xffU
17466#define V_CMDLEN0(x) ((x) << S_CMDLEN0)
17467#define G_CMDLEN0(x) (((x) >> S_CMDLEN0) & M_CMDLEN0)
17468
17469#define S_CMDADDR0 8
17470#define M_CMDADDR0 0x1fffU
17471#define V_CMDADDR0(x) ((x) << S_CMDADDR0)
17472#define G_CMDADDR0(x) (((x) >> S_CMDADDR0) & M_CMDADDR0)
17473
17474#define S_WRDATAVLD0 7
17475#define V_WRDATAVLD0(x) ((x) << S_WRDATAVLD0)
17476#define F_WRDATAVLD0 V_WRDATAVLD0(1U)
17477
17478#define S_WRDATARDY0 6
17479#define V_WRDATARDY0(x) ((x) << S_WRDATARDY0)
17480#define F_WRDATARDY0 V_WRDATARDY0(1U)
17481
17482#define S_RDDATARDY0 5
17483#define V_RDDATARDY0(x) ((x) << S_RDDATARDY0)
17484#define F_RDDATARDY0 V_RDDATARDY0(1U)
17485
17486#define S_RDDATAVLD0 4
17487#define V_RDDATAVLD0(x) ((x) << S_RDDATAVLD0)
17488#define F_RDDATAVLD0 V_RDDATAVLD0(1U)
17489
17490#define S_RDDATA0 0
17491#define M_RDDATA0 0xfU
17492#define V_RDDATA0(x) ((x) << S_RDDATA0)
17493#define G_RDDATA0(x) (((x) >> S_RDDATA0) & M_RDDATA0)
17494
17495#define A_MA_SGE_THREAD_1_CLIENT_INTERFACE_EXTERNAL 0xa001
17496
17497#define S_CMDVLD1 31
17498#define V_CMDVLD1(x) ((x) << S_CMDVLD1)
17499#define F_CMDVLD1 V_CMDVLD1(1U)
17500
17501#define S_CMDRDY1 30
17502#define V_CMDRDY1(x) ((x) << S_CMDRDY1)
17503#define F_CMDRDY1 V_CMDRDY1(1U)
17504
17505#define S_CMDTYPE1 29
17506#define V_CMDTYPE1(x) ((x) << S_CMDTYPE1)
17507#define F_CMDTYPE1 V_CMDTYPE1(1U)
17508
17509#define S_CMDLEN1 21
17510#define M_CMDLEN1 0xffU
17511#define V_CMDLEN1(x) ((x) << S_CMDLEN1)
17512#define G_CMDLEN1(x) (((x) >> S_CMDLEN1) & M_CMDLEN1)
17513
17514#define S_CMDADDR1 8
17515#define M_CMDADDR1 0x1fffU
17516#define V_CMDADDR1(x) ((x) << S_CMDADDR1)
17517#define G_CMDADDR1(x) (((x) >> S_CMDADDR1) & M_CMDADDR1)
17518
17519#define S_WRDATAVLD1 7
17520#define V_WRDATAVLD1(x) ((x) << S_WRDATAVLD1)
17521#define F_WRDATAVLD1 V_WRDATAVLD1(1U)
17522
17523#define S_WRDATARDY1 6
17524#define V_WRDATARDY1(x) ((x) << S_WRDATARDY1)
17525#define F_WRDATARDY1 V_WRDATARDY1(1U)
17526
17527#define S_RDDATARDY1 5
17528#define V_RDDATARDY1(x) ((x) << S_RDDATARDY1)
17529#define F_RDDATARDY1 V_RDDATARDY1(1U)
17530
17531#define S_RDDATAVLD1 4
17532#define V_RDDATAVLD1(x) ((x) << S_RDDATAVLD1)
17533#define F_RDDATAVLD1 V_RDDATAVLD1(1U)
17534
17535#define S_RDDATA1 0
17536#define M_RDDATA1 0xfU
17537#define V_RDDATA1(x) ((x) << S_RDDATA1)
17538#define G_RDDATA1(x) (((x) >> S_RDDATA1) & M_RDDATA1)
17539
17540#define A_MA_ULP_TX_CLIENT_INTERFACE_EXTERNAL 0xa002
17541
17542#define S_CMDVLD2 31
17543#define V_CMDVLD2(x) ((x) << S_CMDVLD2)
17544#define F_CMDVLD2 V_CMDVLD2(1U)
17545
17546#define S_CMDRDY2 30
17547#define V_CMDRDY2(x) ((x) << S_CMDRDY2)
17548#define F_CMDRDY2 V_CMDRDY2(1U)
17549
17550#define S_CMDTYPE2 29
17551#define V_CMDTYPE2(x) ((x) << S_CMDTYPE2)
17552#define F_CMDTYPE2 V_CMDTYPE2(1U)
17553
17554#define S_CMDLEN2 21
17555#define M_CMDLEN2 0xffU
17556#define V_CMDLEN2(x) ((x) << S_CMDLEN2)
17557#define G_CMDLEN2(x) (((x) >> S_CMDLEN2) & M_CMDLEN2)
17558
17559#define S_CMDADDR2 8
17560#define M_CMDADDR2 0x1fffU
17561#define V_CMDADDR2(x) ((x) << S_CMDADDR2)
17562#define G_CMDADDR2(x) (((x) >> S_CMDADDR2) & M_CMDADDR2)
17563
17564#define S_WRDATAVLD2 7
17565#define V_WRDATAVLD2(x) ((x) << S_WRDATAVLD2)
17566#define F_WRDATAVLD2 V_WRDATAVLD2(1U)
17567
17568#define S_WRDATARDY2 6
17569#define V_WRDATARDY2(x) ((x) << S_WRDATARDY2)
17570#define F_WRDATARDY2 V_WRDATARDY2(1U)
17571
17572#define S_RDDATARDY2 5
17573#define V_RDDATARDY2(x) ((x) << S_RDDATARDY2)
17574#define F_RDDATARDY2 V_RDDATARDY2(1U)
17575
17576#define S_RDDATAVLD2 4
17577#define V_RDDATAVLD2(x) ((x) << S_RDDATAVLD2)
17578#define F_RDDATAVLD2 V_RDDATAVLD2(1U)
17579
17580#define S_RDDATA2 0
17581#define M_RDDATA2 0xfU
17582#define V_RDDATA2(x) ((x) << S_RDDATA2)
17583#define G_RDDATA2(x) (((x) >> S_RDDATA2) & M_RDDATA2)
17584
17585#define A_MA_ULP_RX_CLIENT_INTERFACE_EXTERNAL 0xa003
17586
17587#define S_CMDVLD3 31
17588#define V_CMDVLD3(x) ((x) << S_CMDVLD3)
17589#define F_CMDVLD3 V_CMDVLD3(1U)
17590
17591#define S_CMDRDY3 30
17592#define V_CMDRDY3(x) ((x) << S_CMDRDY3)
17593#define F_CMDRDY3 V_CMDRDY3(1U)
17594
17595#define S_CMDTYPE3 29
17596#define V_CMDTYPE3(x) ((x) << S_CMDTYPE3)
17597#define F_CMDTYPE3 V_CMDTYPE3(1U)
17598
17599#define S_CMDLEN3 21
17600#define M_CMDLEN3 0xffU
17601#define V_CMDLEN3(x) ((x) << S_CMDLEN3)
17602#define G_CMDLEN3(x) (((x) >> S_CMDLEN3) & M_CMDLEN3)
17603
17604#define S_CMDADDR3 8
17605#define M_CMDADDR3 0x1fffU
17606#define V_CMDADDR3(x) ((x) << S_CMDADDR3)
17607#define G_CMDADDR3(x) (((x) >> S_CMDADDR3) & M_CMDADDR3)
17608
17609#define S_WRDATAVLD3 7
17610#define V_WRDATAVLD3(x) ((x) << S_WRDATAVLD3)
17611#define F_WRDATAVLD3 V_WRDATAVLD3(1U)
17612
17613#define S_WRDATARDY3 6
17614#define V_WRDATARDY3(x) ((x) << S_WRDATARDY3)
17615#define F_WRDATARDY3 V_WRDATARDY3(1U)
17616
17617#define S_RDDATARDY3 5
17618#define V_RDDATARDY3(x) ((x) << S_RDDATARDY3)
17619#define F_RDDATARDY3 V_RDDATARDY3(1U)
17620
17621#define S_RDDATAVLD3 4
17622#define V_RDDATAVLD3(x) ((x) << S_RDDATAVLD3)
17623#define F_RDDATAVLD3 V_RDDATAVLD3(1U)
17624
17625#define S_RDDATA3 0
17626#define M_RDDATA3 0xfU
17627#define V_RDDATA3(x) ((x) << S_RDDATA3)
17628#define G_RDDATA3(x) (((x) >> S_RDDATA3) & M_RDDATA3)
17629
17630#define A_MA_ULP_TX_RX_CLIENT_INTERFACE_EXTERNAL 0xa004
17631
17632#define S_CMDVLD4 31
17633#define V_CMDVLD4(x) ((x) << S_CMDVLD4)
17634#define F_CMDVLD4 V_CMDVLD4(1U)
17635
17636#define S_CMDRDY4 30
17637#define V_CMDRDY4(x) ((x) << S_CMDRDY4)
17638#define F_CMDRDY4 V_CMDRDY4(1U)
17639
17640#define S_CMDTYPE4 29
17641#define V_CMDTYPE4(x) ((x) << S_CMDTYPE4)
17642#define F_CMDTYPE4 V_CMDTYPE4(1U)
17643
17644#define S_CMDLEN4 21
17645#define M_CMDLEN4 0xffU
17646#define V_CMDLEN4(x) ((x) << S_CMDLEN4)
17647#define G_CMDLEN4(x) (((x) >> S_CMDLEN4) & M_CMDLEN4)
17648
17649#define S_CMDADDR4 8
17650#define M_CMDADDR4 0x1fffU
17651#define V_CMDADDR4(x) ((x) << S_CMDADDR4)
17652#define G_CMDADDR4(x) (((x) >> S_CMDADDR4) & M_CMDADDR4)
17653
17654#define S_WRDATAVLD4 7
17655#define V_WRDATAVLD4(x) ((x) << S_WRDATAVLD4)
17656#define F_WRDATAVLD4 V_WRDATAVLD4(1U)
17657
17658#define S_WRDATARDY4 6
17659#define V_WRDATARDY4(x) ((x) << S_WRDATARDY4)
17660#define F_WRDATARDY4 V_WRDATARDY4(1U)
17661
17662#define S_RDDATARDY4 5
17663#define V_RDDATARDY4(x) ((x) << S_RDDATARDY4)
17664#define F_RDDATARDY4 V_RDDATARDY4(1U)
17665
17666#define S_RDDATAVLD4 4
17667#define V_RDDATAVLD4(x) ((x) << S_RDDATAVLD4)
17668#define F_RDDATAVLD4 V_RDDATAVLD4(1U)
17669
17670#define S_RDDATA4 0
17671#define M_RDDATA4 0xfU
17672#define V_RDDATA4(x) ((x) << S_RDDATA4)
17673#define G_RDDATA4(x) (((x) >> S_RDDATA4) & M_RDDATA4)
17674
17675#define A_MA_TP_THREAD_0_CLIENT_INTERFACE_EXTERNAL 0xa005
17676
17677#define S_CMDVLD5 31
17678#define V_CMDVLD5(x) ((x) << S_CMDVLD5)
17679#define F_CMDVLD5 V_CMDVLD5(1U)
17680
17681#define S_CMDRDY5 30
17682#define V_CMDRDY5(x) ((x) << S_CMDRDY5)
17683#define F_CMDRDY5 V_CMDRDY5(1U)
17684
17685#define S_CMDTYPE5 29
17686#define V_CMDTYPE5(x) ((x) << S_CMDTYPE5)
17687#define F_CMDTYPE5 V_CMDTYPE5(1U)
17688
17689#define S_CMDLEN5 21
17690#define M_CMDLEN5 0xffU
17691#define V_CMDLEN5(x) ((x) << S_CMDLEN5)
17692#define G_CMDLEN5(x) (((x) >> S_CMDLEN5) & M_CMDLEN5)
17693
17694#define S_CMDADDR5 8
17695#define M_CMDADDR5 0x1fffU
17696#define V_CMDADDR5(x) ((x) << S_CMDADDR5)
17697#define G_CMDADDR5(x) (((x) >> S_CMDADDR5) & M_CMDADDR5)
17698
17699#define S_WRDATAVLD5 7
17700#define V_WRDATAVLD5(x) ((x) << S_WRDATAVLD5)
17701#define F_WRDATAVLD5 V_WRDATAVLD5(1U)
17702
17703#define S_WRDATARDY5 6
17704#define V_WRDATARDY5(x) ((x) << S_WRDATARDY5)
17705#define F_WRDATARDY5 V_WRDATARDY5(1U)
17706
17707#define S_RDDATARDY5 5
17708#define V_RDDATARDY5(x) ((x) << S_RDDATARDY5)
17709#define F_RDDATARDY5 V_RDDATARDY5(1U)
17710
17711#define S_RDDATAVLD5 4
17712#define V_RDDATAVLD5(x) ((x) << S_RDDATAVLD5)
17713#define F_RDDATAVLD5 V_RDDATAVLD5(1U)
17714
17715#define S_RDDATA5 0
17716#define M_RDDATA5 0xfU
17717#define V_RDDATA5(x) ((x) << S_RDDATA5)
17718#define G_RDDATA5(x) (((x) >> S_RDDATA5) & M_RDDATA5)
17719
17720#define A_MA_TP_THREAD_1_CLIENT_INTERFACE_EXTERNAL 0xa006
17721
17722#define S_CMDVLD6 31
17723#define V_CMDVLD6(x) ((x) << S_CMDVLD6)
17724#define F_CMDVLD6 V_CMDVLD6(1U)
17725
17726#define S_CMDRDY6 30
17727#define V_CMDRDY6(x) ((x) << S_CMDRDY6)
17728#define F_CMDRDY6 V_CMDRDY6(1U)
17729
17730#define S_CMDTYPE6 29
17731#define V_CMDTYPE6(x) ((x) << S_CMDTYPE6)
17732#define F_CMDTYPE6 V_CMDTYPE6(1U)
17733
17734#define S_CMDLEN6 21
17735#define M_CMDLEN6 0xffU
17736#define V_CMDLEN6(x) ((x) << S_CMDLEN6)
17737#define G_CMDLEN6(x) (((x) >> S_CMDLEN6) & M_CMDLEN6)
17738
17739#define S_CMDADDR6 8
17740#define M_CMDADDR6 0x1fffU
17741#define V_CMDADDR6(x) ((x) << S_CMDADDR6)
17742#define G_CMDADDR6(x) (((x) >> S_CMDADDR6) & M_CMDADDR6)
17743
17744#define S_WRDATAVLD6 7
17745#define V_WRDATAVLD6(x) ((x) << S_WRDATAVLD6)
17746#define F_WRDATAVLD6 V_WRDATAVLD6(1U)
17747
17748#define S_WRDATARDY6 6
17749#define V_WRDATARDY6(x) ((x) << S_WRDATARDY6)
17750#define F_WRDATARDY6 V_WRDATARDY6(1U)
17751
17752#define S_RDDATARDY6 5
17753#define V_RDDATARDY6(x) ((x) << S_RDDATARDY6)
17754#define F_RDDATARDY6 V_RDDATARDY6(1U)
17755
17756#define S_RDDATAVLD6 4
17757#define V_RDDATAVLD6(x) ((x) << S_RDDATAVLD6)
17758#define F_RDDATAVLD6 V_RDDATAVLD6(1U)
17759
17760#define S_RDDATA6 0
17761#define M_RDDATA6 0xfU
17762#define V_RDDATA6(x) ((x) << S_RDDATA6)
17763#define G_RDDATA6(x) (((x) >> S_RDDATA6) & M_RDDATA6)
17764
17765#define A_MA_LE_CLIENT_INTERFACE_EXTERNAL 0xa007
17766
17767#define S_CMDVLD7 31
17768#define V_CMDVLD7(x) ((x) << S_CMDVLD7)
17769#define F_CMDVLD7 V_CMDVLD7(1U)
17770
17771#define S_CMDRDY7 30
17772#define V_CMDRDY7(x) ((x) << S_CMDRDY7)
17773#define F_CMDRDY7 V_CMDRDY7(1U)
17774
17775#define S_CMDTYPE7 29
17776#define V_CMDTYPE7(x) ((x) << S_CMDTYPE7)
17777#define F_CMDTYPE7 V_CMDTYPE7(1U)
17778
17779#define S_CMDLEN7 21
17780#define M_CMDLEN7 0xffU
17781#define V_CMDLEN7(x) ((x) << S_CMDLEN7)
17782#define G_CMDLEN7(x) (((x) >> S_CMDLEN7) & M_CMDLEN7)
17783
17784#define S_CMDADDR7 8
17785#define M_CMDADDR7 0x1fffU
17786#define V_CMDADDR7(x) ((x) << S_CMDADDR7)
17787#define G_CMDADDR7(x) (((x) >> S_CMDADDR7) & M_CMDADDR7)
17788
17789#define S_WRDATAVLD7 7
17790#define V_WRDATAVLD7(x) ((x) << S_WRDATAVLD7)
17791#define F_WRDATAVLD7 V_WRDATAVLD7(1U)
17792
17793#define S_WRDATARDY7 6
17794#define V_WRDATARDY7(x) ((x) << S_WRDATARDY7)
17795#define F_WRDATARDY7 V_WRDATARDY7(1U)
17796
17797#define S_RDDATARDY7 5
17798#define V_RDDATARDY7(x) ((x) << S_RDDATARDY7)
17799#define F_RDDATARDY7 V_RDDATARDY7(1U)
17800
17801#define S_RDDATAVLD7 4
17802#define V_RDDATAVLD7(x) ((x) << S_RDDATAVLD7)
17803#define F_RDDATAVLD7 V_RDDATAVLD7(1U)
17804
17805#define S_RDDATA7 0
17806#define M_RDDATA7 0xfU
17807#define V_RDDATA7(x) ((x) << S_RDDATA7)
17808#define G_RDDATA7(x) (((x) >> S_RDDATA7) & M_RDDATA7)
17809
17810#define A_MA_CIM_CLIENT_INTERFACE_EXTERNAL 0xa008
17811
17812#define S_CMDVLD8 31
17813#define V_CMDVLD8(x) ((x) << S_CMDVLD8)
17814#define F_CMDVLD8 V_CMDVLD8(1U)
17815
17816#define S_CMDRDY8 30
17817#define V_CMDRDY8(x) ((x) << S_CMDRDY8)
17818#define F_CMDRDY8 V_CMDRDY8(1U)
17819
17820#define S_CMDTYPE8 29
17821#define V_CMDTYPE8(x) ((x) << S_CMDTYPE8)
17822#define F_CMDTYPE8 V_CMDTYPE8(1U)
17823
17824#define S_CMDLEN8 21
17825#define M_CMDLEN8 0xffU
17826#define V_CMDLEN8(x) ((x) << S_CMDLEN8)
17827#define G_CMDLEN8(x) (((x) >> S_CMDLEN8) & M_CMDLEN8)
17828
17829#define S_CMDADDR8 8
17830#define M_CMDADDR8 0x1fffU
17831#define V_CMDADDR8(x) ((x) << S_CMDADDR8)
17832#define G_CMDADDR8(x) (((x) >> S_CMDADDR8) & M_CMDADDR8)
17833
17834#define S_WRDATAVLD8 7
17835#define V_WRDATAVLD8(x) ((x) << S_WRDATAVLD8)
17836#define F_WRDATAVLD8 V_WRDATAVLD8(1U)
17837
17838#define S_WRDATARDY8 6
17839#define V_WRDATARDY8(x) ((x) << S_WRDATARDY8)
17840#define F_WRDATARDY8 V_WRDATARDY8(1U)
17841
17842#define S_RDDATARDY8 5
17843#define V_RDDATARDY8(x) ((x) << S_RDDATARDY8)
17844#define F_RDDATARDY8 V_RDDATARDY8(1U)
17845
17846#define S_RDDATAVLD8 4
17847#define V_RDDATAVLD8(x) ((x) << S_RDDATAVLD8)
17848#define F_RDDATAVLD8 V_RDDATAVLD8(1U)
17849
17850#define S_RDDATA8 0
17851#define M_RDDATA8 0xfU
17852#define V_RDDATA8(x) ((x) << S_RDDATA8)
17853#define G_RDDATA8(x) (((x) >> S_RDDATA8) & M_RDDATA8)
17854
17855#define A_MA_PCIE_CLIENT_INTERFACE_EXTERNAL 0xa009
17856
17857#define S_CMDVLD9 31
17858#define V_CMDVLD9(x) ((x) << S_CMDVLD9)
17859#define F_CMDVLD9 V_CMDVLD9(1U)
17860
17861#define S_CMDRDY9 30
17862#define V_CMDRDY9(x) ((x) << S_CMDRDY9)
17863#define F_CMDRDY9 V_CMDRDY9(1U)
17864
17865#define S_CMDTYPE9 29
17866#define V_CMDTYPE9(x) ((x) << S_CMDTYPE9)
17867#define F_CMDTYPE9 V_CMDTYPE9(1U)
17868
17869#define S_CMDLEN9 21
17870#define M_CMDLEN9 0xffU
17871#define V_CMDLEN9(x) ((x) << S_CMDLEN9)
17872#define G_CMDLEN9(x) (((x) >> S_CMDLEN9) & M_CMDLEN9)
17873
17874#define S_CMDADDR9 8
17875#define M_CMDADDR9 0x1fffU
17876#define V_CMDADDR9(x) ((x) << S_CMDADDR9)
17877#define G_CMDADDR9(x) (((x) >> S_CMDADDR9) & M_CMDADDR9)
17878
17879#define S_WRDATAVLD9 7
17880#define V_WRDATAVLD9(x) ((x) << S_WRDATAVLD9)
17881#define F_WRDATAVLD9 V_WRDATAVLD9(1U)
17882
17883#define S_WRDATARDY9 6
17884#define V_WRDATARDY9(x) ((x) << S_WRDATARDY9)
17885#define F_WRDATARDY9 V_WRDATARDY9(1U)
17886
17887#define S_RDDATARDY9 5
17888#define V_RDDATARDY9(x) ((x) << S_RDDATARDY9)
17889#define F_RDDATARDY9 V_RDDATARDY9(1U)
17890
17891#define S_RDDATAVLD9 4
17892#define V_RDDATAVLD9(x) ((x) << S_RDDATAVLD9)
17893#define F_RDDATAVLD9 V_RDDATAVLD9(1U)
17894
17895#define S_RDDATA9 0
17896#define M_RDDATA9 0xfU
17897#define V_RDDATA9(x) ((x) << S_RDDATA9)
17898#define G_RDDATA9(x) (((x) >> S_RDDATA9) & M_RDDATA9)
17899
17900#define A_MA_PM_TX_CLIENT_INTERFACE_EXTERNAL 0xa00a
17901
17902#define S_CMDVLD10 31
17903#define V_CMDVLD10(x) ((x) << S_CMDVLD10)
17904#define F_CMDVLD10 V_CMDVLD10(1U)
17905
17906#define S_CMDRDY10 30
17907#define V_CMDRDY10(x) ((x) << S_CMDRDY10)
17908#define F_CMDRDY10 V_CMDRDY10(1U)
17909
17910#define S_CMDTYPE10 29
17911#define V_CMDTYPE10(x) ((x) << S_CMDTYPE10)
17912#define F_CMDTYPE10 V_CMDTYPE10(1U)
17913
17914#define S_CMDLEN10 21
17915#define M_CMDLEN10 0xffU
17916#define V_CMDLEN10(x) ((x) << S_CMDLEN10)
17917#define G_CMDLEN10(x) (((x) >> S_CMDLEN10) & M_CMDLEN10)
17918
17919#define S_CMDADDR10 8
17920#define M_CMDADDR10 0x1fffU
17921#define V_CMDADDR10(x) ((x) << S_CMDADDR10)
17922#define G_CMDADDR10(x) (((x) >> S_CMDADDR10) & M_CMDADDR10)
17923
17924#define S_WRDATAVLD10 7
17925#define V_WRDATAVLD10(x) ((x) << S_WRDATAVLD10)
17926#define F_WRDATAVLD10 V_WRDATAVLD10(1U)
17927
17928#define S_WRDATARDY10 6
17929#define V_WRDATARDY10(x) ((x) << S_WRDATARDY10)
17930#define F_WRDATARDY10 V_WRDATARDY10(1U)
17931
17932#define S_RDDATARDY10 5
17933#define V_RDDATARDY10(x) ((x) << S_RDDATARDY10)
17934#define F_RDDATARDY10 V_RDDATARDY10(1U)
17935
17936#define S_RDDATAVLD10 4
17937#define V_RDDATAVLD10(x) ((x) << S_RDDATAVLD10)
17938#define F_RDDATAVLD10 V_RDDATAVLD10(1U)
17939
17940#define S_RDDATA10 0
17941#define M_RDDATA10 0xfU
17942#define V_RDDATA10(x) ((x) << S_RDDATA10)
17943#define G_RDDATA10(x) (((x) >> S_RDDATA10) & M_RDDATA10)
17944
17945#define A_MA_PM_RX_CLIENT_INTERFACE_EXTERNAL 0xa00b
17946
17947#define S_CMDVLD11 31
17948#define V_CMDVLD11(x) ((x) << S_CMDVLD11)
17949#define F_CMDVLD11 V_CMDVLD11(1U)
17950
17951#define S_CMDRDY11 30
17952#define V_CMDRDY11(x) ((x) << S_CMDRDY11)
17953#define F_CMDRDY11 V_CMDRDY11(1U)
17954
17955#define S_CMDTYPE11 29
17956#define V_CMDTYPE11(x) ((x) << S_CMDTYPE11)
17957#define F_CMDTYPE11 V_CMDTYPE11(1U)
17958
17959#define S_CMDLEN11 21
17960#define M_CMDLEN11 0xffU
17961#define V_CMDLEN11(x) ((x) << S_CMDLEN11)
17962#define G_CMDLEN11(x) (((x) >> S_CMDLEN11) & M_CMDLEN11)
17963
17964#define S_CMDADDR11 8
17965#define M_CMDADDR11 0x1fffU
17966#define V_CMDADDR11(x) ((x) << S_CMDADDR11)
17967#define G_CMDADDR11(x) (((x) >> S_CMDADDR11) & M_CMDADDR11)
17968
17969#define S_WRDATAVLD11 7
17970#define V_WRDATAVLD11(x) ((x) << S_WRDATAVLD11)
17971#define F_WRDATAVLD11 V_WRDATAVLD11(1U)
17972
17973#define S_WRDATARDY11 6
17974#define V_WRDATARDY11(x) ((x) << S_WRDATARDY11)
17975#define F_WRDATARDY11 V_WRDATARDY11(1U)
17976
17977#define S_RDDATARDY11 5
17978#define V_RDDATARDY11(x) ((x) << S_RDDATARDY11)
17979#define F_RDDATARDY11 V_RDDATARDY11(1U)
17980
17981#define S_RDDATAVLD11 4
17982#define V_RDDATAVLD11(x) ((x) << S_RDDATAVLD11)
17983#define F_RDDATAVLD11 V_RDDATAVLD11(1U)
17984
17985#define S_RDDATA11 0
17986#define M_RDDATA11 0xfU
17987#define V_RDDATA11(x) ((x) << S_RDDATA11)
17988#define G_RDDATA11(x) (((x) >> S_RDDATA11) & M_RDDATA11)
17989
17990#define A_MA_HMA_CLIENT_INTERFACE_EXTERNAL 0xa00c
17991
17992#define S_CMDVLD12 31
17993#define V_CMDVLD12(x) ((x) << S_CMDVLD12)
17994#define F_CMDVLD12 V_CMDVLD12(1U)
17995
17996#define S_CMDRDY12 30
17997#define V_CMDRDY12(x) ((x) << S_CMDRDY12)
17998#define F_CMDRDY12 V_CMDRDY12(1U)
17999
18000#define S_CMDTYPE12 29
18001#define V_CMDTYPE12(x) ((x) << S_CMDTYPE12)
18002#define F_CMDTYPE12 V_CMDTYPE12(1U)
18003
18004#define S_CMDLEN12 21
18005#define M_CMDLEN12 0xffU
18006#define V_CMDLEN12(x) ((x) << S_CMDLEN12)
18007#define G_CMDLEN12(x) (((x) >> S_CMDLEN12) & M_CMDLEN12)
18008
18009#define S_CMDADDR12 8
18010#define M_CMDADDR12 0x1fffU
18011#define V_CMDADDR12(x) ((x) << S_CMDADDR12)
18012#define G_CMDADDR12(x) (((x) >> S_CMDADDR12) & M_CMDADDR12)
18013
18014#define S_WRDATAVLD12 7
18015#define V_WRDATAVLD12(x) ((x) << S_WRDATAVLD12)
18016#define F_WRDATAVLD12 V_WRDATAVLD12(1U)
18017
18018#define S_WRDATARDY12 6
18019#define V_WRDATARDY12(x) ((x) << S_WRDATARDY12)
18020#define F_WRDATARDY12 V_WRDATARDY12(1U)
18021
18022#define S_RDDATARDY12 5
18023#define V_RDDATARDY12(x) ((x) << S_RDDATARDY12)
18024#define F_RDDATARDY12 V_RDDATARDY12(1U)
18025
18026#define S_RDDATAVLD12 4
18027#define V_RDDATAVLD12(x) ((x) << S_RDDATAVLD12)
18028#define F_RDDATAVLD12 V_RDDATAVLD12(1U)
18029
18030#define S_RDDATA12 0
18031#define M_RDDATA12 0xfU
18032#define V_RDDATA12(x) ((x) << S_RDDATA12)
18033#define G_RDDATA12(x) (((x) >> S_RDDATA12) & M_RDDATA12)
18034
18035#define A_MA_TARGET_0_ARBITER_INTERFACE_EXTERNAL_REG0 0xa00d
18036
18037#define S_CI0_ARB0_REQ 31
18038#define V_CI0_ARB0_REQ(x) ((x) << S_CI0_ARB0_REQ)
18039#define F_CI0_ARB0_REQ V_CI0_ARB0_REQ(1U)
18040
18041#define S_ARB0_CI0_GNT 30
18042#define V_ARB0_CI0_GNT(x) ((x) << S_ARB0_CI0_GNT)
18043#define F_ARB0_CI0_GNT V_ARB0_CI0_GNT(1U)
18044
18045#define S_CI0_DM0_WDATA_VLD 29
18046#define V_CI0_DM0_WDATA_VLD(x) ((x) << S_CI0_DM0_WDATA_VLD)
18047#define F_CI0_DM0_WDATA_VLD V_CI0_DM0_WDATA_VLD(1U)
18048
18049#define S_DM0_CI0_RDATA_VLD 28
18050#define V_DM0_CI0_RDATA_VLD(x) ((x) << S_DM0_CI0_RDATA_VLD)
18051#define F_DM0_CI0_RDATA_VLD V_DM0_CI0_RDATA_VLD(1U)
18052
18053#define S_CI1_ARB0_REQ 27
18054#define V_CI1_ARB0_REQ(x) ((x) << S_CI1_ARB0_REQ)
18055#define F_CI1_ARB0_REQ V_CI1_ARB0_REQ(1U)
18056
18057#define S_ARB0_CI1_GNT 26
18058#define V_ARB0_CI1_GNT(x) ((x) << S_ARB0_CI1_GNT)
18059#define F_ARB0_CI1_GNT V_ARB0_CI1_GNT(1U)
18060
18061#define S_CI1_DM0_WDATA_VLD 25
18062#define V_CI1_DM0_WDATA_VLD(x) ((x) << S_CI1_DM0_WDATA_VLD)
18063#define F_CI1_DM0_WDATA_VLD V_CI1_DM0_WDATA_VLD(1U)
18064
18065#define S_DM0_CI1_RDATA_VLD 24
18066#define V_DM0_CI1_RDATA_VLD(x) ((x) << S_DM0_CI1_RDATA_VLD)
18067#define F_DM0_CI1_RDATA_VLD V_DM0_CI1_RDATA_VLD(1U)
18068
18069#define S_CI2_ARB0_REQ 23
18070#define V_CI2_ARB0_REQ(x) ((x) << S_CI2_ARB0_REQ)
18071#define F_CI2_ARB0_REQ V_CI2_ARB0_REQ(1U)
18072
18073#define S_ARB0_CI2_GNT 22
18074#define V_ARB0_CI2_GNT(x) ((x) << S_ARB0_CI2_GNT)
18075#define F_ARB0_CI2_GNT V_ARB0_CI2_GNT(1U)
18076
18077#define S_CI2_DM0_WDATA_VLD 21
18078#define V_CI2_DM0_WDATA_VLD(x) ((x) << S_CI2_DM0_WDATA_VLD)
18079#define F_CI2_DM0_WDATA_VLD V_CI2_DM0_WDATA_VLD(1U)
18080
18081#define S_DM0_CI2_RDATA_VLD 20
18082#define V_DM0_CI2_RDATA_VLD(x) ((x) << S_DM0_CI2_RDATA_VLD)
18083#define F_DM0_CI2_RDATA_VLD V_DM0_CI2_RDATA_VLD(1U)
18084
18085#define S_CI3_ARB0_REQ 19
18086#define V_CI3_ARB0_REQ(x) ((x) << S_CI3_ARB0_REQ)
18087#define F_CI3_ARB0_REQ V_CI3_ARB0_REQ(1U)
18088
18089#define S_ARB0_CI3_GNT 18
18090#define V_ARB0_CI3_GNT(x) ((x) << S_ARB0_CI3_GNT)
18091#define F_ARB0_CI3_GNT V_ARB0_CI3_GNT(1U)
18092
18093#define S_CI3_DM0_WDATA_VLD 17
18094#define V_CI3_DM0_WDATA_VLD(x) ((x) << S_CI3_DM0_WDATA_VLD)
18095#define F_CI3_DM0_WDATA_VLD V_CI3_DM0_WDATA_VLD(1U)
18096
18097#define S_DM0_CI3_RDATA_VLD 16
18098#define V_DM0_CI3_RDATA_VLD(x) ((x) << S_DM0_CI3_RDATA_VLD)
18099#define F_DM0_CI3_RDATA_VLD V_DM0_CI3_RDATA_VLD(1U)
18100
18101#define S_CI4_ARB0_REQ 15
18102#define V_CI4_ARB0_REQ(x) ((x) << S_CI4_ARB0_REQ)
18103#define F_CI4_ARB0_REQ V_CI4_ARB0_REQ(1U)
18104
18105#define S_ARB0_CI4_GNT 14
18106#define V_ARB0_CI4_GNT(x) ((x) << S_ARB0_CI4_GNT)
18107#define F_ARB0_CI4_GNT V_ARB0_CI4_GNT(1U)
18108
18109#define S_CI4_DM0_WDATA_VLD 13
18110#define V_CI4_DM0_WDATA_VLD(x) ((x) << S_CI4_DM0_WDATA_VLD)
18111#define F_CI4_DM0_WDATA_VLD V_CI4_DM0_WDATA_VLD(1U)
18112
18113#define S_DM0_CI4_RDATA_VLD 12
18114#define V_DM0_CI4_RDATA_VLD(x) ((x) << S_DM0_CI4_RDATA_VLD)
18115#define F_DM0_CI4_RDATA_VLD V_DM0_CI4_RDATA_VLD(1U)
18116
18117#define S_CI5_ARB0_REQ 11
18118#define V_CI5_ARB0_REQ(x) ((x) << S_CI5_ARB0_REQ)
18119#define F_CI5_ARB0_REQ V_CI5_ARB0_REQ(1U)
18120
18121#define S_ARB0_CI5_GNT 10
18122#define V_ARB0_CI5_GNT(x) ((x) << S_ARB0_CI5_GNT)
18123#define F_ARB0_CI5_GNT V_ARB0_CI5_GNT(1U)
18124
18125#define S_CI5_DM0_WDATA_VLD 9
18126#define V_CI5_DM0_WDATA_VLD(x) ((x) << S_CI5_DM0_WDATA_VLD)
18127#define F_CI5_DM0_WDATA_VLD V_CI5_DM0_WDATA_VLD(1U)
18128
18129#define S_DM0_CI5_RDATA_VLD 8
18130#define V_DM0_CI5_RDATA_VLD(x) ((x) << S_DM0_CI5_RDATA_VLD)
18131#define F_DM0_CI5_RDATA_VLD V_DM0_CI5_RDATA_VLD(1U)
18132
18133#define S_CI6_ARB0_REQ 7
18134#define V_CI6_ARB0_REQ(x) ((x) << S_CI6_ARB0_REQ)
18135#define F_CI6_ARB0_REQ V_CI6_ARB0_REQ(1U)
18136
18137#define S_ARB0_CI6_GNT 6
18138#define V_ARB0_CI6_GNT(x) ((x) << S_ARB0_CI6_GNT)
18139#define F_ARB0_CI6_GNT V_ARB0_CI6_GNT(1U)
18140
18141#define S_CI6_DM0_WDATA_VLD 5
18142#define V_CI6_DM0_WDATA_VLD(x) ((x) << S_CI6_DM0_WDATA_VLD)
18143#define F_CI6_DM0_WDATA_VLD V_CI6_DM0_WDATA_VLD(1U)
18144
18145#define S_DM0_CI6_RDATA_VLD 4
18146#define V_DM0_CI6_RDATA_VLD(x) ((x) << S_DM0_CI6_RDATA_VLD)
18147#define F_DM0_CI6_RDATA_VLD V_DM0_CI6_RDATA_VLD(1U)
18148
18149#define S_CI7_ARB0_REQ 3
18150#define V_CI7_ARB0_REQ(x) ((x) << S_CI7_ARB0_REQ)
18151#define F_CI7_ARB0_REQ V_CI7_ARB0_REQ(1U)
18152
18153#define S_ARB0_CI7_GNT 2
18154#define V_ARB0_CI7_GNT(x) ((x) << S_ARB0_CI7_GNT)
18155#define F_ARB0_CI7_GNT V_ARB0_CI7_GNT(1U)
18156
18157#define S_CI7_DM0_WDATA_VLD 1
18158#define V_CI7_DM0_WDATA_VLD(x) ((x) << S_CI7_DM0_WDATA_VLD)
18159#define F_CI7_DM0_WDATA_VLD V_CI7_DM0_WDATA_VLD(1U)
18160
18161#define S_DM0_CI7_RDATA_VLD 0
18162#define V_DM0_CI7_RDATA_VLD(x) ((x) << S_DM0_CI7_RDATA_VLD)
18163#define F_DM0_CI7_RDATA_VLD V_DM0_CI7_RDATA_VLD(1U)
18164
18165#define A_MA_TARGET_1_ARBITER_INTERFACE_EXTERNAL_REG0 0xa00e
18166
18167#define S_CI0_ARB1_REQ 31
18168#define V_CI0_ARB1_REQ(x) ((x) << S_CI0_ARB1_REQ)
18169#define F_CI0_ARB1_REQ V_CI0_ARB1_REQ(1U)
18170
18171#define S_ARB1_CI0_GNT 30
18172#define V_ARB1_CI0_GNT(x) ((x) << S_ARB1_CI0_GNT)
18173#define F_ARB1_CI0_GNT V_ARB1_CI0_GNT(1U)
18174
18175#define S_CI0_DM1_WDATA_VLD 29
18176#define V_CI0_DM1_WDATA_VLD(x) ((x) << S_CI0_DM1_WDATA_VLD)
18177#define F_CI0_DM1_WDATA_VLD V_CI0_DM1_WDATA_VLD(1U)
18178
18179#define S_DM1_CI0_RDATA_VLD 28
18180#define V_DM1_CI0_RDATA_VLD(x) ((x) << S_DM1_CI0_RDATA_VLD)
18181#define F_DM1_CI0_RDATA_VLD V_DM1_CI0_RDATA_VLD(1U)
18182
18183#define S_CI1_ARB1_REQ 27
18184#define V_CI1_ARB1_REQ(x) ((x) << S_CI1_ARB1_REQ)
18185#define F_CI1_ARB1_REQ V_CI1_ARB1_REQ(1U)
18186
18187#define S_ARB1_CI1_GNT 26
18188#define V_ARB1_CI1_GNT(x) ((x) << S_ARB1_CI1_GNT)
18189#define F_ARB1_CI1_GNT V_ARB1_CI1_GNT(1U)
18190
18191#define S_CI1_DM1_WDATA_VLD 25
18192#define V_CI1_DM1_WDATA_VLD(x) ((x) << S_CI1_DM1_WDATA_VLD)
18193#define F_CI1_DM1_WDATA_VLD V_CI1_DM1_WDATA_VLD(1U)
18194
18195#define S_DM1_CI1_RDATA_VLD 24
18196#define V_DM1_CI1_RDATA_VLD(x) ((x) << S_DM1_CI1_RDATA_VLD)
18197#define F_DM1_CI1_RDATA_VLD V_DM1_CI1_RDATA_VLD(1U)
18198
18199#define S_CI2_ARB1_REQ 23
18200#define V_CI2_ARB1_REQ(x) ((x) << S_CI2_ARB1_REQ)
18201#define F_CI2_ARB1_REQ V_CI2_ARB1_REQ(1U)
18202
18203#define S_ARB1_CI2_GNT 22
18204#define V_ARB1_CI2_GNT(x) ((x) << S_ARB1_CI2_GNT)
18205#define F_ARB1_CI2_GNT V_ARB1_CI2_GNT(1U)
18206
18207#define S_CI2_DM1_WDATA_VLD 21
18208#define V_CI2_DM1_WDATA_VLD(x) ((x) << S_CI2_DM1_WDATA_VLD)
18209#define F_CI2_DM1_WDATA_VLD V_CI2_DM1_WDATA_VLD(1U)
18210
18211#define S_DM1_CI2_RDATA_VLD 20
18212#define V_DM1_CI2_RDATA_VLD(x) ((x) << S_DM1_CI2_RDATA_VLD)
18213#define F_DM1_CI2_RDATA_VLD V_DM1_CI2_RDATA_VLD(1U)
18214
18215#define S_CI3_ARB1_REQ 19
18216#define V_CI3_ARB1_REQ(x) ((x) << S_CI3_ARB1_REQ)
18217#define F_CI3_ARB1_REQ V_CI3_ARB1_REQ(1U)
18218
18219#define S_ARB1_CI3_GNT 18
18220#define V_ARB1_CI3_GNT(x) ((x) << S_ARB1_CI3_GNT)
18221#define F_ARB1_CI3_GNT V_ARB1_CI3_GNT(1U)
18222
18223#define S_CI3_DM1_WDATA_VLD 17
18224#define V_CI3_DM1_WDATA_VLD(x) ((x) << S_CI3_DM1_WDATA_VLD)
18225#define F_CI3_DM1_WDATA_VLD V_CI3_DM1_WDATA_VLD(1U)
18226
18227#define S_DM1_CI3_RDATA_VLD 16
18228#define V_DM1_CI3_RDATA_VLD(x) ((x) << S_DM1_CI3_RDATA_VLD)
18229#define F_DM1_CI3_RDATA_VLD V_DM1_CI3_RDATA_VLD(1U)
18230
18231#define S_CI4_ARB1_REQ 15
18232#define V_CI4_ARB1_REQ(x) ((x) << S_CI4_ARB1_REQ)
18233#define F_CI4_ARB1_REQ V_CI4_ARB1_REQ(1U)
18234
18235#define S_ARB1_CI4_GNT 14
18236#define V_ARB1_CI4_GNT(x) ((x) << S_ARB1_CI4_GNT)
18237#define F_ARB1_CI4_GNT V_ARB1_CI4_GNT(1U)
18238
18239#define S_CI4_DM1_WDATA_VLD 13
18240#define V_CI4_DM1_WDATA_VLD(x) ((x) << S_CI4_DM1_WDATA_VLD)
18241#define F_CI4_DM1_WDATA_VLD V_CI4_DM1_WDATA_VLD(1U)
18242
18243#define S_DM1_CI4_RDATA_VLD 12
18244#define V_DM1_CI4_RDATA_VLD(x) ((x) << S_DM1_CI4_RDATA_VLD)
18245#define F_DM1_CI4_RDATA_VLD V_DM1_CI4_RDATA_VLD(1U)
18246
18247#define S_CI5_ARB1_REQ 11
18248#define V_CI5_ARB1_REQ(x) ((x) << S_CI5_ARB1_REQ)
18249#define F_CI5_ARB1_REQ V_CI5_ARB1_REQ(1U)
18250
18251#define S_ARB1_CI5_GNT 10
18252#define V_ARB1_CI5_GNT(x) ((x) << S_ARB1_CI5_GNT)
18253#define F_ARB1_CI5_GNT V_ARB1_CI5_GNT(1U)
18254
18255#define S_CI5_DM1_WDATA_VLD 9
18256#define V_CI5_DM1_WDATA_VLD(x) ((x) << S_CI5_DM1_WDATA_VLD)
18257#define F_CI5_DM1_WDATA_VLD V_CI5_DM1_WDATA_VLD(1U)
18258
18259#define S_DM1_CI5_RDATA_VLD 8
18260#define V_DM1_CI5_RDATA_VLD(x) ((x) << S_DM1_CI5_RDATA_VLD)
18261#define F_DM1_CI5_RDATA_VLD V_DM1_CI5_RDATA_VLD(1U)
18262
18263#define S_CI6_ARB1_REQ 7
18264#define V_CI6_ARB1_REQ(x) ((x) << S_CI6_ARB1_REQ)
18265#define F_CI6_ARB1_REQ V_CI6_ARB1_REQ(1U)
18266
18267#define S_ARB1_CI6_GNT 6
18268#define V_ARB1_CI6_GNT(x) ((x) << S_ARB1_CI6_GNT)
18269#define F_ARB1_CI6_GNT V_ARB1_CI6_GNT(1U)
18270
18271#define S_CI6_DM1_WDATA_VLD 5
18272#define V_CI6_DM1_WDATA_VLD(x) ((x) << S_CI6_DM1_WDATA_VLD)
18273#define F_CI6_DM1_WDATA_VLD V_CI6_DM1_WDATA_VLD(1U)
18274
18275#define S_DM1_CI6_RDATA_VLD 4
18276#define V_DM1_CI6_RDATA_VLD(x) ((x) << S_DM1_CI6_RDATA_VLD)
18277#define F_DM1_CI6_RDATA_VLD V_DM1_CI6_RDATA_VLD(1U)
18278
18279#define S_CI7_ARB1_REQ 3
18280#define V_CI7_ARB1_REQ(x) ((x) << S_CI7_ARB1_REQ)
18281#define F_CI7_ARB1_REQ V_CI7_ARB1_REQ(1U)
18282
18283#define S_ARB1_CI7_GNT 2
18284#define V_ARB1_CI7_GNT(x) ((x) << S_ARB1_CI7_GNT)
18285#define F_ARB1_CI7_GNT V_ARB1_CI7_GNT(1U)
18286
18287#define S_CI7_DM1_WDATA_VLD 1
18288#define V_CI7_DM1_WDATA_VLD(x) ((x) << S_CI7_DM1_WDATA_VLD)
18289#define F_CI7_DM1_WDATA_VLD V_CI7_DM1_WDATA_VLD(1U)
18290
18291#define S_DM1_CI7_RDATA_VLD 0
18292#define V_DM1_CI7_RDATA_VLD(x) ((x) << S_DM1_CI7_RDATA_VLD)
18293#define F_DM1_CI7_RDATA_VLD V_DM1_CI7_RDATA_VLD(1U)
18294
18295#define A_MA_TARGET_2_ARBITER_INTERFACE_EXTERNAL_REG0 0xa00f
18296
18297#define S_CI0_ARB2_REQ 31
18298#define V_CI0_ARB2_REQ(x) ((x) << S_CI0_ARB2_REQ)
18299#define F_CI0_ARB2_REQ V_CI0_ARB2_REQ(1U)
18300
18301#define S_ARB2_CI0_GNT 30
18302#define V_ARB2_CI0_GNT(x) ((x) << S_ARB2_CI0_GNT)
18303#define F_ARB2_CI0_GNT V_ARB2_CI0_GNT(1U)
18304
18305#define S_CI0_DM2_WDATA_VLD 29
18306#define V_CI0_DM2_WDATA_VLD(x) ((x) << S_CI0_DM2_WDATA_VLD)
18307#define F_CI0_DM2_WDATA_VLD V_CI0_DM2_WDATA_VLD(1U)
18308
18309#define S_DM2_CI0_RDATA_VLD 28
18310#define V_DM2_CI0_RDATA_VLD(x) ((x) << S_DM2_CI0_RDATA_VLD)
18311#define F_DM2_CI0_RDATA_VLD V_DM2_CI0_RDATA_VLD(1U)
18312
18313#define S_CI1_ARB2_REQ 27
18314#define V_CI1_ARB2_REQ(x) ((x) << S_CI1_ARB2_REQ)
18315#define F_CI1_ARB2_REQ V_CI1_ARB2_REQ(1U)
18316
18317#define S_ARB2_CI1_GNT 26
18318#define V_ARB2_CI1_GNT(x) ((x) << S_ARB2_CI1_GNT)
18319#define F_ARB2_CI1_GNT V_ARB2_CI1_GNT(1U)
18320
18321#define S_CI1_DM2_WDATA_VLD 25
18322#define V_CI1_DM2_WDATA_VLD(x) ((x) << S_CI1_DM2_WDATA_VLD)
18323#define F_CI1_DM2_WDATA_VLD V_CI1_DM2_WDATA_VLD(1U)
18324
18325#define S_DM2_CI1_RDATA_VLD 24
18326#define V_DM2_CI1_RDATA_VLD(x) ((x) << S_DM2_CI1_RDATA_VLD)
18327#define F_DM2_CI1_RDATA_VLD V_DM2_CI1_RDATA_VLD(1U)
18328
18329#define S_CI2_ARB2_REQ 23
18330#define V_CI2_ARB2_REQ(x) ((x) << S_CI2_ARB2_REQ)
18331#define F_CI2_ARB2_REQ V_CI2_ARB2_REQ(1U)
18332
18333#define S_ARB2_CI2_GNT 22
18334#define V_ARB2_CI2_GNT(x) ((x) << S_ARB2_CI2_GNT)
18335#define F_ARB2_CI2_GNT V_ARB2_CI2_GNT(1U)
18336
18337#define S_CI2_DM2_WDATA_VLD 21
18338#define V_CI2_DM2_WDATA_VLD(x) ((x) << S_CI2_DM2_WDATA_VLD)
18339#define F_CI2_DM2_WDATA_VLD V_CI2_DM2_WDATA_VLD(1U)
18340
18341#define S_DM2_CI2_RDATA_VLD 20
18342#define V_DM2_CI2_RDATA_VLD(x) ((x) << S_DM2_CI2_RDATA_VLD)
18343#define F_DM2_CI2_RDATA_VLD V_DM2_CI2_RDATA_VLD(1U)
18344
18345#define S_CI3_ARB2_REQ 19
18346#define V_CI3_ARB2_REQ(x) ((x) << S_CI3_ARB2_REQ)
18347#define F_CI3_ARB2_REQ V_CI3_ARB2_REQ(1U)
18348
18349#define S_ARB2_CI3_GNT 18
18350#define V_ARB2_CI3_GNT(x) ((x) << S_ARB2_CI3_GNT)
18351#define F_ARB2_CI3_GNT V_ARB2_CI3_GNT(1U)
18352
18353#define S_CI3_DM2_WDATA_VLD 17
18354#define V_CI3_DM2_WDATA_VLD(x) ((x) << S_CI3_DM2_WDATA_VLD)
18355#define F_CI3_DM2_WDATA_VLD V_CI3_DM2_WDATA_VLD(1U)
18356
18357#define S_DM2_CI3_RDATA_VLD 16
18358#define V_DM2_CI3_RDATA_VLD(x) ((x) << S_DM2_CI3_RDATA_VLD)
18359#define F_DM2_CI3_RDATA_VLD V_DM2_CI3_RDATA_VLD(1U)
18360
18361#define S_CI4_ARB2_REQ 15
18362#define V_CI4_ARB2_REQ(x) ((x) << S_CI4_ARB2_REQ)
18363#define F_CI4_ARB2_REQ V_CI4_ARB2_REQ(1U)
18364
18365#define S_ARB2_CI4_GNT 14
18366#define V_ARB2_CI4_GNT(x) ((x) << S_ARB2_CI4_GNT)
18367#define F_ARB2_CI4_GNT V_ARB2_CI4_GNT(1U)
18368
18369#define S_CI4_DM2_WDATA_VLD 13
18370#define V_CI4_DM2_WDATA_VLD(x) ((x) << S_CI4_DM2_WDATA_VLD)
18371#define F_CI4_DM2_WDATA_VLD V_CI4_DM2_WDATA_VLD(1U)
18372
18373#define S_DM2_CI4_RDATA_VLD 12
18374#define V_DM2_CI4_RDATA_VLD(x) ((x) << S_DM2_CI4_RDATA_VLD)
18375#define F_DM2_CI4_RDATA_VLD V_DM2_CI4_RDATA_VLD(1U)
18376
18377#define S_CI5_ARB2_REQ 11
18378#define V_CI5_ARB2_REQ(x) ((x) << S_CI5_ARB2_REQ)
18379#define F_CI5_ARB2_REQ V_CI5_ARB2_REQ(1U)
18380
18381#define S_ARB2_CI5_GNT 10
18382#define V_ARB2_CI5_GNT(x) ((x) << S_ARB2_CI5_GNT)
18383#define F_ARB2_CI5_GNT V_ARB2_CI5_GNT(1U)
18384
18385#define S_CI5_DM2_WDATA_VLD 9
18386#define V_CI5_DM2_WDATA_VLD(x) ((x) << S_CI5_DM2_WDATA_VLD)
18387#define F_CI5_DM2_WDATA_VLD V_CI5_DM2_WDATA_VLD(1U)
18388
18389#define S_DM2_CI5_RDATA_VLD 8
18390#define V_DM2_CI5_RDATA_VLD(x) ((x) << S_DM2_CI5_RDATA_VLD)
18391#define F_DM2_CI5_RDATA_VLD V_DM2_CI5_RDATA_VLD(1U)
18392
18393#define S_CI6_ARB2_REQ 7
18394#define V_CI6_ARB2_REQ(x) ((x) << S_CI6_ARB2_REQ)
18395#define F_CI6_ARB2_REQ V_CI6_ARB2_REQ(1U)
18396
18397#define S_ARB2_CI6_GNT 6
18398#define V_ARB2_CI6_GNT(x) ((x) << S_ARB2_CI6_GNT)
18399#define F_ARB2_CI6_GNT V_ARB2_CI6_GNT(1U)
18400
18401#define S_CI6_DM2_WDATA_VLD 5
18402#define V_CI6_DM2_WDATA_VLD(x) ((x) << S_CI6_DM2_WDATA_VLD)
18403#define F_CI6_DM2_WDATA_VLD V_CI6_DM2_WDATA_VLD(1U)
18404
18405#define S_DM2_CI6_RDATA_VLD 4
18406#define V_DM2_CI6_RDATA_VLD(x) ((x) << S_DM2_CI6_RDATA_VLD)
18407#define F_DM2_CI6_RDATA_VLD V_DM2_CI6_RDATA_VLD(1U)
18408
18409#define S_CI7_ARB2_REQ 3
18410#define V_CI7_ARB2_REQ(x) ((x) << S_CI7_ARB2_REQ)
18411#define F_CI7_ARB2_REQ V_CI7_ARB2_REQ(1U)
18412
18413#define S_ARB2_CI7_GNT 2
18414#define V_ARB2_CI7_GNT(x) ((x) << S_ARB2_CI7_GNT)
18415#define F_ARB2_CI7_GNT V_ARB2_CI7_GNT(1U)
18416
18417#define S_CI7_DM2_WDATA_VLD 1
18418#define V_CI7_DM2_WDATA_VLD(x) ((x) << S_CI7_DM2_WDATA_VLD)
18419#define F_CI7_DM2_WDATA_VLD V_CI7_DM2_WDATA_VLD(1U)
18420
18421#define S_DM2_CI7_RDATA_VLD 0
18422#define V_DM2_CI7_RDATA_VLD(x) ((x) << S_DM2_CI7_RDATA_VLD)
18423#define F_DM2_CI7_RDATA_VLD V_DM2_CI7_RDATA_VLD(1U)
18424
18425#define A_MA_TARGET_3_ARBITER_INTERFACE_EXTERNAL_REG0 0xa010
18426
18427#define S_CI0_ARB3_REQ 31
18428#define V_CI0_ARB3_REQ(x) ((x) << S_CI0_ARB3_REQ)
18429#define F_CI0_ARB3_REQ V_CI0_ARB3_REQ(1U)
18430
18431#define S_ARB3_CI0_GNT 30
18432#define V_ARB3_CI0_GNT(x) ((x) << S_ARB3_CI0_GNT)
18433#define F_ARB3_CI0_GNT V_ARB3_CI0_GNT(1U)
18434
18435#define S_CI0_DM3_WDATA_VLD 29
18436#define V_CI0_DM3_WDATA_VLD(x) ((x) << S_CI0_DM3_WDATA_VLD)
18437#define F_CI0_DM3_WDATA_VLD V_CI0_DM3_WDATA_VLD(1U)
18438
18439#define S_DM3_CI0_RDATA_VLD 28
18440#define V_DM3_CI0_RDATA_VLD(x) ((x) << S_DM3_CI0_RDATA_VLD)
18441#define F_DM3_CI0_RDATA_VLD V_DM3_CI0_RDATA_VLD(1U)
18442
18443#define S_CI1_ARB3_REQ 27
18444#define V_CI1_ARB3_REQ(x) ((x) << S_CI1_ARB3_REQ)
18445#define F_CI1_ARB3_REQ V_CI1_ARB3_REQ(1U)
18446
18447#define S_ARB3_CI1_GNT 26
18448#define V_ARB3_CI1_GNT(x) ((x) << S_ARB3_CI1_GNT)
18449#define F_ARB3_CI1_GNT V_ARB3_CI1_GNT(1U)
18450
18451#define S_CI1_DM3_WDATA_VLD 25
18452#define V_CI1_DM3_WDATA_VLD(x) ((x) << S_CI1_DM3_WDATA_VLD)
18453#define F_CI1_DM3_WDATA_VLD V_CI1_DM3_WDATA_VLD(1U)
18454
18455#define S_DM3_CI1_RDATA_VLD 24
18456#define V_DM3_CI1_RDATA_VLD(x) ((x) << S_DM3_CI1_RDATA_VLD)
18457#define F_DM3_CI1_RDATA_VLD V_DM3_CI1_RDATA_VLD(1U)
18458
18459#define S_CI2_ARB3_REQ 23
18460#define V_CI2_ARB3_REQ(x) ((x) << S_CI2_ARB3_REQ)
18461#define F_CI2_ARB3_REQ V_CI2_ARB3_REQ(1U)
18462
18463#define S_ARB3_CI2_GNT 22
18464#define V_ARB3_CI2_GNT(x) ((x) << S_ARB3_CI2_GNT)
18465#define F_ARB3_CI2_GNT V_ARB3_CI2_GNT(1U)
18466
18467#define S_CI2_DM3_WDATA_VLD 21
18468#define V_CI2_DM3_WDATA_VLD(x) ((x) << S_CI2_DM3_WDATA_VLD)
18469#define F_CI2_DM3_WDATA_VLD V_CI2_DM3_WDATA_VLD(1U)
18470
18471#define S_DM3_CI2_RDATA_VLD 20
18472#define V_DM3_CI2_RDATA_VLD(x) ((x) << S_DM3_CI2_RDATA_VLD)
18473#define F_DM3_CI2_RDATA_VLD V_DM3_CI2_RDATA_VLD(1U)
18474
18475#define S_CI3_ARB3_REQ 19
18476#define V_CI3_ARB3_REQ(x) ((x) << S_CI3_ARB3_REQ)
18477#define F_CI3_ARB3_REQ V_CI3_ARB3_REQ(1U)
18478
18479#define S_ARB3_CI3_GNT 18
18480#define V_ARB3_CI3_GNT(x) ((x) << S_ARB3_CI3_GNT)
18481#define F_ARB3_CI3_GNT V_ARB3_CI3_GNT(1U)
18482
18483#define S_CI3_DM3_WDATA_VLD 17
18484#define V_CI3_DM3_WDATA_VLD(x) ((x) << S_CI3_DM3_WDATA_VLD)
18485#define F_CI3_DM3_WDATA_VLD V_CI3_DM3_WDATA_VLD(1U)
18486
18487#define S_DM3_CI3_RDATA_VLD 16
18488#define V_DM3_CI3_RDATA_VLD(x) ((x) << S_DM3_CI3_RDATA_VLD)
18489#define F_DM3_CI3_RDATA_VLD V_DM3_CI3_RDATA_VLD(1U)
18490
18491#define S_CI4_ARB3_REQ 15
18492#define V_CI4_ARB3_REQ(x) ((x) << S_CI4_ARB3_REQ)
18493#define F_CI4_ARB3_REQ V_CI4_ARB3_REQ(1U)
18494
18495#define S_ARB3_CI4_GNT 14
18496#define V_ARB3_CI4_GNT(x) ((x) << S_ARB3_CI4_GNT)
18497#define F_ARB3_CI4_GNT V_ARB3_CI4_GNT(1U)
18498
18499#define S_CI4_DM3_WDATA_VLD 13
18500#define V_CI4_DM3_WDATA_VLD(x) ((x) << S_CI4_DM3_WDATA_VLD)
18501#define F_CI4_DM3_WDATA_VLD V_CI4_DM3_WDATA_VLD(1U)
18502
18503#define S_DM3_CI4_RDATA_VLD 12
18504#define V_DM3_CI4_RDATA_VLD(x) ((x) << S_DM3_CI4_RDATA_VLD)
18505#define F_DM3_CI4_RDATA_VLD V_DM3_CI4_RDATA_VLD(1U)
18506
18507#define S_CI5_ARB3_REQ 11
18508#define V_CI5_ARB3_REQ(x) ((x) << S_CI5_ARB3_REQ)
18509#define F_CI5_ARB3_REQ V_CI5_ARB3_REQ(1U)
18510
18511#define S_ARB3_CI5_GNT 10
18512#define V_ARB3_CI5_GNT(x) ((x) << S_ARB3_CI5_GNT)
18513#define F_ARB3_CI5_GNT V_ARB3_CI5_GNT(1U)
18514
18515#define S_CI5_DM3_WDATA_VLD 9
18516#define V_CI5_DM3_WDATA_VLD(x) ((x) << S_CI5_DM3_WDATA_VLD)
18517#define F_CI5_DM3_WDATA_VLD V_CI5_DM3_WDATA_VLD(1U)
18518
18519#define S_DM3_CI5_RDATA_VLD 8
18520#define V_DM3_CI5_RDATA_VLD(x) ((x) << S_DM3_CI5_RDATA_VLD)
18521#define F_DM3_CI5_RDATA_VLD V_DM3_CI5_RDATA_VLD(1U)
18522
18523#define S_CI6_ARB3_REQ 7
18524#define V_CI6_ARB3_REQ(x) ((x) << S_CI6_ARB3_REQ)
18525#define F_CI6_ARB3_REQ V_CI6_ARB3_REQ(1U)
18526
18527#define S_ARB3_CI6_GNT 6
18528#define V_ARB3_CI6_GNT(x) ((x) << S_ARB3_CI6_GNT)
18529#define F_ARB3_CI6_GNT V_ARB3_CI6_GNT(1U)
18530
18531#define S_CI6_DM3_WDATA_VLD 5
18532#define V_CI6_DM3_WDATA_VLD(x) ((x) << S_CI6_DM3_WDATA_VLD)
18533#define F_CI6_DM3_WDATA_VLD V_CI6_DM3_WDATA_VLD(1U)
18534
18535#define S_DM3_CI6_RDATA_VLD 4
18536#define V_DM3_CI6_RDATA_VLD(x) ((x) << S_DM3_CI6_RDATA_VLD)
18537#define F_DM3_CI6_RDATA_VLD V_DM3_CI6_RDATA_VLD(1U)
18538
18539#define S_CI7_ARB3_REQ 3
18540#define V_CI7_ARB3_REQ(x) ((x) << S_CI7_ARB3_REQ)
18541#define F_CI7_ARB3_REQ V_CI7_ARB3_REQ(1U)
18542
18543#define S_ARB3_CI7_GNT 2
18544#define V_ARB3_CI7_GNT(x) ((x) << S_ARB3_CI7_GNT)
18545#define F_ARB3_CI7_GNT V_ARB3_CI7_GNT(1U)
18546
18547#define S_CI7_DM3_WDATA_VLD 1
18548#define V_CI7_DM3_WDATA_VLD(x) ((x) << S_CI7_DM3_WDATA_VLD)
18549#define F_CI7_DM3_WDATA_VLD V_CI7_DM3_WDATA_VLD(1U)
18550
18551#define S_DM3_CI7_RDATA_VLD 0
18552#define V_DM3_CI7_RDATA_VLD(x) ((x) << S_DM3_CI7_RDATA_VLD)
18553#define F_DM3_CI7_RDATA_VLD V_DM3_CI7_RDATA_VLD(1U)
18554
18555#define A_MA_MA_DEBUG_SIGNATURE_LTL_END 0xa011
18556#define A_MA_MA_DEBUG_SIGNATURE_BIG_END_INVERSE 0xa012
18557#define A_MA_TARGET_0_ARBITER_INTERFACE_EXTERNAL_REG1 0xa013
18558
18559#define S_CI8_ARB0_REQ 31
18560#define V_CI8_ARB0_REQ(x) ((x) << S_CI8_ARB0_REQ)
18561#define F_CI8_ARB0_REQ V_CI8_ARB0_REQ(1U)
18562
18563#define S_ARB0_CI8_GNT 30
18564#define V_ARB0_CI8_GNT(x) ((x) << S_ARB0_CI8_GNT)
18565#define F_ARB0_CI8_GNT V_ARB0_CI8_GNT(1U)
18566
18567#define S_CI8_DM0_WDATA_VLD 29
18568#define V_CI8_DM0_WDATA_VLD(x) ((x) << S_CI8_DM0_WDATA_VLD)
18569#define F_CI8_DM0_WDATA_VLD V_CI8_DM0_WDATA_VLD(1U)
18570
18571#define S_DM0_CI8_RDATA_VLD 28
18572#define V_DM0_CI8_RDATA_VLD(x) ((x) << S_DM0_CI8_RDATA_VLD)
18573#define F_DM0_CI8_RDATA_VLD V_DM0_CI8_RDATA_VLD(1U)
18574
18575#define S_CI9_ARB0_REQ 27
18576#define V_CI9_ARB0_REQ(x) ((x) << S_CI9_ARB0_REQ)
18577#define F_CI9_ARB0_REQ V_CI9_ARB0_REQ(1U)
18578
18579#define S_ARB0_CI9_GNT 26
18580#define V_ARB0_CI9_GNT(x) ((x) << S_ARB0_CI9_GNT)
18581#define F_ARB0_CI9_GNT V_ARB0_CI9_GNT(1U)
18582
18583#define S_CI9_DM0_WDATA_VLD 25
18584#define V_CI9_DM0_WDATA_VLD(x) ((x) << S_CI9_DM0_WDATA_VLD)
18585#define F_CI9_DM0_WDATA_VLD V_CI9_DM0_WDATA_VLD(1U)
18586
18587#define S_DM0_CI9_RDATA_VLD 24
18588#define V_DM0_CI9_RDATA_VLD(x) ((x) << S_DM0_CI9_RDATA_VLD)
18589#define F_DM0_CI9_RDATA_VLD V_DM0_CI9_RDATA_VLD(1U)
18590
18591#define S_CI10_ARB0_REQ 23
18592#define V_CI10_ARB0_REQ(x) ((x) << S_CI10_ARB0_REQ)
18593#define F_CI10_ARB0_REQ V_CI10_ARB0_REQ(1U)
18594
18595#define S_ARB0_CI10_GNT 22
18596#define V_ARB0_CI10_GNT(x) ((x) << S_ARB0_CI10_GNT)
18597#define F_ARB0_CI10_GNT V_ARB0_CI10_GNT(1U)
18598
18599#define S_CI10_DM0_WDATA_VLD 21
18600#define V_CI10_DM0_WDATA_VLD(x) ((x) << S_CI10_DM0_WDATA_VLD)
18601#define F_CI10_DM0_WDATA_VLD V_CI10_DM0_WDATA_VLD(1U)
18602
18603#define S_DM0_CI10_RDATA_VLD 20
18604#define V_DM0_CI10_RDATA_VLD(x) ((x) << S_DM0_CI10_RDATA_VLD)
18605#define F_DM0_CI10_RDATA_VLD V_DM0_CI10_RDATA_VLD(1U)
18606
18607#define S_CI11_ARB0_REQ 19
18608#define V_CI11_ARB0_REQ(x) ((x) << S_CI11_ARB0_REQ)
18609#define F_CI11_ARB0_REQ V_CI11_ARB0_REQ(1U)
18610
18611#define S_ARB0_CI11_GNT 18
18612#define V_ARB0_CI11_GNT(x) ((x) << S_ARB0_CI11_GNT)
18613#define F_ARB0_CI11_GNT V_ARB0_CI11_GNT(1U)
18614
18615#define S_CI11_DM0_WDATA_VLD 17
18616#define V_CI11_DM0_WDATA_VLD(x) ((x) << S_CI11_DM0_WDATA_VLD)
18617#define F_CI11_DM0_WDATA_VLD V_CI11_DM0_WDATA_VLD(1U)
18618
18619#define S_DM0_CI11_RDATA_VLD 16
18620#define V_DM0_CI11_RDATA_VLD(x) ((x) << S_DM0_CI11_RDATA_VLD)
18621#define F_DM0_CI11_RDATA_VLD V_DM0_CI11_RDATA_VLD(1U)
18622
18623#define S_CI12_ARB0_REQ 15
18624#define V_CI12_ARB0_REQ(x) ((x) << S_CI12_ARB0_REQ)
18625#define F_CI12_ARB0_REQ V_CI12_ARB0_REQ(1U)
18626
18627#define S_ARB0_CI12_GNT 14
18628#define V_ARB0_CI12_GNT(x) ((x) << S_ARB0_CI12_GNT)
18629#define F_ARB0_CI12_GNT V_ARB0_CI12_GNT(1U)
18630
18631#define S_CI12_DM0_WDATA_VLD 13
18632#define V_CI12_DM0_WDATA_VLD(x) ((x) << S_CI12_DM0_WDATA_VLD)
18633#define F_CI12_DM0_WDATA_VLD V_CI12_DM0_WDATA_VLD(1U)
18634
18635#define S_DM0_CI12_RDATA_VLD 12
18636#define V_DM0_CI12_RDATA_VLD(x) ((x) << S_DM0_CI12_RDATA_VLD)
18637#define F_DM0_CI12_RDATA_VLD V_DM0_CI12_RDATA_VLD(1U)
18638
18639#define A_MA_TARGET_1_ARBITER_INTERFACE_EXTERNAL_REG1 0xa014
18640
18641#define S_CI8_ARB1_REQ 31
18642#define V_CI8_ARB1_REQ(x) ((x) << S_CI8_ARB1_REQ)
18643#define F_CI8_ARB1_REQ V_CI8_ARB1_REQ(1U)
18644
18645#define S_ARB1_CI8_GNT 30
18646#define V_ARB1_CI8_GNT(x) ((x) << S_ARB1_CI8_GNT)
18647#define F_ARB1_CI8_GNT V_ARB1_CI8_GNT(1U)
18648
18649#define S_CI8_DM1_WDATA_VLD 29
18650#define V_CI8_DM1_WDATA_VLD(x) ((x) << S_CI8_DM1_WDATA_VLD)
18651#define F_CI8_DM1_WDATA_VLD V_CI8_DM1_WDATA_VLD(1U)
18652
18653#define S_DM1_CI8_RDATA_VLD 28
18654#define V_DM1_CI8_RDATA_VLD(x) ((x) << S_DM1_CI8_RDATA_VLD)
18655#define F_DM1_CI8_RDATA_VLD V_DM1_CI8_RDATA_VLD(1U)
18656
18657#define S_CI9_ARB1_REQ 27
18658#define V_CI9_ARB1_REQ(x) ((x) << S_CI9_ARB1_REQ)
18659#define F_CI9_ARB1_REQ V_CI9_ARB1_REQ(1U)
18660
18661#define S_ARB1_CI9_GNT 26
18662#define V_ARB1_CI9_GNT(x) ((x) << S_ARB1_CI9_GNT)
18663#define F_ARB1_CI9_GNT V_ARB1_CI9_GNT(1U)
18664
18665#define S_CI9_DM1_WDATA_VLD 25
18666#define V_CI9_DM1_WDATA_VLD(x) ((x) << S_CI9_DM1_WDATA_VLD)
18667#define F_CI9_DM1_WDATA_VLD V_CI9_DM1_WDATA_VLD(1U)
18668
18669#define S_DM1_CI9_RDATA_VLD 24
18670#define V_DM1_CI9_RDATA_VLD(x) ((x) << S_DM1_CI9_RDATA_VLD)
18671#define F_DM1_CI9_RDATA_VLD V_DM1_CI9_RDATA_VLD(1U)
18672
18673#define S_CI10_ARB1_REQ 23
18674#define V_CI10_ARB1_REQ(x) ((x) << S_CI10_ARB1_REQ)
18675#define F_CI10_ARB1_REQ V_CI10_ARB1_REQ(1U)
18676
18677#define S_ARB1_CI10_GNT 22
18678#define V_ARB1_CI10_GNT(x) ((x) << S_ARB1_CI10_GNT)
18679#define F_ARB1_CI10_GNT V_ARB1_CI10_GNT(1U)
18680
18681#define S_CI10_DM1_WDATA_VLD 21
18682#define V_CI10_DM1_WDATA_VLD(x) ((x) << S_CI10_DM1_WDATA_VLD)
18683#define F_CI10_DM1_WDATA_VLD V_CI10_DM1_WDATA_VLD(1U)
18684
18685#define S_DM1_CI10_RDATA_VLD 20
18686#define V_DM1_CI10_RDATA_VLD(x) ((x) << S_DM1_CI10_RDATA_VLD)
18687#define F_DM1_CI10_RDATA_VLD V_DM1_CI10_RDATA_VLD(1U)
18688
18689#define S_CI11_ARB1_REQ 19
18690#define V_CI11_ARB1_REQ(x) ((x) << S_CI11_ARB1_REQ)
18691#define F_CI11_ARB1_REQ V_CI11_ARB1_REQ(1U)
18692
18693#define S_ARB1_CI11_GNT 18
18694#define V_ARB1_CI11_GNT(x) ((x) << S_ARB1_CI11_GNT)
18695#define F_ARB1_CI11_GNT V_ARB1_CI11_GNT(1U)
18696
18697#define S_CI11_DM1_WDATA_VLD 17
18698#define V_CI11_DM1_WDATA_VLD(x) ((x) << S_CI11_DM1_WDATA_VLD)
18699#define F_CI11_DM1_WDATA_VLD V_CI11_DM1_WDATA_VLD(1U)
18700
18701#define S_DM1_CI11_RDATA_VLD 16
18702#define V_DM1_CI11_RDATA_VLD(x) ((x) << S_DM1_CI11_RDATA_VLD)
18703#define F_DM1_CI11_RDATA_VLD V_DM1_CI11_RDATA_VLD(1U)
18704
18705#define S_CI12_ARB1_REQ 15
18706#define V_CI12_ARB1_REQ(x) ((x) << S_CI12_ARB1_REQ)
18707#define F_CI12_ARB1_REQ V_CI12_ARB1_REQ(1U)
18708
18709#define S_ARB1_CI12_GNT 14
18710#define V_ARB1_CI12_GNT(x) ((x) << S_ARB1_CI12_GNT)
18711#define F_ARB1_CI12_GNT V_ARB1_CI12_GNT(1U)
18712
18713#define S_CI12_DM1_WDATA_VLD 13
18714#define V_CI12_DM1_WDATA_VLD(x) ((x) << S_CI12_DM1_WDATA_VLD)
18715#define F_CI12_DM1_WDATA_VLD V_CI12_DM1_WDATA_VLD(1U)
18716
18717#define S_DM1_CI12_RDATA_VLD 12
18718#define V_DM1_CI12_RDATA_VLD(x) ((x) << S_DM1_CI12_RDATA_VLD)
18719#define F_DM1_CI12_RDATA_VLD V_DM1_CI12_RDATA_VLD(1U)
18720
18721#define A_MA_TARGET_2_ARBITER_INTERFACE_EXTERNAL_REG1 0xa015
18722
18723#define S_CI8_ARB2_REQ 31
18724#define V_CI8_ARB2_REQ(x) ((x) << S_CI8_ARB2_REQ)
18725#define F_CI8_ARB2_REQ V_CI8_ARB2_REQ(1U)
18726
18727#define S_ARB2_CI8_GNT 30
18728#define V_ARB2_CI8_GNT(x) ((x) << S_ARB2_CI8_GNT)
18729#define F_ARB2_CI8_GNT V_ARB2_CI8_GNT(1U)
18730
18731#define S_CI8_DM2_WDATA_VLD 29
18732#define V_CI8_DM2_WDATA_VLD(x) ((x) << S_CI8_DM2_WDATA_VLD)
18733#define F_CI8_DM2_WDATA_VLD V_CI8_DM2_WDATA_VLD(1U)
18734
18735#define S_DM2_CI8_RDATA_VLD 28
18736#define V_DM2_CI8_RDATA_VLD(x) ((x) << S_DM2_CI8_RDATA_VLD)
18737#define F_DM2_CI8_RDATA_VLD V_DM2_CI8_RDATA_VLD(1U)
18738
18739#define S_CI9_ARB2_REQ 27
18740#define V_CI9_ARB2_REQ(x) ((x) << S_CI9_ARB2_REQ)
18741#define F_CI9_ARB2_REQ V_CI9_ARB2_REQ(1U)
18742
18743#define S_ARB2_CI9_GNT 26
18744#define V_ARB2_CI9_GNT(x) ((x) << S_ARB2_CI9_GNT)
18745#define F_ARB2_CI9_GNT V_ARB2_CI9_GNT(1U)
18746
18747#define S_CI9_DM2_WDATA_VLD 25
18748#define V_CI9_DM2_WDATA_VLD(x) ((x) << S_CI9_DM2_WDATA_VLD)
18749#define F_CI9_DM2_WDATA_VLD V_CI9_DM2_WDATA_VLD(1U)
18750
18751#define S_DM2_CI9_RDATA_VLD 24
18752#define V_DM2_CI9_RDATA_VLD(x) ((x) << S_DM2_CI9_RDATA_VLD)
18753#define F_DM2_CI9_RDATA_VLD V_DM2_CI9_RDATA_VLD(1U)
18754
18755#define S_CI10_ARB2_REQ 23
18756#define V_CI10_ARB2_REQ(x) ((x) << S_CI10_ARB2_REQ)
18757#define F_CI10_ARB2_REQ V_CI10_ARB2_REQ(1U)
18758
18759#define S_ARB2_CI10_GNT 22
18760#define V_ARB2_CI10_GNT(x) ((x) << S_ARB2_CI10_GNT)
18761#define F_ARB2_CI10_GNT V_ARB2_CI10_GNT(1U)
18762
18763#define S_CI10_DM2_WDATA_VLD 21
18764#define V_CI10_DM2_WDATA_VLD(x) ((x) << S_CI10_DM2_WDATA_VLD)
18765#define F_CI10_DM2_WDATA_VLD V_CI10_DM2_WDATA_VLD(1U)
18766
18767#define S_DM2_CI10_RDATA_VLD 20
18768#define V_DM2_CI10_RDATA_VLD(x) ((x) << S_DM2_CI10_RDATA_VLD)
18769#define F_DM2_CI10_RDATA_VLD V_DM2_CI10_RDATA_VLD(1U)
18770
18771#define S_CI11_ARB2_REQ 19
18772#define V_CI11_ARB2_REQ(x) ((x) << S_CI11_ARB2_REQ)
18773#define F_CI11_ARB2_REQ V_CI11_ARB2_REQ(1U)
18774
18775#define S_ARB2_CI11_GNT 18
18776#define V_ARB2_CI11_GNT(x) ((x) << S_ARB2_CI11_GNT)
18777#define F_ARB2_CI11_GNT V_ARB2_CI11_GNT(1U)
18778
18779#define S_CI11_DM2_WDATA_VLD 17
18780#define V_CI11_DM2_WDATA_VLD(x) ((x) << S_CI11_DM2_WDATA_VLD)
18781#define F_CI11_DM2_WDATA_VLD V_CI11_DM2_WDATA_VLD(1U)
18782
18783#define S_DM2_CI11_RDATA_VLD 16
18784#define V_DM2_CI11_RDATA_VLD(x) ((x) << S_DM2_CI11_RDATA_VLD)
18785#define F_DM2_CI11_RDATA_VLD V_DM2_CI11_RDATA_VLD(1U)
18786
18787#define S_CI12_ARB2_REQ 15
18788#define V_CI12_ARB2_REQ(x) ((x) << S_CI12_ARB2_REQ)
18789#define F_CI12_ARB2_REQ V_CI12_ARB2_REQ(1U)
18790
18791#define S_ARB2_CI12_GNT 14
18792#define V_ARB2_CI12_GNT(x) ((x) << S_ARB2_CI12_GNT)
18793#define F_ARB2_CI12_GNT V_ARB2_CI12_GNT(1U)
18794
18795#define S_CI12_DM2_WDATA_VLD 13
18796#define V_CI12_DM2_WDATA_VLD(x) ((x) << S_CI12_DM2_WDATA_VLD)
18797#define F_CI12_DM2_WDATA_VLD V_CI12_DM2_WDATA_VLD(1U)
18798
18799#define S_DM2_CI12_RDATA_VLD 12
18800#define V_DM2_CI12_RDATA_VLD(x) ((x) << S_DM2_CI12_RDATA_VLD)
18801#define F_DM2_CI12_RDATA_VLD V_DM2_CI12_RDATA_VLD(1U)
18802
18803#define A_MA_TARGET_3_ARBITER_INTERFACE_EXTERNAL_REG1 0xa016
18804
18805#define S_CI8_ARB3_REQ 31
18806#define V_CI8_ARB3_REQ(x) ((x) << S_CI8_ARB3_REQ)
18807#define F_CI8_ARB3_REQ V_CI8_ARB3_REQ(1U)
18808
18809#define S_ARB3_CI8_GNT 30
18810#define V_ARB3_CI8_GNT(x) ((x) << S_ARB3_CI8_GNT)
18811#define F_ARB3_CI8_GNT V_ARB3_CI8_GNT(1U)
18812
18813#define S_CI8_DM3_WDATA_VLD 29
18814#define V_CI8_DM3_WDATA_VLD(x) ((x) << S_CI8_DM3_WDATA_VLD)
18815#define F_CI8_DM3_WDATA_VLD V_CI8_DM3_WDATA_VLD(1U)
18816
18817#define S_DM3_CI8_RDATA_VLD 28
18818#define V_DM3_CI8_RDATA_VLD(x) ((x) << S_DM3_CI8_RDATA_VLD)
18819#define F_DM3_CI8_RDATA_VLD V_DM3_CI8_RDATA_VLD(1U)
18820
18821#define S_CI9_ARB3_REQ 27
18822#define V_CI9_ARB3_REQ(x) ((x) << S_CI9_ARB3_REQ)
18823#define F_CI9_ARB3_REQ V_CI9_ARB3_REQ(1U)
18824
18825#define S_ARB3_CI9_GNT 26
18826#define V_ARB3_CI9_GNT(x) ((x) << S_ARB3_CI9_GNT)
18827#define F_ARB3_CI9_GNT V_ARB3_CI9_GNT(1U)
18828
18829#define S_CI9_DM3_WDATA_VLD 25
18830#define V_CI9_DM3_WDATA_VLD(x) ((x) << S_CI9_DM3_WDATA_VLD)
18831#define F_CI9_DM3_WDATA_VLD V_CI9_DM3_WDATA_VLD(1U)
18832
18833#define S_DM3_CI9_RDATA_VLD 24
18834#define V_DM3_CI9_RDATA_VLD(x) ((x) << S_DM3_CI9_RDATA_VLD)
18835#define F_DM3_CI9_RDATA_VLD V_DM3_CI9_RDATA_VLD(1U)
18836
18837#define S_CI10_ARB3_REQ 23
18838#define V_CI10_ARB3_REQ(x) ((x) << S_CI10_ARB3_REQ)
18839#define F_CI10_ARB3_REQ V_CI10_ARB3_REQ(1U)
18840
18841#define S_ARB3_CI10_GNT 22
18842#define V_ARB3_CI10_GNT(x) ((x) << S_ARB3_CI10_GNT)
18843#define F_ARB3_CI10_GNT V_ARB3_CI10_GNT(1U)
18844
18845#define S_CI10_DM3_WDATA_VLD 21
18846#define V_CI10_DM3_WDATA_VLD(x) ((x) << S_CI10_DM3_WDATA_VLD)
18847#define F_CI10_DM3_WDATA_VLD V_CI10_DM3_WDATA_VLD(1U)
18848
18849#define S_DM3_CI10_RDATA_VLD 20
18850#define V_DM3_CI10_RDATA_VLD(x) ((x) << S_DM3_CI10_RDATA_VLD)
18851#define F_DM3_CI10_RDATA_VLD V_DM3_CI10_RDATA_VLD(1U)
18852
18853#define S_CI11_ARB3_REQ 19
18854#define V_CI11_ARB3_REQ(x) ((x) << S_CI11_ARB3_REQ)
18855#define F_CI11_ARB3_REQ V_CI11_ARB3_REQ(1U)
18856
18857#define S_ARB3_CI11_GNT 18
18858#define V_ARB3_CI11_GNT(x) ((x) << S_ARB3_CI11_GNT)
18859#define F_ARB3_CI11_GNT V_ARB3_CI11_GNT(1U)
18860
18861#define S_CI11_DM3_WDATA_VLD 17
18862#define V_CI11_DM3_WDATA_VLD(x) ((x) << S_CI11_DM3_WDATA_VLD)
18863#define F_CI11_DM3_WDATA_VLD V_CI11_DM3_WDATA_VLD(1U)
18864
18865#define S_DM3_CI11_RDATA_VLD 16
18866#define V_DM3_CI11_RDATA_VLD(x) ((x) << S_DM3_CI11_RDATA_VLD)
18867#define F_DM3_CI11_RDATA_VLD V_DM3_CI11_RDATA_VLD(1U)
18868
18869#define S_CI12_ARB3_REQ 15
18870#define V_CI12_ARB3_REQ(x) ((x) << S_CI12_ARB3_REQ)
18871#define F_CI12_ARB3_REQ V_CI12_ARB3_REQ(1U)
18872
18873#define S_ARB3_CI12_GNT 14
18874#define V_ARB3_CI12_GNT(x) ((x) << S_ARB3_CI12_GNT)
18875#define F_ARB3_CI12_GNT V_ARB3_CI12_GNT(1U)
18876
18877#define S_CI12_DM3_WDATA_VLD 13
18878#define V_CI12_DM3_WDATA_VLD(x) ((x) << S_CI12_DM3_WDATA_VLD)
18879#define F_CI12_DM3_WDATA_VLD V_CI12_DM3_WDATA_VLD(1U)
18880
18881#define S_DM3_CI12_RDATA_VLD 12
18882#define V_DM3_CI12_RDATA_VLD(x) ((x) << S_DM3_CI12_RDATA_VLD)
18883#define F_DM3_CI12_RDATA_VLD V_DM3_CI12_RDATA_VLD(1U)
18884
18885#define A_MA_SGE_THREAD_0_CLIENT_INTERFACE_INTERNAL_REG0 0xa400
18886
18887#define S_CMD_IN_FIFO_CNT0 30
18888#define M_CMD_IN_FIFO_CNT0 0x3U
18889#define V_CMD_IN_FIFO_CNT0(x) ((x) << S_CMD_IN_FIFO_CNT0)
18890#define G_CMD_IN_FIFO_CNT0(x) (((x) >> S_CMD_IN_FIFO_CNT0) & M_CMD_IN_FIFO_CNT0)
18891
18892#define S_CMD_SPLIT_FIFO_CNT0 28
18893#define M_CMD_SPLIT_FIFO_CNT0 0x3U
18894#define V_CMD_SPLIT_FIFO_CNT0(x) ((x) << S_CMD_SPLIT_FIFO_CNT0)
18895#define G_CMD_SPLIT_FIFO_CNT0(x) (((x) >> S_CMD_SPLIT_FIFO_CNT0) & M_CMD_SPLIT_FIFO_CNT0)
18896
18897#define S_CMD_THROTTLE_FIFO_CNT0 22
18898#define M_CMD_THROTTLE_FIFO_CNT0 0x3fU
18899#define V_CMD_THROTTLE_FIFO_CNT0(x) ((x) << S_CMD_THROTTLE_FIFO_CNT0)
18900#define G_CMD_THROTTLE_FIFO_CNT0(x) (((x) >> S_CMD_THROTTLE_FIFO_CNT0) & M_CMD_THROTTLE_FIFO_CNT0)
18901
18902#define S_RD_CHNL_FIFO_CNT0 15
18903#define M_RD_CHNL_FIFO_CNT0 0x7fU
18904#define V_RD_CHNL_FIFO_CNT0(x) ((x) << S_RD_CHNL_FIFO_CNT0)
18905#define G_RD_CHNL_FIFO_CNT0(x) (((x) >> S_RD_CHNL_FIFO_CNT0) & M_RD_CHNL_FIFO_CNT0)
18906
18907#define S_RD_DATA_EXT_FIFO_CNT0 13
18908#define M_RD_DATA_EXT_FIFO_CNT0 0x3U
18909#define V_RD_DATA_EXT_FIFO_CNT0(x) ((x) << S_RD_DATA_EXT_FIFO_CNT0)
18910#define G_RD_DATA_EXT_FIFO_CNT0(x) (((x) >> S_RD_DATA_EXT_FIFO_CNT0) & M_RD_DATA_EXT_FIFO_CNT0)
18911
18912#define S_RD_DATA_512B_FIFO_CNT0 5
18913#define M_RD_DATA_512B_FIFO_CNT0 0xffU
18914#define V_RD_DATA_512B_FIFO_CNT0(x) ((x) << S_RD_DATA_512B_FIFO_CNT0)
18915#define G_RD_DATA_512B_FIFO_CNT0(x) (((x) >> S_RD_DATA_512B_FIFO_CNT0) & M_RD_DATA_512B_FIFO_CNT0)
18916
18917#define S_RD_REQ_TAG_FIFO_CNT0 1
18918#define M_RD_REQ_TAG_FIFO_CNT0 0xfU
18919#define V_RD_REQ_TAG_FIFO_CNT0(x) ((x) << S_RD_REQ_TAG_FIFO_CNT0)
18920#define G_RD_REQ_TAG_FIFO_CNT0(x) (((x) >> S_RD_REQ_TAG_FIFO_CNT0) & M_RD_REQ_TAG_FIFO_CNT0)
18921
18922#define A_MA_SGE_THREAD_1_CLIENT_INTERFACE_INTERNAL_REG0 0xa401
18923
18924#define S_CMD_IN_FIFO_CNT1 30
18925#define M_CMD_IN_FIFO_CNT1 0x3U
18926#define V_CMD_IN_FIFO_CNT1(x) ((x) << S_CMD_IN_FIFO_CNT1)
18927#define G_CMD_IN_FIFO_CNT1(x) (((x) >> S_CMD_IN_FIFO_CNT1) & M_CMD_IN_FIFO_CNT1)
18928
18929#define S_CMD_SPLIT_FIFO_CNT1 28
18930#define M_CMD_SPLIT_FIFO_CNT1 0x3U
18931#define V_CMD_SPLIT_FIFO_CNT1(x) ((x) << S_CMD_SPLIT_FIFO_CNT1)
18932#define G_CMD_SPLIT_FIFO_CNT1(x) (((x) >> S_CMD_SPLIT_FIFO_CNT1) & M_CMD_SPLIT_FIFO_CNT1)
18933
18934#define S_CMD_THROTTLE_FIFO_CNT1 22
18935#define M_CMD_THROTTLE_FIFO_CNT1 0x3fU
18936#define V_CMD_THROTTLE_FIFO_CNT1(x) ((x) << S_CMD_THROTTLE_FIFO_CNT1)
18937#define G_CMD_THROTTLE_FIFO_CNT1(x) (((x) >> S_CMD_THROTTLE_FIFO_CNT1) & M_CMD_THROTTLE_FIFO_CNT1)
18938
18939#define S_RD_CHNL_FIFO_CNT1 15
18940#define M_RD_CHNL_FIFO_CNT1 0x7fU
18941#define V_RD_CHNL_FIFO_CNT1(x) ((x) << S_RD_CHNL_FIFO_CNT1)
18942#define G_RD_CHNL_FIFO_CNT1(x) (((x) >> S_RD_CHNL_FIFO_CNT1) & M_RD_CHNL_FIFO_CNT1)
18943
18944#define S_RD_DATA_EXT_FIFO_CNT1 13
18945#define M_RD_DATA_EXT_FIFO_CNT1 0x3U
18946#define V_RD_DATA_EXT_FIFO_CNT1(x) ((x) << S_RD_DATA_EXT_FIFO_CNT1)
18947#define G_RD_DATA_EXT_FIFO_CNT1(x) (((x) >> S_RD_DATA_EXT_FIFO_CNT1) & M_RD_DATA_EXT_FIFO_CNT1)
18948
18949#define S_RD_DATA_512B_FIFO_CNT1 5
18950#define M_RD_DATA_512B_FIFO_CNT1 0xffU
18951#define V_RD_DATA_512B_FIFO_CNT1(x) ((x) << S_RD_DATA_512B_FIFO_CNT1)
18952#define G_RD_DATA_512B_FIFO_CNT1(x) (((x) >> S_RD_DATA_512B_FIFO_CNT1) & M_RD_DATA_512B_FIFO_CNT1)
18953
18954#define S_RD_REQ_TAG_FIFO_CNT1 1
18955#define M_RD_REQ_TAG_FIFO_CNT1 0xfU
18956#define V_RD_REQ_TAG_FIFO_CNT1(x) ((x) << S_RD_REQ_TAG_FIFO_CNT1)
18957#define G_RD_REQ_TAG_FIFO_CNT1(x) (((x) >> S_RD_REQ_TAG_FIFO_CNT1) & M_RD_REQ_TAG_FIFO_CNT1)
18958
18959#define A_MA_ULP_TX_CLIENT_INTERFACE_INTERNAL_REG0 0xa402
18960
18961#define S_CMD_IN_FIFO_CNT2 30
18962#define M_CMD_IN_FIFO_CNT2 0x3U
18963#define V_CMD_IN_FIFO_CNT2(x) ((x) << S_CMD_IN_FIFO_CNT2)
18964#define G_CMD_IN_FIFO_CNT2(x) (((x) >> S_CMD_IN_FIFO_CNT2) & M_CMD_IN_FIFO_CNT2)
18965
18966#define S_CMD_SPLIT_FIFO_CNT2 28
18967#define M_CMD_SPLIT_FIFO_CNT2 0x3U
18968#define V_CMD_SPLIT_FIFO_CNT2(x) ((x) << S_CMD_SPLIT_FIFO_CNT2)
18969#define G_CMD_SPLIT_FIFO_CNT2(x) (((x) >> S_CMD_SPLIT_FIFO_CNT2) & M_CMD_SPLIT_FIFO_CNT2)
18970
18971#define S_CMD_THROTTLE_FIFO_CNT2 22
18972#define M_CMD_THROTTLE_FIFO_CNT2 0x3fU
18973#define V_CMD_THROTTLE_FIFO_CNT2(x) ((x) << S_CMD_THROTTLE_FIFO_CNT2)
18974#define G_CMD_THROTTLE_FIFO_CNT2(x) (((x) >> S_CMD_THROTTLE_FIFO_CNT2) & M_CMD_THROTTLE_FIFO_CNT2)
18975
18976#define S_RD_CHNL_FIFO_CNT2 15
18977#define M_RD_CHNL_FIFO_CNT2 0x7fU
18978#define V_RD_CHNL_FIFO_CNT2(x) ((x) << S_RD_CHNL_FIFO_CNT2)
18979#define G_RD_CHNL_FIFO_CNT2(x) (((x) >> S_RD_CHNL_FIFO_CNT2) & M_RD_CHNL_FIFO_CNT2)
18980
18981#define S_RD_DATA_EXT_FIFO_CNT2 13
18982#define M_RD_DATA_EXT_FIFO_CNT2 0x3U
18983#define V_RD_DATA_EXT_FIFO_CNT2(x) ((x) << S_RD_DATA_EXT_FIFO_CNT2)
18984#define G_RD_DATA_EXT_FIFO_CNT2(x) (((x) >> S_RD_DATA_EXT_FIFO_CNT2) & M_RD_DATA_EXT_FIFO_CNT2)
18985
18986#define S_RD_DATA_512B_FIFO_CNT2 5
18987#define M_RD_DATA_512B_FIFO_CNT2 0xffU
18988#define V_RD_DATA_512B_FIFO_CNT2(x) ((x) << S_RD_DATA_512B_FIFO_CNT2)
18989#define G_RD_DATA_512B_FIFO_CNT2(x) (((x) >> S_RD_DATA_512B_FIFO_CNT2) & M_RD_DATA_512B_FIFO_CNT2)
18990
18991#define S_RD_REQ_TAG_FIFO_CNT2 1
18992#define M_RD_REQ_TAG_FIFO_CNT2 0xfU
18993#define V_RD_REQ_TAG_FIFO_CNT2(x) ((x) << S_RD_REQ_TAG_FIFO_CNT2)
18994#define G_RD_REQ_TAG_FIFO_CNT2(x) (((x) >> S_RD_REQ_TAG_FIFO_CNT2) & M_RD_REQ_TAG_FIFO_CNT2)
18995
18996#define A_MA_ULP_RX_CLIENT_INTERFACE_INTERNAL_REG0 0xa403
18997
18998#define S_CMD_IN_FIFO_CNT3 30
18999#define M_CMD_IN_FIFO_CNT3 0x3U
19000#define V_CMD_IN_FIFO_CNT3(x) ((x) << S_CMD_IN_FIFO_CNT3)
19001#define G_CMD_IN_FIFO_CNT3(x) (((x) >> S_CMD_IN_FIFO_CNT3) & M_CMD_IN_FIFO_CNT3)
19002
19003#define S_CMD_SPLIT_FIFO_CNT3 28
19004#define M_CMD_SPLIT_FIFO_CNT3 0x3U
19005#define V_CMD_SPLIT_FIFO_CNT3(x) ((x) << S_CMD_SPLIT_FIFO_CNT3)
19006#define G_CMD_SPLIT_FIFO_CNT3(x) (((x) >> S_CMD_SPLIT_FIFO_CNT3) & M_CMD_SPLIT_FIFO_CNT3)
19007
19008#define S_CMD_THROTTLE_FIFO_CNT3 22
19009#define M_CMD_THROTTLE_FIFO_CNT3 0x3fU
19010#define V_CMD_THROTTLE_FIFO_CNT3(x) ((x) << S_CMD_THROTTLE_FIFO_CNT3)
19011#define G_CMD_THROTTLE_FIFO_CNT3(x) (((x) >> S_CMD_THROTTLE_FIFO_CNT3) & M_CMD_THROTTLE_FIFO_CNT3)
19012
19013#define S_RD_CHNL_FIFO_CNT3 15
19014#define M_RD_CHNL_FIFO_CNT3 0x7fU
19015#define V_RD_CHNL_FIFO_CNT3(x) ((x) << S_RD_CHNL_FIFO_CNT3)
19016#define G_RD_CHNL_FIFO_CNT3(x) (((x) >> S_RD_CHNL_FIFO_CNT3) & M_RD_CHNL_FIFO_CNT3)
19017
19018#define S_RD_DATA_EXT_FIFO_CNT3 13
19019#define M_RD_DATA_EXT_FIFO_CNT3 0x3U
19020#define V_RD_DATA_EXT_FIFO_CNT3(x) ((x) << S_RD_DATA_EXT_FIFO_CNT3)
19021#define G_RD_DATA_EXT_FIFO_CNT3(x) (((x) >> S_RD_DATA_EXT_FIFO_CNT3) & M_RD_DATA_EXT_FIFO_CNT3)
19022
19023#define S_RD_DATA_512B_FIFO_CNT3 5
19024#define M_RD_DATA_512B_FIFO_CNT3 0xffU
19025#define V_RD_DATA_512B_FIFO_CNT3(x) ((x) << S_RD_DATA_512B_FIFO_CNT3)
19026#define G_RD_DATA_512B_FIFO_CNT3(x) (((x) >> S_RD_DATA_512B_FIFO_CNT3) & M_RD_DATA_512B_FIFO_CNT3)
19027
19028#define S_RD_REQ_TAG_FIFO_CNT3 1
19029#define M_RD_REQ_TAG_FIFO_CNT3 0xfU
19030#define V_RD_REQ_TAG_FIFO_CNT3(x) ((x) << S_RD_REQ_TAG_FIFO_CNT3)
19031#define G_RD_REQ_TAG_FIFO_CNT3(x) (((x) >> S_RD_REQ_TAG_FIFO_CNT3) & M_RD_REQ_TAG_FIFO_CNT3)
19032
19033#define A_MA_ULP_TX_RX_CLIENT_INTERFACE_INTERNAL_REG0 0xa404
19034
19035#define S_CMD_IN_FIFO_CNT4 30
19036#define M_CMD_IN_FIFO_CNT4 0x3U
19037#define V_CMD_IN_FIFO_CNT4(x) ((x) << S_CMD_IN_FIFO_CNT4)
19038#define G_CMD_IN_FIFO_CNT4(x) (((x) >> S_CMD_IN_FIFO_CNT4) & M_CMD_IN_FIFO_CNT4)
19039
19040#define S_CMD_SPLIT_FIFO_CNT4 28
19041#define M_CMD_SPLIT_FIFO_CNT4 0x3U
19042#define V_CMD_SPLIT_FIFO_CNT4(x) ((x) << S_CMD_SPLIT_FIFO_CNT4)
19043#define G_CMD_SPLIT_FIFO_CNT4(x) (((x) >> S_CMD_SPLIT_FIFO_CNT4) & M_CMD_SPLIT_FIFO_CNT4)
19044
19045#define S_CMD_THROTTLE_FIFO_CNT4 22
19046#define M_CMD_THROTTLE_FIFO_CNT4 0x3fU
19047#define V_CMD_THROTTLE_FIFO_CNT4(x) ((x) << S_CMD_THROTTLE_FIFO_CNT4)
19048#define G_CMD_THROTTLE_FIFO_CNT4(x) (((x) >> S_CMD_THROTTLE_FIFO_CNT4) & M_CMD_THROTTLE_FIFO_CNT4)
19049
19050#define S_RD_CHNL_FIFO_CNT4 15
19051#define M_RD_CHNL_FIFO_CNT4 0x7fU
19052#define V_RD_CHNL_FIFO_CNT4(x) ((x) << S_RD_CHNL_FIFO_CNT4)
19053#define G_RD_CHNL_FIFO_CNT4(x) (((x) >> S_RD_CHNL_FIFO_CNT4) & M_RD_CHNL_FIFO_CNT4)
19054
19055#define S_RD_DATA_EXT_FIFO_CNT4 13
19056#define M_RD_DATA_EXT_FIFO_CNT4 0x3U
19057#define V_RD_DATA_EXT_FIFO_CNT4(x) ((x) << S_RD_DATA_EXT_FIFO_CNT4)
19058#define G_RD_DATA_EXT_FIFO_CNT4(x) (((x) >> S_RD_DATA_EXT_FIFO_CNT4) & M_RD_DATA_EXT_FIFO_CNT4)
19059
19060#define S_RD_DATA_512B_FIFO_CNT4 5
19061#define M_RD_DATA_512B_FIFO_CNT4 0xffU
19062#define V_RD_DATA_512B_FIFO_CNT4(x) ((x) << S_RD_DATA_512B_FIFO_CNT4)
19063#define G_RD_DATA_512B_FIFO_CNT4(x) (((x) >> S_RD_DATA_512B_FIFO_CNT4) & M_RD_DATA_512B_FIFO_CNT4)
19064
19065#define S_RD_REQ_TAG_FIFO_CNT4 1
19066#define M_RD_REQ_TAG_FIFO_CNT4 0xfU
19067#define V_RD_REQ_TAG_FIFO_CNT4(x) ((x) << S_RD_REQ_TAG_FIFO_CNT4)
19068#define G_RD_REQ_TAG_FIFO_CNT4(x) (((x) >> S_RD_REQ_TAG_FIFO_CNT4) & M_RD_REQ_TAG_FIFO_CNT4)
19069
19070#define A_MA_TP_THREAD_0_CLIENT_INTERFACE_INTERNAL_REG0 0xa405
19071
19072#define S_CMD_IN_FIFO_CNT5 30
19073#define M_CMD_IN_FIFO_CNT5 0x3U
19074#define V_CMD_IN_FIFO_CNT5(x) ((x) << S_CMD_IN_FIFO_CNT5)
19075#define G_CMD_IN_FIFO_CNT5(x) (((x) >> S_CMD_IN_FIFO_CNT5) & M_CMD_IN_FIFO_CNT5)
19076
19077#define S_CMD_SPLIT_FIFO_CNT5 28
19078#define M_CMD_SPLIT_FIFO_CNT5 0x3U
19079#define V_CMD_SPLIT_FIFO_CNT5(x) ((x) << S_CMD_SPLIT_FIFO_CNT5)
19080#define G_CMD_SPLIT_FIFO_CNT5(x) (((x) >> S_CMD_SPLIT_FIFO_CNT5) & M_CMD_SPLIT_FIFO_CNT5)
19081
19082#define S_CMD_THROTTLE_FIFO_CNT5 22
19083#define M_CMD_THROTTLE_FIFO_CNT5 0x3fU
19084#define V_CMD_THROTTLE_FIFO_CNT5(x) ((x) << S_CMD_THROTTLE_FIFO_CNT5)
19085#define G_CMD_THROTTLE_FIFO_CNT5(x) (((x) >> S_CMD_THROTTLE_FIFO_CNT5) & M_CMD_THROTTLE_FIFO_CNT5)
19086
19087#define S_RD_CHNL_FIFO_CNT5 15
19088#define M_RD_CHNL_FIFO_CNT5 0x7fU
19089#define V_RD_CHNL_FIFO_CNT5(x) ((x) << S_RD_CHNL_FIFO_CNT5)
19090#define G_RD_CHNL_FIFO_CNT5(x) (((x) >> S_RD_CHNL_FIFO_CNT5) & M_RD_CHNL_FIFO_CNT5)
19091
19092#define S_RD_DATA_EXT_FIFO_CNT5 13
19093#define M_RD_DATA_EXT_FIFO_CNT5 0x3U
19094#define V_RD_DATA_EXT_FIFO_CNT5(x) ((x) << S_RD_DATA_EXT_FIFO_CNT5)
19095#define G_RD_DATA_EXT_FIFO_CNT5(x) (((x) >> S_RD_DATA_EXT_FIFO_CNT5) & M_RD_DATA_EXT_FIFO_CNT5)
19096
19097#define S_RD_DATA_512B_FIFO_CNT5 5
19098#define M_RD_DATA_512B_FIFO_CNT5 0xffU
19099#define V_RD_DATA_512B_FIFO_CNT5(x) ((x) << S_RD_DATA_512B_FIFO_CNT5)
19100#define G_RD_DATA_512B_FIFO_CNT5(x) (((x) >> S_RD_DATA_512B_FIFO_CNT5) & M_RD_DATA_512B_FIFO_CNT5)
19101
19102#define S_RD_REQ_TAG_FIFO_CNT5 1
19103#define M_RD_REQ_TAG_FIFO_CNT5 0xfU
19104#define V_RD_REQ_TAG_FIFO_CNT5(x) ((x) << S_RD_REQ_TAG_FIFO_CNT5)
19105#define G_RD_REQ_TAG_FIFO_CNT5(x) (((x) >> S_RD_REQ_TAG_FIFO_CNT5) & M_RD_REQ_TAG_FIFO_CNT5)
19106
19107#define A_MA_TP_THREAD_1_CLIENT_INTERFACE_INTERNAL_REG0 0xa406
19108
19109#define S_CMD_IN_FIFO_CNT6 30
19110#define M_CMD_IN_FIFO_CNT6 0x3U
19111#define V_CMD_IN_FIFO_CNT6(x) ((x) << S_CMD_IN_FIFO_CNT6)
19112#define G_CMD_IN_FIFO_CNT6(x) (((x) >> S_CMD_IN_FIFO_CNT6) & M_CMD_IN_FIFO_CNT6)
19113
19114#define S_CMD_SPLIT_FIFO_CNT6 28
19115#define M_CMD_SPLIT_FIFO_CNT6 0x3U
19116#define V_CMD_SPLIT_FIFO_CNT6(x) ((x) << S_CMD_SPLIT_FIFO_CNT6)
19117#define G_CMD_SPLIT_FIFO_CNT6(x) (((x) >> S_CMD_SPLIT_FIFO_CNT6) & M_CMD_SPLIT_FIFO_CNT6)
19118
19119#define S_CMD_THROTTLE_FIFO_CNT6 22
19120#define M_CMD_THROTTLE_FIFO_CNT6 0x3fU
19121#define V_CMD_THROTTLE_FIFO_CNT6(x) ((x) << S_CMD_THROTTLE_FIFO_CNT6)
19122#define G_CMD_THROTTLE_FIFO_CNT6(x) (((x) >> S_CMD_THROTTLE_FIFO_CNT6) & M_CMD_THROTTLE_FIFO_CNT6)
19123
19124#define S_RD_CHNL_FIFO_CNT6 15
19125#define M_RD_CHNL_FIFO_CNT6 0x7fU
19126#define V_RD_CHNL_FIFO_CNT6(x) ((x) << S_RD_CHNL_FIFO_CNT6)
19127#define G_RD_CHNL_FIFO_CNT6(x) (((x) >> S_RD_CHNL_FIFO_CNT6) & M_RD_CHNL_FIFO_CNT6)
19128
19129#define S_RD_DATA_EXT_FIFO_CNT6 13
19130#define M_RD_DATA_EXT_FIFO_CNT6 0x3U
19131#define V_RD_DATA_EXT_FIFO_CNT6(x) ((x) << S_RD_DATA_EXT_FIFO_CNT6)
19132#define G_RD_DATA_EXT_FIFO_CNT6(x) (((x) >> S_RD_DATA_EXT_FIFO_CNT6) & M_RD_DATA_EXT_FIFO_CNT6)
19133
19134#define S_RD_DATA_512B_FIFO_CNT6 5
19135#define M_RD_DATA_512B_FIFO_CNT6 0xffU
19136#define V_RD_DATA_512B_FIFO_CNT6(x) ((x) << S_RD_DATA_512B_FIFO_CNT6)
19137#define G_RD_DATA_512B_FIFO_CNT6(x) (((x) >> S_RD_DATA_512B_FIFO_CNT6) & M_RD_DATA_512B_FIFO_CNT6)
19138
19139#define S_RD_REQ_TAG_FIFO_CNT6 1
19140#define M_RD_REQ_TAG_FIFO_CNT6 0xfU
19141#define V_RD_REQ_TAG_FIFO_CNT6(x) ((x) << S_RD_REQ_TAG_FIFO_CNT6)
19142#define G_RD_REQ_TAG_FIFO_CNT6(x) (((x) >> S_RD_REQ_TAG_FIFO_CNT6) & M_RD_REQ_TAG_FIFO_CNT6)
19143
19144#define A_MA_LE_CLIENT_INTERFACE_INTERNAL_REG0 0xa407
19145
19146#define S_CMD_IN_FIFO_CNT7 30
19147#define M_CMD_IN_FIFO_CNT7 0x3U
19148#define V_CMD_IN_FIFO_CNT7(x) ((x) << S_CMD_IN_FIFO_CNT7)
19149#define G_CMD_IN_FIFO_CNT7(x) (((x) >> S_CMD_IN_FIFO_CNT7) & M_CMD_IN_FIFO_CNT7)
19150
19151#define S_CMD_SPLIT_FIFO_CNT7 28
19152#define M_CMD_SPLIT_FIFO_CNT7 0x3U
19153#define V_CMD_SPLIT_FIFO_CNT7(x) ((x) << S_CMD_SPLIT_FIFO_CNT7)
19154#define G_CMD_SPLIT_FIFO_CNT7(x) (((x) >> S_CMD_SPLIT_FIFO_CNT7) & M_CMD_SPLIT_FIFO_CNT7)
19155
19156#define S_CMD_THROTTLE_FIFO_CNT7 22
19157#define M_CMD_THROTTLE_FIFO_CNT7 0x3fU
19158#define V_CMD_THROTTLE_FIFO_CNT7(x) ((x) << S_CMD_THROTTLE_FIFO_CNT7)
19159#define G_CMD_THROTTLE_FIFO_CNT7(x) (((x) >> S_CMD_THROTTLE_FIFO_CNT7) & M_CMD_THROTTLE_FIFO_CNT7)
19160
19161#define S_RD_CHNL_FIFO_CNT7 15
19162#define M_RD_CHNL_FIFO_CNT7 0x7fU
19163#define V_RD_CHNL_FIFO_CNT7(x) ((x) << S_RD_CHNL_FIFO_CNT7)
19164#define G_RD_CHNL_FIFO_CNT7(x) (((x) >> S_RD_CHNL_FIFO_CNT7) & M_RD_CHNL_FIFO_CNT7)
19165
19166#define S_RD_DATA_EXT_FIFO_CNT7 13
19167#define M_RD_DATA_EXT_FIFO_CNT7 0x3U
19168#define V_RD_DATA_EXT_FIFO_CNT7(x) ((x) << S_RD_DATA_EXT_FIFO_CNT7)
19169#define G_RD_DATA_EXT_FIFO_CNT7(x) (((x) >> S_RD_DATA_EXT_FIFO_CNT7) & M_RD_DATA_EXT_FIFO_CNT7)
19170
19171#define S_RD_DATA_512B_FIFO_CNT7 5
19172#define M_RD_DATA_512B_FIFO_CNT7 0xffU
19173#define V_RD_DATA_512B_FIFO_CNT7(x) ((x) << S_RD_DATA_512B_FIFO_CNT7)
19174#define G_RD_DATA_512B_FIFO_CNT7(x) (((x) >> S_RD_DATA_512B_FIFO_CNT7) & M_RD_DATA_512B_FIFO_CNT7)
19175
19176#define S_RD_REQ_TAG_FIFO_CNT7 1
19177#define M_RD_REQ_TAG_FIFO_CNT7 0xfU
19178#define V_RD_REQ_TAG_FIFO_CNT7(x) ((x) << S_RD_REQ_TAG_FIFO_CNT7)
19179#define G_RD_REQ_TAG_FIFO_CNT7(x) (((x) >> S_RD_REQ_TAG_FIFO_CNT7) & M_RD_REQ_TAG_FIFO_CNT7)
19180
19181#define A_MA_CIM_CLIENT_INTERFACE_INTERNAL_REG0 0xa408
19182
19183#define S_CMD_IN_FIFO_CNT8 30
19184#define M_CMD_IN_FIFO_CNT8 0x3U
19185#define V_CMD_IN_FIFO_CNT8(x) ((x) << S_CMD_IN_FIFO_CNT8)
19186#define G_CMD_IN_FIFO_CNT8(x) (((x) >> S_CMD_IN_FIFO_CNT8) & M_CMD_IN_FIFO_CNT8)
19187
19188#define S_CMD_SPLIT_FIFO_CNT8 28
19189#define M_CMD_SPLIT_FIFO_CNT8 0x3U
19190#define V_CMD_SPLIT_FIFO_CNT8(x) ((x) << S_CMD_SPLIT_FIFO_CNT8)
19191#define G_CMD_SPLIT_FIFO_CNT8(x) (((x) >> S_CMD_SPLIT_FIFO_CNT8) & M_CMD_SPLIT_FIFO_CNT8)
19192
19193#define S_CMD_THROTTLE_FIFO_CNT8 22
19194#define M_CMD_THROTTLE_FIFO_CNT8 0x3fU
19195#define V_CMD_THROTTLE_FIFO_CNT8(x) ((x) << S_CMD_THROTTLE_FIFO_CNT8)
19196#define G_CMD_THROTTLE_FIFO_CNT8(x) (((x) >> S_CMD_THROTTLE_FIFO_CNT8) & M_CMD_THROTTLE_FIFO_CNT8)
19197
19198#define S_RD_CHNL_FIFO_CNT8 15
19199#define M_RD_CHNL_FIFO_CNT8 0x7fU
19200#define V_RD_CHNL_FIFO_CNT8(x) ((x) << S_RD_CHNL_FIFO_CNT8)
19201#define G_RD_CHNL_FIFO_CNT8(x) (((x) >> S_RD_CHNL_FIFO_CNT8) & M_RD_CHNL_FIFO_CNT8)
19202
19203#define S_RD_DATA_EXT_FIFO_CNT8 13
19204#define M_RD_DATA_EXT_FIFO_CNT8 0x3U
19205#define V_RD_DATA_EXT_FIFO_CNT8(x) ((x) << S_RD_DATA_EXT_FIFO_CNT8)
19206#define G_RD_DATA_EXT_FIFO_CNT8(x) (((x) >> S_RD_DATA_EXT_FIFO_CNT8) & M_RD_DATA_EXT_FIFO_CNT8)
19207
19208#define S_RD_DATA_512B_FIFO_CNT8 5
19209#define M_RD_DATA_512B_FIFO_CNT8 0xffU
19210#define V_RD_DATA_512B_FIFO_CNT8(x) ((x) << S_RD_DATA_512B_FIFO_CNT8)
19211#define G_RD_DATA_512B_FIFO_CNT8(x) (((x) >> S_RD_DATA_512B_FIFO_CNT8) & M_RD_DATA_512B_FIFO_CNT8)
19212
19213#define S_RD_REQ_TAG_FIFO_CNT8 1
19214#define M_RD_REQ_TAG_FIFO_CNT8 0xfU
19215#define V_RD_REQ_TAG_FIFO_CNT8(x) ((x) << S_RD_REQ_TAG_FIFO_CNT8)
19216#define G_RD_REQ_TAG_FIFO_CNT8(x) (((x) >> S_RD_REQ_TAG_FIFO_CNT8) & M_RD_REQ_TAG_FIFO_CNT8)
19217
19218#define A_MA_PCIE_CLIENT_INTERFACE_INTERNAL_REG0 0xa409
19219
19220#define S_CMD_IN_FIFO_CNT9 30
19221#define M_CMD_IN_FIFO_CNT9 0x3U
19222#define V_CMD_IN_FIFO_CNT9(x) ((x) << S_CMD_IN_FIFO_CNT9)
19223#define G_CMD_IN_FIFO_CNT9(x) (((x) >> S_CMD_IN_FIFO_CNT9) & M_CMD_IN_FIFO_CNT9)
19224
19225#define S_CMD_SPLIT_FIFO_CNT9 28
19226#define M_CMD_SPLIT_FIFO_CNT9 0x3U
19227#define V_CMD_SPLIT_FIFO_CNT9(x) ((x) << S_CMD_SPLIT_FIFO_CNT9)
19228#define G_CMD_SPLIT_FIFO_CNT9(x) (((x) >> S_CMD_SPLIT_FIFO_CNT9) & M_CMD_SPLIT_FIFO_CNT9)
19229
19230#define S_CMD_THROTTLE_FIFO_CNT9 22
19231#define M_CMD_THROTTLE_FIFO_CNT9 0x3fU
19232#define V_CMD_THROTTLE_FIFO_CNT9(x) ((x) << S_CMD_THROTTLE_FIFO_CNT9)
19233#define G_CMD_THROTTLE_FIFO_CNT9(x) (((x) >> S_CMD_THROTTLE_FIFO_CNT9) & M_CMD_THROTTLE_FIFO_CNT9)
19234
19235#define S_RD_CHNL_FIFO_CNT9 15
19236#define M_RD_CHNL_FIFO_CNT9 0x7fU
19237#define V_RD_CHNL_FIFO_CNT9(x) ((x) << S_RD_CHNL_FIFO_CNT9)
19238#define G_RD_CHNL_FIFO_CNT9(x) (((x) >> S_RD_CHNL_FIFO_CNT9) & M_RD_CHNL_FIFO_CNT9)
19239
19240#define S_RD_DATA_EXT_FIFO_CNT9 13
19241#define M_RD_DATA_EXT_FIFO_CNT9 0x3U
19242#define V_RD_DATA_EXT_FIFO_CNT9(x) ((x) << S_RD_DATA_EXT_FIFO_CNT9)
19243#define G_RD_DATA_EXT_FIFO_CNT9(x) (((x) >> S_RD_DATA_EXT_FIFO_CNT9) & M_RD_DATA_EXT_FIFO_CNT9)
19244
19245#define S_RD_DATA_512B_FIFO_CNT9 5
19246#define M_RD_DATA_512B_FIFO_CNT9 0xffU
19247#define V_RD_DATA_512B_FIFO_CNT9(x) ((x) << S_RD_DATA_512B_FIFO_CNT9)
19248#define G_RD_DATA_512B_FIFO_CNT9(x) (((x) >> S_RD_DATA_512B_FIFO_CNT9) & M_RD_DATA_512B_FIFO_CNT9)
19249
19250#define S_RD_REQ_TAG_FIFO_CNT9 1
19251#define M_RD_REQ_TAG_FIFO_CNT9 0xfU
19252#define V_RD_REQ_TAG_FIFO_CNT9(x) ((x) << S_RD_REQ_TAG_FIFO_CNT9)
19253#define G_RD_REQ_TAG_FIFO_CNT9(x) (((x) >> S_RD_REQ_TAG_FIFO_CNT9) & M_RD_REQ_TAG_FIFO_CNT9)
19254
19255#define A_MA_PM_TX_CLIENT_INTERFACE_INTERNAL_REG0 0xa40a
19256
19257#define S_CMD_IN_FIFO_CNT10 30
19258#define M_CMD_IN_FIFO_CNT10 0x3U
19259#define V_CMD_IN_FIFO_CNT10(x) ((x) << S_CMD_IN_FIFO_CNT10)
19260#define G_CMD_IN_FIFO_CNT10(x) (((x) >> S_CMD_IN_FIFO_CNT10) & M_CMD_IN_FIFO_CNT10)
19261
19262#define S_CMD_SPLIT_FIFO_CNT10 28
19263#define M_CMD_SPLIT_FIFO_CNT10 0x3U
19264#define V_CMD_SPLIT_FIFO_CNT10(x) ((x) << S_CMD_SPLIT_FIFO_CNT10)
19265#define G_CMD_SPLIT_FIFO_CNT10(x) (((x) >> S_CMD_SPLIT_FIFO_CNT10) & M_CMD_SPLIT_FIFO_CNT10)
19266
19267#define S_CMD_THROTTLE_FIFO_CNT10 22
19268#define M_CMD_THROTTLE_FIFO_CNT10 0x3fU
19269#define V_CMD_THROTTLE_FIFO_CNT10(x) ((x) << S_CMD_THROTTLE_FIFO_CNT10)
19270#define G_CMD_THROTTLE_FIFO_CNT10(x) (((x) >> S_CMD_THROTTLE_FIFO_CNT10) & M_CMD_THROTTLE_FIFO_CNT10)
19271
19272#define S_RD_CHNL_FIFO_CNT10 15
19273#define M_RD_CHNL_FIFO_CNT10 0x7fU
19274#define V_RD_CHNL_FIFO_CNT10(x) ((x) << S_RD_CHNL_FIFO_CNT10)
19275#define G_RD_CHNL_FIFO_CNT10(x) (((x) >> S_RD_CHNL_FIFO_CNT10) & M_RD_CHNL_FIFO_CNT10)
19276
19277#define S_RD_DATA_EXT_FIFO_CNT10 13
19278#define M_RD_DATA_EXT_FIFO_CNT10 0x3U
19279#define V_RD_DATA_EXT_FIFO_CNT10(x) ((x) << S_RD_DATA_EXT_FIFO_CNT10)
19280#define G_RD_DATA_EXT_FIFO_CNT10(x) (((x) >> S_RD_DATA_EXT_FIFO_CNT10) & M_RD_DATA_EXT_FIFO_CNT10)
19281
19282#define S_RD_DATA_512B_FIFO_CNT10 5
19283#define M_RD_DATA_512B_FIFO_CNT10 0xffU
19284#define V_RD_DATA_512B_FIFO_CNT10(x) ((x) << S_RD_DATA_512B_FIFO_CNT10)
19285#define G_RD_DATA_512B_FIFO_CNT10(x) (((x) >> S_RD_DATA_512B_FIFO_CNT10) & M_RD_DATA_512B_FIFO_CNT10)
19286
19287#define S_RD_REQ_TAG_FIFO_CNT10 1
19288#define M_RD_REQ_TAG_FIFO_CNT10 0xfU
19289#define V_RD_REQ_TAG_FIFO_CNT10(x) ((x) << S_RD_REQ_TAG_FIFO_CNT10)
19290#define G_RD_REQ_TAG_FIFO_CNT10(x) (((x) >> S_RD_REQ_TAG_FIFO_CNT10) & M_RD_REQ_TAG_FIFO_CNT10)
19291
19292#define A_MA_PM_RX_CLIENT_INTERFACE_INTERNAL_REG0 0xa40b
19293
19294#define S_CMD_IN_FIFO_CNT11 30
19295#define M_CMD_IN_FIFO_CNT11 0x3U
19296#define V_CMD_IN_FIFO_CNT11(x) ((x) << S_CMD_IN_FIFO_CNT11)
19297#define G_CMD_IN_FIFO_CNT11(x) (((x) >> S_CMD_IN_FIFO_CNT11) & M_CMD_IN_FIFO_CNT11)
19298
19299#define S_CMD_SPLIT_FIFO_CNT11 28
19300#define M_CMD_SPLIT_FIFO_CNT11 0x3U
19301#define V_CMD_SPLIT_FIFO_CNT11(x) ((x) << S_CMD_SPLIT_FIFO_CNT11)
19302#define G_CMD_SPLIT_FIFO_CNT11(x) (((x) >> S_CMD_SPLIT_FIFO_CNT11) & M_CMD_SPLIT_FIFO_CNT11)
19303
19304#define S_CMD_THROTTLE_FIFO_CNT11 22
19305#define M_CMD_THROTTLE_FIFO_CNT11 0x3fU
19306#define V_CMD_THROTTLE_FIFO_CNT11(x) ((x) << S_CMD_THROTTLE_FIFO_CNT11)
19307#define G_CMD_THROTTLE_FIFO_CNT11(x) (((x) >> S_CMD_THROTTLE_FIFO_CNT11) & M_CMD_THROTTLE_FIFO_CNT11)
19308
19309#define S_RD_CHNL_FIFO_CNT11 15
19310#define M_RD_CHNL_FIFO_CNT11 0x7fU
19311#define V_RD_CHNL_FIFO_CNT11(x) ((x) << S_RD_CHNL_FIFO_CNT11)
19312#define G_RD_CHNL_FIFO_CNT11(x) (((x) >> S_RD_CHNL_FIFO_CNT11) & M_RD_CHNL_FIFO_CNT11)
19313
19314#define S_RD_DATA_EXT_FIFO_CNT11 13
19315#define M_RD_DATA_EXT_FIFO_CNT11 0x3U
19316#define V_RD_DATA_EXT_FIFO_CNT11(x) ((x) << S_RD_DATA_EXT_FIFO_CNT11)
19317#define G_RD_DATA_EXT_FIFO_CNT11(x) (((x) >> S_RD_DATA_EXT_FIFO_CNT11) & M_RD_DATA_EXT_FIFO_CNT11)
19318
19319#define S_RD_DATA_512B_FIFO_CNT11 5
19320#define M_RD_DATA_512B_FIFO_CNT11 0xffU
19321#define V_RD_DATA_512B_FIFO_CNT11(x) ((x) << S_RD_DATA_512B_FIFO_CNT11)
19322#define G_RD_DATA_512B_FIFO_CNT11(x) (((x) >> S_RD_DATA_512B_FIFO_CNT11) & M_RD_DATA_512B_FIFO_CNT11)
19323
19324#define S_RD_REQ_TAG_FIFO_CNT11 1
19325#define M_RD_REQ_TAG_FIFO_CNT11 0xfU
19326#define V_RD_REQ_TAG_FIFO_CNT11(x) ((x) << S_RD_REQ_TAG_FIFO_CNT11)
19327#define G_RD_REQ_TAG_FIFO_CNT11(x) (((x) >> S_RD_REQ_TAG_FIFO_CNT11) & M_RD_REQ_TAG_FIFO_CNT11)
19328
19329#define A_MA_HMA_CLIENT_INTERFACE_INTERNAL_REG0 0xa40c
19330
19331#define S_CMD_IN_FIFO_CNT12 30
19332#define M_CMD_IN_FIFO_CNT12 0x3U
19333#define V_CMD_IN_FIFO_CNT12(x) ((x) << S_CMD_IN_FIFO_CNT12)
19334#define G_CMD_IN_FIFO_CNT12(x) (((x) >> S_CMD_IN_FIFO_CNT12) & M_CMD_IN_FIFO_CNT12)
19335
19336#define S_CMD_SPLIT_FIFO_CNT12 28
19337#define M_CMD_SPLIT_FIFO_CNT12 0x3U
19338#define V_CMD_SPLIT_FIFO_CNT12(x) ((x) << S_CMD_SPLIT_FIFO_CNT12)
19339#define G_CMD_SPLIT_FIFO_CNT12(x) (((x) >> S_CMD_SPLIT_FIFO_CNT12) & M_CMD_SPLIT_FIFO_CNT12)
19340
19341#define S_CMD_THROTTLE_FIFO_CNT12 22
19342#define M_CMD_THROTTLE_FIFO_CNT12 0x3fU
19343#define V_CMD_THROTTLE_FIFO_CNT12(x) ((x) << S_CMD_THROTTLE_FIFO_CNT12)
19344#define G_CMD_THROTTLE_FIFO_CNT12(x) (((x) >> S_CMD_THROTTLE_FIFO_CNT12) & M_CMD_THROTTLE_FIFO_CNT12)
19345
19346#define S_RD_CHNL_FIFO_CNT12 15
19347#define M_RD_CHNL_FIFO_CNT12 0x7fU
19348#define V_RD_CHNL_FIFO_CNT12(x) ((x) << S_RD_CHNL_FIFO_CNT12)
19349#define G_RD_CHNL_FIFO_CNT12(x) (((x) >> S_RD_CHNL_FIFO_CNT12) & M_RD_CHNL_FIFO_CNT12)
19350
19351#define S_RD_DATA_EXT_FIFO_CNT12 13
19352#define M_RD_DATA_EXT_FIFO_CNT12 0x3U
19353#define V_RD_DATA_EXT_FIFO_CNT12(x) ((x) << S_RD_DATA_EXT_FIFO_CNT12)
19354#define G_RD_DATA_EXT_FIFO_CNT12(x) (((x) >> S_RD_DATA_EXT_FIFO_CNT12) & M_RD_DATA_EXT_FIFO_CNT12)
19355
19356#define S_RD_DATA_512B_FIFO_CNT12 5
19357#define M_RD_DATA_512B_FIFO_CNT12 0xffU
19358#define V_RD_DATA_512B_FIFO_CNT12(x) ((x) << S_RD_DATA_512B_FIFO_CNT12)
19359#define G_RD_DATA_512B_FIFO_CNT12(x) (((x) >> S_RD_DATA_512B_FIFO_CNT12) & M_RD_DATA_512B_FIFO_CNT12)
19360
19361#define S_RD_REQ_TAG_FIFO_CNT12 1
19362#define M_RD_REQ_TAG_FIFO_CNT12 0xfU
19363#define V_RD_REQ_TAG_FIFO_CNT12(x) ((x) << S_RD_REQ_TAG_FIFO_CNT12)
19364#define G_RD_REQ_TAG_FIFO_CNT12(x) (((x) >> S_RD_REQ_TAG_FIFO_CNT12) & M_RD_REQ_TAG_FIFO_CNT12)
19365
19366#define A_MA_TARGET_0_ARBITER_INTERFACE_INTERNAL_REG0 0xa40d
19367
19368#define S_WR_DATA_FSM0 23
19369#define V_WR_DATA_FSM0(x) ((x) << S_WR_DATA_FSM0)
19370#define F_WR_DATA_FSM0 V_WR_DATA_FSM0(1U)
19371
19372#define S_RD_DATA_FSM0 22
19373#define V_RD_DATA_FSM0(x) ((x) << S_RD_DATA_FSM0)
19374#define F_RD_DATA_FSM0 V_RD_DATA_FSM0(1U)
19375
19376#define S_TGT_CMD_FIFO_CNT0 19
19377#define M_TGT_CMD_FIFO_CNT0 0x7U
19378#define V_TGT_CMD_FIFO_CNT0(x) ((x) << S_TGT_CMD_FIFO_CNT0)
19379#define G_TGT_CMD_FIFO_CNT0(x) (((x) >> S_TGT_CMD_FIFO_CNT0) & M_TGT_CMD_FIFO_CNT0)
19380
19381#define S_CLNT_NUM_FIFO_CNT0 16
19382#define M_CLNT_NUM_FIFO_CNT0 0x7U
19383#define V_CLNT_NUM_FIFO_CNT0(x) ((x) << S_CLNT_NUM_FIFO_CNT0)
19384#define G_CLNT_NUM_FIFO_CNT0(x) (((x) >> S_CLNT_NUM_FIFO_CNT0) & M_CLNT_NUM_FIFO_CNT0)
19385
19386#define S_WR_CMD_TAG_FIFO_CNT_TGT0 8
19387#define M_WR_CMD_TAG_FIFO_CNT_TGT0 0xffU
19388#define V_WR_CMD_TAG_FIFO_CNT_TGT0(x) ((x) << S_WR_CMD_TAG_FIFO_CNT_TGT0)
19389#define G_WR_CMD_TAG_FIFO_CNT_TGT0(x) (((x) >> S_WR_CMD_TAG_FIFO_CNT_TGT0) & M_WR_CMD_TAG_FIFO_CNT_TGT0)
19390
19391#define S_WR_DATA_512B_FIFO_CNT_TGT0 0
19392#define M_WR_DATA_512B_FIFO_CNT_TGT0 0xffU
19393#define V_WR_DATA_512B_FIFO_CNT_TGT0(x) ((x) << S_WR_DATA_512B_FIFO_CNT_TGT0)
19394#define G_WR_DATA_512B_FIFO_CNT_TGT0(x) (((x) >> S_WR_DATA_512B_FIFO_CNT_TGT0) & M_WR_DATA_512B_FIFO_CNT_TGT0)
19395
19396#define A_MA_TARGET_1_ARBITER_INTERFACE_INTERNAL_REG0 0xa40e
19397
19398#define S_WR_DATA_FSM1 23
19399#define V_WR_DATA_FSM1(x) ((x) << S_WR_DATA_FSM1)
19400#define F_WR_DATA_FSM1 V_WR_DATA_FSM1(1U)
19401
19402#define S_RD_DATA_FSM1 22
19403#define V_RD_DATA_FSM1(x) ((x) << S_RD_DATA_FSM1)
19404#define F_RD_DATA_FSM1 V_RD_DATA_FSM1(1U)
19405
19406#define S_TGT_CMD_FIFO_CNT1 19
19407#define M_TGT_CMD_FIFO_CNT1 0x7U
19408#define V_TGT_CMD_FIFO_CNT1(x) ((x) << S_TGT_CMD_FIFO_CNT1)
19409#define G_TGT_CMD_FIFO_CNT1(x) (((x) >> S_TGT_CMD_FIFO_CNT1) & M_TGT_CMD_FIFO_CNT1)
19410
19411#define S_CLNT_NUM_FIFO_CNT1 16
19412#define M_CLNT_NUM_FIFO_CNT1 0x7U
19413#define V_CLNT_NUM_FIFO_CNT1(x) ((x) << S_CLNT_NUM_FIFO_CNT1)
19414#define G_CLNT_NUM_FIFO_CNT1(x) (((x) >> S_CLNT_NUM_FIFO_CNT1) & M_CLNT_NUM_FIFO_CNT1)
19415
19416#define S_WR_CMD_TAG_FIFO_CNT_TGT1 8
19417#define M_WR_CMD_TAG_FIFO_CNT_TGT1 0xffU
19418#define V_WR_CMD_TAG_FIFO_CNT_TGT1(x) ((x) << S_WR_CMD_TAG_FIFO_CNT_TGT1)
19419#define G_WR_CMD_TAG_FIFO_CNT_TGT1(x) (((x) >> S_WR_CMD_TAG_FIFO_CNT_TGT1) & M_WR_CMD_TAG_FIFO_CNT_TGT1)
19420
19421#define S_WR_DATA_512B_FIFO_CNT_TGT1 0
19422#define M_WR_DATA_512B_FIFO_CNT_TGT1 0xffU
19423#define V_WR_DATA_512B_FIFO_CNT_TGT1(x) ((x) << S_WR_DATA_512B_FIFO_CNT_TGT1)
19424#define G_WR_DATA_512B_FIFO_CNT_TGT1(x) (((x) >> S_WR_DATA_512B_FIFO_CNT_TGT1) & M_WR_DATA_512B_FIFO_CNT_TGT1)
19425
19426#define A_MA_TARGET_2_ARBITER_INTERFACE_INTERNAL_REG0 0xa40f
19427
19428#define S_WR_DATA_FSM2 23
19429#define V_WR_DATA_FSM2(x) ((x) << S_WR_DATA_FSM2)
19430#define F_WR_DATA_FSM2 V_WR_DATA_FSM2(1U)
19431
19432#define S_RD_DATA_FSM2 22
19433#define V_RD_DATA_FSM2(x) ((x) << S_RD_DATA_FSM2)
19434#define F_RD_DATA_FSM2 V_RD_DATA_FSM2(1U)
19435
19436#define S_TGT_CMD_FIFO_CNT2 19
19437#define M_TGT_CMD_FIFO_CNT2 0x7U
19438#define V_TGT_CMD_FIFO_CNT2(x) ((x) << S_TGT_CMD_FIFO_CNT2)
19439#define G_TGT_CMD_FIFO_CNT2(x) (((x) >> S_TGT_CMD_FIFO_CNT2) & M_TGT_CMD_FIFO_CNT2)
19440
19441#define S_CLNT_NUM_FIFO_CNT2 16
19442#define M_CLNT_NUM_FIFO_CNT2 0x7U
19443#define V_CLNT_NUM_FIFO_CNT2(x) ((x) << S_CLNT_NUM_FIFO_CNT2)
19444#define G_CLNT_NUM_FIFO_CNT2(x) (((x) >> S_CLNT_NUM_FIFO_CNT2) & M_CLNT_NUM_FIFO_CNT2)
19445
19446#define S_WR_CMD_TAG_FIFO_CNT_TGT2 8
19447#define M_WR_CMD_TAG_FIFO_CNT_TGT2 0xffU
19448#define V_WR_CMD_TAG_FIFO_CNT_TGT2(x) ((x) << S_WR_CMD_TAG_FIFO_CNT_TGT2)
19449#define G_WR_CMD_TAG_FIFO_CNT_TGT2(x) (((x) >> S_WR_CMD_TAG_FIFO_CNT_TGT2) & M_WR_CMD_TAG_FIFO_CNT_TGT2)
19450
19451#define S_WR_DATA_512B_FIFO_CNT_TGT2 0
19452#define M_WR_DATA_512B_FIFO_CNT_TGT2 0xffU
19453#define V_WR_DATA_512B_FIFO_CNT_TGT2(x) ((x) << S_WR_DATA_512B_FIFO_CNT_TGT2)
19454#define G_WR_DATA_512B_FIFO_CNT_TGT2(x) (((x) >> S_WR_DATA_512B_FIFO_CNT_TGT2) & M_WR_DATA_512B_FIFO_CNT_TGT2)
19455
19456#define A_MA_TARGET_3_ARBITER_INTERFACE_INTERNAL_REG0 0xa410
19457
19458#define S_WR_DATA_FSM3 23
19459#define V_WR_DATA_FSM3(x) ((x) << S_WR_DATA_FSM3)
19460#define F_WR_DATA_FSM3 V_WR_DATA_FSM3(1U)
19461
19462#define S_RD_DATA_FSM3 22
19463#define V_RD_DATA_FSM3(x) ((x) << S_RD_DATA_FSM3)
19464#define F_RD_DATA_FSM3 V_RD_DATA_FSM3(1U)
19465
19466#define S_TGT_CMD_FIFO_CNT3 19
19467#define M_TGT_CMD_FIFO_CNT3 0x7U
19468#define V_TGT_CMD_FIFO_CNT3(x) ((x) << S_TGT_CMD_FIFO_CNT3)
19469#define G_TGT_CMD_FIFO_CNT3(x) (((x) >> S_TGT_CMD_FIFO_CNT3) & M_TGT_CMD_FIFO_CNT3)
19470
19471#define S_CLNT_NUM_FIFO_CNT3 16
19472#define M_CLNT_NUM_FIFO_CNT3 0x7U
19473#define V_CLNT_NUM_FIFO_CNT3(x) ((x) << S_CLNT_NUM_FIFO_CNT3)
19474#define G_CLNT_NUM_FIFO_CNT3(x) (((x) >> S_CLNT_NUM_FIFO_CNT3) & M_CLNT_NUM_FIFO_CNT3)
19475
19476#define S_WR_CMD_TAG_FIFO_CNT_TGT3 8
19477#define M_WR_CMD_TAG_FIFO_CNT_TGT3 0xffU
19478#define V_WR_CMD_TAG_FIFO_CNT_TGT3(x) ((x) << S_WR_CMD_TAG_FIFO_CNT_TGT3)
19479#define G_WR_CMD_TAG_FIFO_CNT_TGT3(x) (((x) >> S_WR_CMD_TAG_FIFO_CNT_TGT3) & M_WR_CMD_TAG_FIFO_CNT_TGT3)
19480
19481#define S_WR_DATA_512B_FIFO_CNT_TGT 0
19482#define M_WR_DATA_512B_FIFO_CNT_TGT 0xffU
19483#define V_WR_DATA_512B_FIFO_CNT_TGT(x) ((x) << S_WR_DATA_512B_FIFO_CNT_TGT)
19484#define G_WR_DATA_512B_FIFO_CNT_TGT(x) (((x) >> S_WR_DATA_512B_FIFO_CNT_TGT) & M_WR_DATA_512B_FIFO_CNT_TGT)
19485
19486#define A_MA_SGE_THREAD_0_CLNT_EXP_RD_CYC_CNT_LO 0xa412
19487#define A_MA_SGE_THREAD_1_CLNT_EXP_RD_CYC_CNT_LO 0xa413
19488#define A_MA_ULP_TX_CLNT_EXP_RD_CYC_CNT_LO 0xa414
19489#define A_MA_ULP_RX_CLNT_EXP_RD_CYC_CNT_LO 0xa415
19490#define A_MA_ULP_TX_RX_CLNT_EXP_RD_CYC_CNT_LO 0xa416
19491#define A_MA_TP_THREAD_0_CLNT_EXP_RD_CYC_CNT_LO 0xa417
19492#define A_MA_TP_THREAD_1_CLNT_EXP_RD_CYC_CNT_LO 0xa418
19493#define A_MA_LE_CLNT_EXP_RD_CYC_CNT_LO 0xa419
19494#define A_MA_CIM_CLNT_EXP_RD_CYC_CNT_LO 0xa41a
19495#define A_MA_PCIE_CLNT_EXP_RD_CYC_CNT_LO 0xa41b
19496#define A_MA_PM_TX_CLNT_EXP_RD_CYC_CNT_LO 0xa41c
19497#define A_MA_PM_RX_CLNT_EXP_RD_CYC_CNT_LO 0xa41d
19498#define A_MA_HMA_CLNT_EXP_RD_CYC_CNT_LO 0xa41e
19499#define A_T6_MA_EDRAM0_WRDATA_CNT1 0xa800
19500#define A_T6_MA_EDRAM0_WRDATA_CNT0 0xa801
19501#define A_T6_MA_EDRAM1_WRDATA_CNT1 0xa802
19502#define A_T6_MA_EDRAM1_WRDATA_CNT0 0xa803
19503#define A_T6_MA_EXT_MEMORY0_WRDATA_CNT1 0xa804
19504#define A_T6_MA_EXT_MEMORY0_WRDATA_CNT0 0xa805
19505#define A_T6_MA_HOST_MEMORY_WRDATA_CNT1 0xa806
19506#define A_T6_MA_HOST_MEMORY_WRDATA_CNT0 0xa807
19507#define A_T6_MA_EXT_MEMORY1_WRDATA_CNT1 0xa808
19508#define A_T6_MA_EXT_MEMORY1_WRDATA_CNT0 0xa809
19509#define A_T6_MA_EDRAM0_RDDATA_CNT1 0xa80a
19510#define A_T6_MA_EDRAM0_RDDATA_CNT0 0xa80b
19511#define A_T6_MA_EDRAM1_RDDATA_CNT1 0xa80c
19512#define A_T6_MA_EDRAM1_RDDATA_CNT0 0xa80d
19513#define A_T6_MA_EXT_MEMORY0_RDDATA_CNT1 0xa80e
19514#define A_T6_MA_EXT_MEMORY0_RDDATA_CNT0 0xa80f
19515#define A_T6_MA_HOST_MEMORY_RDDATA_CNT1 0xa810
19516#define A_T6_MA_HOST_MEMORY_RDDATA_CNT0 0xa811
19517#define A_T6_MA_EXT_MEMORY1_RDDATA_CNT1 0xa812
19518#define A_T6_MA_EXT_MEMORY1_RDDATA_CNT0 0xa813
19519#define A_MA_SGE_THREAD_0_CLNT_ACT_WR_CYC_CNT_HI 0xac00
19520#define A_MA_SGE_THREAD_0_CLNT_ACT_WR_CYC_CNT_LO 0xac01
19521#define A_MA_SGE_THREAD_1_CLNT_ACT_WR_CYC_CNT_HI 0xac02
19522#define A_MA_SGE_THREAD_1_CLNT_ACT_WR_CYC_CNT_LO 0xac03
19523#define A_MA_ULP_TX_CLNT_ACT_WR_CYC_CNT_HI 0xac04
19524#define A_MA_ULP_TX_CLNT_ACT_WR_CYC_CNT_LO 0xac05
19525#define A_MA_ULP_RX_CLNT_ACT_WR_CYC_CNT_HI 0xac06
19526#define A_MA_ULP_RX_CLNT_ACT_WR_CYC_CNT_LO 0xac07
19527#define A_MA_ULP_TX_RX_CLNT_ACT_WR_CYC_CNT_HI 0xac08
19528#define A_MA_ULP_TX_RX_CLNT_ACT_WR_CYC_CNT_LO 0xac09
19529#define A_MA_TP_THREAD_0_CLNT_ACT_WR_CYC_CNT_HI 0xac0a
19530#define A_MA_TP_THREAD_0_CLNT_ACT_WR_CYC_CNT_LO 0xac0b
19531#define A_MA_TP_THREAD_1_CLNT_ACT_WR_CYC_CNT_HI 0xac0c
19532#define A_MA_TP_THREAD_1_CLNT_ACT_WR_CYC_CNT_LO 0xac0d
19533#define A_MA_LE_CLNT_ACT_WR_CYC_CNT_HI 0xac0e
19534#define A_MA_LE_CLNT_ACT_WR_CYC_CNT_LO 0xac0f
19535#define A_MA_CIM_CLNT_ACT_WR_CYC_CNT_HI 0xac10
19536#define A_MA_CIM_CLNT_ACT_WR_CYC_CNT_LO 0xac11
19537#define A_MA_PCIE_CLNT_ACT_WR_CYC_CNT_HI 0xac12
19538#define A_MA_PCIE_CLNT_ACT_WR_CYC_CNT_LO 0xac13
19539#define A_MA_PM_TX_CLNT_ACT_WR_CYC_CNT_HI 0xac14
19540#define A_MA_PM_TX_CLNT_ACT_WR_CYC_CNT_LO 0xac15
19541#define A_MA_PM_RX_CLNT_ACT_WR_CYC_CNT_HI 0xac16
19542#define A_MA_PM_RX_CLNT_ACT_WR_CYC_CNT_LO 0xac17
19543#define A_MA_HMA_CLNT_ACT_WR_CYC_CNT_HI 0xac18
19544#define A_MA_HMA_CLNT_ACT_WR_CYC_CNT_LO 0xac19
19545#define A_MA_SGE_THREAD_0_CLNT_WR_REQ_CNT 0xb000
19546#define A_MA_SGE_THREAD_1_CLNT_WR_REQ_CNT 0xb001
19547#define A_MA_ULP_TX_CLNT_WR_REQ_CNT 0xb002
19548#define A_MA_ULP_RX_CLNT_WR_REQ_CNT 0xb003
19549#define A_MA_ULP_TX_RX_CLNT_WR_REQ_CNT 0xb004
19550#define A_MA_TP_THREAD_0_CLNT_WR_REQ_CNT 0xb005
19551#define A_MA_TP_THREAD_1_CLNT_WR_REQ_CNT 0xb006
19552#define A_MA_LE_CLNT_WR_REQ_CNT 0xb007
19553#define A_MA_CIM_CLNT_WR_REQ_CNT 0xb008
19554#define A_MA_PCIE_CLNT_WR_REQ_CNT 0xb009
19555#define A_MA_PM_TX_CLNT_WR_REQ_CNT 0xb00a
19556#define A_MA_PM_RX_CLNT_WR_REQ_CNT 0xb00b
19557#define A_MA_HMA_CLNT_WR_REQ_CNT 0xb00c
19558#define A_MA_SGE_THREAD_0_CLNT_RD_REQ_CNT 0xb00d
19559#define A_MA_SGE_THREAD_1_CLNT_RD_REQ_CNT 0xb00e
19560#define A_MA_ULP_TX_CLNT_RD_REQ_CNT 0xb00f
19561#define A_MA_ULP_RX_CLNT_RD_REQ_CNT 0xb010
19562#define A_MA_ULP_TX_RX_CLNT_RD_REQ_CNT 0xb011
19563#define A_MA_TP_THREAD_0_CLNT_RD_REQ_CNT 0xb012
19564#define A_MA_TP_THREAD_1_CLNT_RD_REQ_CNT 0xb013
19565#define A_MA_LE_CLNT_RD_REQ_CNT 0xb014
19566#define A_MA_CIM_CLNT_RD_REQ_CNT 0xb015
19567#define A_MA_PCIE_CLNT_RD_REQ_CNT 0xb016
19568#define A_MA_PM_TX_CLNT_RD_REQ_CNT 0xb017
19569#define A_MA_PM_RX_CLNT_RD_REQ_CNT 0xb018
19570#define A_MA_HMA_CLNT_RD_REQ_CNT 0xb019
19571#define A_MA_SGE_THREAD_0_CLNT_EXP_RD_CYC_CNT_HI 0xb400
19572#define A_MA_SGE_THREAD_1_CLNT_EXP_RD_CYC_CNT_HI 0xb401
19573#define A_MA_ULP_TX_CLNT_EXP_RD_CYC_CNT_HI 0xb402
19574#define A_MA_ULP_RX_CLNT_EXP_RD_CYC_CNT_HI 0xb403
19575#define A_MA_ULP_TX_RX_CLNT_EXP_RD_CYC_CNT_HI 0xb404
19576#define A_MA_TP_THREAD_0_CLNT_EXP_RD_CYC_CNT_HI 0xb405
19577#define A_MA_TP_THREAD_1_CLNT_EXP_RD_CYC_CNT_HI 0xb406
19578#define A_MA_LE_CLNT_EXP_RD_CYC_CNT_HI 0xb407
19579#define A_MA_CIM_CLNT_EXP_RD_CYC_CNT_HI 0xb408
19580#define A_MA_PCIE_CLNT_EXP_RD_CYC_CNT_HI 0xb409
19581#define A_MA_PM_TX_CLNT_EXP_RD_CYC_CNT_HI 0xb40a
19582#define A_MA_PM_RX_CLNT_EXP_RD_CYC_CNT_HI 0xb40b
19583#define A_MA_HMA_CLNT_EXP_RD_CYC_CNT_HI 0xb40c
19584#define A_MA_SGE_THREAD_0_CLNT_EXP_WR_CYC_CNT_HI 0xb40d
19585#define A_MA_SGE_THREAD_1_CLNT_EXP_WR_CYC_CNT_HI 0xb40e
19586#define A_MA_ULP_TX_CLNT_EXP_WR_CYC_CNT_HI 0xb40f
19587#define A_MA_ULP_RX_CLNT_EXP_WR_CYC_CNT_HI 0xb410
19588#define A_MA_ULP_TX_RX_CLNT_EXP_WR_CYC_CNT_HI 0xb411
19589#define A_MA_TP_THREAD_0_CLNT_EXP_WR_CYC_CNT_HI 0xb412
19590#define A_MA_TP_THREAD_1_CLNT_EXP_WR_CYC_CNT_HI 0xb413
19591#define A_MA_LE_CLNT_EXP_WR_CYC_CNT_HI 0xb414
19592#define A_MA_CIM_CLNT_EXP_WR_CYC_CNT_HI 0xb415
19593#define A_MA_PCIE_CLNT_EXP_WR_CYC_CNT_HI 0xb416
19594#define A_MA_PM_TX_CLNT_EXP_WR_CYC_CNT_HI 0xb417
19595#define A_MA_PM_RX_CLNT_EXP_WR_CYC_CNT_HI 0xb418
19596#define A_MA_HMA_CLNT_EXP_WR_CYC_CNT_HI 0xb419
19597#define A_MA_SGE_THREAD_0_CLIENT_INTERFACE_INTERNAL_REG1 0xe400
19598
19599#define S_WR_DATA_EXT_FIFO_CNT0 30
19600#define M_WR_DATA_EXT_FIFO_CNT0 0x3U
19601#define V_WR_DATA_EXT_FIFO_CNT0(x) ((x) << S_WR_DATA_EXT_FIFO_CNT0)
19602#define G_WR_DATA_EXT_FIFO_CNT0(x) (((x) >> S_WR_DATA_EXT_FIFO_CNT0) & M_WR_DATA_EXT_FIFO_CNT0)
19603
19604#define S_WR_CMD_TAG_FIFO_CNT0 26
19605#define M_WR_CMD_TAG_FIFO_CNT0 0xfU
19606#define V_WR_CMD_TAG_FIFO_CNT0(x) ((x) << S_WR_CMD_TAG_FIFO_CNT0)
19607#define G_WR_CMD_TAG_FIFO_CNT0(x) (((x) >> S_WR_CMD_TAG_FIFO_CNT0) & M_WR_CMD_TAG_FIFO_CNT0)
19608
19609#define S_WR_DATA_512B_FIFO_CNT0 18
19610#define M_WR_DATA_512B_FIFO_CNT0 0xffU
19611#define V_WR_DATA_512B_FIFO_CNT0(x) ((x) << S_WR_DATA_512B_FIFO_CNT0)
19612#define G_WR_DATA_512B_FIFO_CNT0(x) (((x) >> S_WR_DATA_512B_FIFO_CNT0) & M_WR_DATA_512B_FIFO_CNT0)
19613
19614#define S_RD_DATA_ALIGN_FSM0 17
19615#define V_RD_DATA_ALIGN_FSM0(x) ((x) << S_RD_DATA_ALIGN_FSM0)
19616#define F_RD_DATA_ALIGN_FSM0 V_RD_DATA_ALIGN_FSM0(1U)
19617
19618#define S_RD_DATA_FETCH_FSM0 16
19619#define V_RD_DATA_FETCH_FSM0(x) ((x) << S_RD_DATA_FETCH_FSM0)
19620#define F_RD_DATA_FETCH_FSM0 V_RD_DATA_FETCH_FSM0(1U)
19621
19622#define S_COHERENCY_TX_FSM0 15
19623#define V_COHERENCY_TX_FSM0(x) ((x) << S_COHERENCY_TX_FSM0)
19624#define F_COHERENCY_TX_FSM0 V_COHERENCY_TX_FSM0(1U)
19625
19626#define S_COHERENCY_RX_FSM0 14
19627#define V_COHERENCY_RX_FSM0(x) ((x) << S_COHERENCY_RX_FSM0)
19628#define F_COHERENCY_RX_FSM0 V_COHERENCY_RX_FSM0(1U)
19629
19630#define S_ARB_REQ_FSM0 13
19631#define V_ARB_REQ_FSM0(x) ((x) << S_ARB_REQ_FSM0)
19632#define F_ARB_REQ_FSM0 V_ARB_REQ_FSM0(1U)
19633
19634#define S_CMD_SPLIT_FSM0 10
19635#define M_CMD_SPLIT_FSM0 0x7U
19636#define V_CMD_SPLIT_FSM0(x) ((x) << S_CMD_SPLIT_FSM0)
19637#define G_CMD_SPLIT_FSM0(x) (((x) >> S_CMD_SPLIT_FSM0) & M_CMD_SPLIT_FSM0)
19638
19639#define A_MA_SGE_THREAD_1_CLIENT_INTERFACE_INTERNAL_REG1 0xe420
19640
19641#define S_WR_DATA_EXT_FIFO_CNT1 30
19642#define M_WR_DATA_EXT_FIFO_CNT1 0x3U
19643#define V_WR_DATA_EXT_FIFO_CNT1(x) ((x) << S_WR_DATA_EXT_FIFO_CNT1)
19644#define G_WR_DATA_EXT_FIFO_CNT1(x) (((x) >> S_WR_DATA_EXT_FIFO_CNT1) & M_WR_DATA_EXT_FIFO_CNT1)
19645
19646#define S_WR_CMD_TAG_FIFO_CNT1 26
19647#define M_WR_CMD_TAG_FIFO_CNT1 0xfU
19648#define V_WR_CMD_TAG_FIFO_CNT1(x) ((x) << S_WR_CMD_TAG_FIFO_CNT1)
19649#define G_WR_CMD_TAG_FIFO_CNT1(x) (((x) >> S_WR_CMD_TAG_FIFO_CNT1) & M_WR_CMD_TAG_FIFO_CNT1)
19650
19651#define S_WR_DATA_512B_FIFO_CNT1 18
19652#define M_WR_DATA_512B_FIFO_CNT1 0xffU
19653#define V_WR_DATA_512B_FIFO_CNT1(x) ((x) << S_WR_DATA_512B_FIFO_CNT1)
19654#define G_WR_DATA_512B_FIFO_CNT1(x) (((x) >> S_WR_DATA_512B_FIFO_CNT1) & M_WR_DATA_512B_FIFO_CNT1)
19655
19656#define S_RD_DATA_ALIGN_FSM1 17
19657#define V_RD_DATA_ALIGN_FSM1(x) ((x) << S_RD_DATA_ALIGN_FSM1)
19658#define F_RD_DATA_ALIGN_FSM1 V_RD_DATA_ALIGN_FSM1(1U)
19659
19660#define S_RD_DATA_FETCH_FSM1 16
19661#define V_RD_DATA_FETCH_FSM1(x) ((x) << S_RD_DATA_FETCH_FSM1)
19662#define F_RD_DATA_FETCH_FSM1 V_RD_DATA_FETCH_FSM1(1U)
19663
19664#define S_COHERENCY_TX_FSM1 15
19665#define V_COHERENCY_TX_FSM1(x) ((x) << S_COHERENCY_TX_FSM1)
19666#define F_COHERENCY_TX_FSM1 V_COHERENCY_TX_FSM1(1U)
19667
19668#define S_COHERENCY_RX_FSM1 14
19669#define V_COHERENCY_RX_FSM1(x) ((x) << S_COHERENCY_RX_FSM1)
19670#define F_COHERENCY_RX_FSM1 V_COHERENCY_RX_FSM1(1U)
19671
19672#define S_ARB_REQ_FSM1 13
19673#define V_ARB_REQ_FSM1(x) ((x) << S_ARB_REQ_FSM1)
19674#define F_ARB_REQ_FSM1 V_ARB_REQ_FSM1(1U)
19675
19676#define S_CMD_SPLIT_FSM1 10
19677#define M_CMD_SPLIT_FSM1 0x7U
19678#define V_CMD_SPLIT_FSM1(x) ((x) << S_CMD_SPLIT_FSM1)
19679#define G_CMD_SPLIT_FSM1(x) (((x) >> S_CMD_SPLIT_FSM1) & M_CMD_SPLIT_FSM1)
19680
19681#define A_MA_ULP_TX_CLIENT_INTERFACE_INTERNAL_REG1 0xe440
19682
19683#define S_WR_DATA_EXT_FIFO_CNT2 30
19684#define M_WR_DATA_EXT_FIFO_CNT2 0x3U
19685#define V_WR_DATA_EXT_FIFO_CNT2(x) ((x) << S_WR_DATA_EXT_FIFO_CNT2)
19686#define G_WR_DATA_EXT_FIFO_CNT2(x) (((x) >> S_WR_DATA_EXT_FIFO_CNT2) & M_WR_DATA_EXT_FIFO_CNT2)
19687
19688#define S_WR_CMD_TAG_FIFO_CNT2 26
19689#define M_WR_CMD_TAG_FIFO_CNT2 0xfU
19690#define V_WR_CMD_TAG_FIFO_CNT2(x) ((x) << S_WR_CMD_TAG_FIFO_CNT2)
19691#define G_WR_CMD_TAG_FIFO_CNT2(x) (((x) >> S_WR_CMD_TAG_FIFO_CNT2) & M_WR_CMD_TAG_FIFO_CNT2)
19692
19693#define S_WR_DATA_512B_FIFO_CNT2 18
19694#define M_WR_DATA_512B_FIFO_CNT2 0xffU
19695#define V_WR_DATA_512B_FIFO_CNT2(x) ((x) << S_WR_DATA_512B_FIFO_CNT2)
19696#define G_WR_DATA_512B_FIFO_CNT2(x) (((x) >> S_WR_DATA_512B_FIFO_CNT2) & M_WR_DATA_512B_FIFO_CNT2)
19697
19698#define S_RD_DATA_ALIGN_FSM2 17
19699#define V_RD_DATA_ALIGN_FSM2(x) ((x) << S_RD_DATA_ALIGN_FSM2)
19700#define F_RD_DATA_ALIGN_FSM2 V_RD_DATA_ALIGN_FSM2(1U)
19701
19702#define S_RD_DATA_FETCH_FSM2 16
19703#define V_RD_DATA_FETCH_FSM2(x) ((x) << S_RD_DATA_FETCH_FSM2)
19704#define F_RD_DATA_FETCH_FSM2 V_RD_DATA_FETCH_FSM2(1U)
19705
19706#define S_COHERENCY_TX_FSM2 15
19707#define V_COHERENCY_TX_FSM2(x) ((x) << S_COHERENCY_TX_FSM2)
19708#define F_COHERENCY_TX_FSM2 V_COHERENCY_TX_FSM2(1U)
19709
19710#define S_COHERENCY_RX_FSM2 14
19711#define V_COHERENCY_RX_FSM2(x) ((x) << S_COHERENCY_RX_FSM2)
19712#define F_COHERENCY_RX_FSM2 V_COHERENCY_RX_FSM2(1U)
19713
19714#define S_ARB_REQ_FSM2 13
19715#define V_ARB_REQ_FSM2(x) ((x) << S_ARB_REQ_FSM2)
19716#define F_ARB_REQ_FSM2 V_ARB_REQ_FSM2(1U)
19717
19718#define S_CMD_SPLIT_FSM2 10
19719#define M_CMD_SPLIT_FSM2 0x7U
19720#define V_CMD_SPLIT_FSM2(x) ((x) << S_CMD_SPLIT_FSM2)
19721#define G_CMD_SPLIT_FSM2(x) (((x) >> S_CMD_SPLIT_FSM2) & M_CMD_SPLIT_FSM2)
19722
19723#define A_MA_ULP_RX_CLIENT_INTERFACE_INTERNAL_REG1 0xe460
19724
19725#define S_WR_DATA_EXT_FIFO_CNT3 30
19726#define M_WR_DATA_EXT_FIFO_CNT3 0x3U
19727#define V_WR_DATA_EXT_FIFO_CNT3(x) ((x) << S_WR_DATA_EXT_FIFO_CNT3)
19728#define G_WR_DATA_EXT_FIFO_CNT3(x) (((x) >> S_WR_DATA_EXT_FIFO_CNT3) & M_WR_DATA_EXT_FIFO_CNT3)
19729
19730#define S_WR_CMD_TAG_FIFO_CNT3 26
19731#define M_WR_CMD_TAG_FIFO_CNT3 0xfU
19732#define V_WR_CMD_TAG_FIFO_CNT3(x) ((x) << S_WR_CMD_TAG_FIFO_CNT3)
19733#define G_WR_CMD_TAG_FIFO_CNT3(x) (((x) >> S_WR_CMD_TAG_FIFO_CNT3) & M_WR_CMD_TAG_FIFO_CNT3)
19734
19735#define S_WR_DATA_512B_FIFO_CNT3 18
19736#define M_WR_DATA_512B_FIFO_CNT3 0xffU
19737#define V_WR_DATA_512B_FIFO_CNT3(x) ((x) << S_WR_DATA_512B_FIFO_CNT3)
19738#define G_WR_DATA_512B_FIFO_CNT3(x) (((x) >> S_WR_DATA_512B_FIFO_CNT3) & M_WR_DATA_512B_FIFO_CNT3)
19739
19740#define S_RD_DATA_ALIGN_FSM3 17
19741#define V_RD_DATA_ALIGN_FSM3(x) ((x) << S_RD_DATA_ALIGN_FSM3)
19742#define F_RD_DATA_ALIGN_FSM3 V_RD_DATA_ALIGN_FSM3(1U)
19743
19744#define S_RD_DATA_FETCH_FSM3 16
19745#define V_RD_DATA_FETCH_FSM3(x) ((x) << S_RD_DATA_FETCH_FSM3)
19746#define F_RD_DATA_FETCH_FSM3 V_RD_DATA_FETCH_FSM3(1U)
19747
19748#define S_COHERENCY_TX_FSM3 15
19749#define V_COHERENCY_TX_FSM3(x) ((x) << S_COHERENCY_TX_FSM3)
19750#define F_COHERENCY_TX_FSM3 V_COHERENCY_TX_FSM3(1U)
19751
19752#define S_COHERENCY_RX_FSM3 14
19753#define V_COHERENCY_RX_FSM3(x) ((x) << S_COHERENCY_RX_FSM3)
19754#define F_COHERENCY_RX_FSM3 V_COHERENCY_RX_FSM3(1U)
19755
19756#define S_ARB_REQ_FSM3 13
19757#define V_ARB_REQ_FSM3(x) ((x) << S_ARB_REQ_FSM3)
19758#define F_ARB_REQ_FSM3 V_ARB_REQ_FSM3(1U)
19759
19760#define S_CMD_SPLIT_FSM3 10
19761#define M_CMD_SPLIT_FSM3 0x7U
19762#define V_CMD_SPLIT_FSM3(x) ((x) << S_CMD_SPLIT_FSM3)
19763#define G_CMD_SPLIT_FSM3(x) (((x) >> S_CMD_SPLIT_FSM3) & M_CMD_SPLIT_FSM3)
19764
19765#define A_MA_ULP_TX_RX_CLIENT_INTERFACE_INTERNAL_REG1 0xe480
19766
19767#define S_WR_DATA_EXT_FIFO_CNT4 30
19768#define M_WR_DATA_EXT_FIFO_CNT4 0x3U
19769#define V_WR_DATA_EXT_FIFO_CNT4(x) ((x) << S_WR_DATA_EXT_FIFO_CNT4)
19770#define G_WR_DATA_EXT_FIFO_CNT4(x) (((x) >> S_WR_DATA_EXT_FIFO_CNT4) & M_WR_DATA_EXT_FIFO_CNT4)
19771
19772#define S_WR_CMD_TAG_FIFO_CNT4 26
19773#define M_WR_CMD_TAG_FIFO_CNT4 0xfU
19774#define V_WR_CMD_TAG_FIFO_CNT4(x) ((x) << S_WR_CMD_TAG_FIFO_CNT4)
19775#define G_WR_CMD_TAG_FIFO_CNT4(x) (((x) >> S_WR_CMD_TAG_FIFO_CNT4) & M_WR_CMD_TAG_FIFO_CNT4)
19776
19777#define S_WR_DATA_512B_FIFO_CNT4 18
19778#define M_WR_DATA_512B_FIFO_CNT4 0xffU
19779#define V_WR_DATA_512B_FIFO_CNT4(x) ((x) << S_WR_DATA_512B_FIFO_CNT4)
19780#define G_WR_DATA_512B_FIFO_CNT4(x) (((x) >> S_WR_DATA_512B_FIFO_CNT4) & M_WR_DATA_512B_FIFO_CNT4)
19781
19782#define S_RD_DATA_ALIGN_FSM4 17
19783#define V_RD_DATA_ALIGN_FSM4(x) ((x) << S_RD_DATA_ALIGN_FSM4)
19784#define F_RD_DATA_ALIGN_FSM4 V_RD_DATA_ALIGN_FSM4(1U)
19785
19786#define S_RD_DATA_FETCH_FSM4 16
19787#define V_RD_DATA_FETCH_FSM4(x) ((x) << S_RD_DATA_FETCH_FSM4)
19788#define F_RD_DATA_FETCH_FSM4 V_RD_DATA_FETCH_FSM4(1U)
19789
19790#define S_COHERENCY_TX_FSM4 15
19791#define V_COHERENCY_TX_FSM4(x) ((x) << S_COHERENCY_TX_FSM4)
19792#define F_COHERENCY_TX_FSM4 V_COHERENCY_TX_FSM4(1U)
19793
19794#define S_COHERENCY_RX_FSM4 14
19795#define V_COHERENCY_RX_FSM4(x) ((x) << S_COHERENCY_RX_FSM4)
19796#define F_COHERENCY_RX_FSM4 V_COHERENCY_RX_FSM4(1U)
19797
19798#define S_ARB_REQ_FSM4 13
19799#define V_ARB_REQ_FSM4(x) ((x) << S_ARB_REQ_FSM4)
19800#define F_ARB_REQ_FSM4 V_ARB_REQ_FSM4(1U)
19801
19802#define S_CMD_SPLIT_FSM4 10
19803#define M_CMD_SPLIT_FSM4 0x7U
19804#define V_CMD_SPLIT_FSM4(x) ((x) << S_CMD_SPLIT_FSM4)
19805#define G_CMD_SPLIT_FSM4(x) (((x) >> S_CMD_SPLIT_FSM4) & M_CMD_SPLIT_FSM4)
19806
19807#define A_MA_TP_THREAD_0_CLIENT_INTERFACE_INTERNAL_REG1 0xe4a0
19808
19809#define S_WR_DATA_EXT_FIFO_CNT5 30
19810#define M_WR_DATA_EXT_FIFO_CNT5 0x3U
19811#define V_WR_DATA_EXT_FIFO_CNT5(x) ((x) << S_WR_DATA_EXT_FIFO_CNT5)
19812#define G_WR_DATA_EXT_FIFO_CNT5(x) (((x) >> S_WR_DATA_EXT_FIFO_CNT5) & M_WR_DATA_EXT_FIFO_CNT5)
19813
19814#define S_WR_CMD_TAG_FIFO_CNT5 26
19815#define M_WR_CMD_TAG_FIFO_CNT5 0xfU
19816#define V_WR_CMD_TAG_FIFO_CNT5(x) ((x) << S_WR_CMD_TAG_FIFO_CNT5)
19817#define G_WR_CMD_TAG_FIFO_CNT5(x) (((x) >> S_WR_CMD_TAG_FIFO_CNT5) & M_WR_CMD_TAG_FIFO_CNT5)
19818
19819#define S_WR_DATA_512B_FIFO_CNT5 18
19820#define M_WR_DATA_512B_FIFO_CNT5 0xffU
19821#define V_WR_DATA_512B_FIFO_CNT5(x) ((x) << S_WR_DATA_512B_FIFO_CNT5)
19822#define G_WR_DATA_512B_FIFO_CNT5(x) (((x) >> S_WR_DATA_512B_FIFO_CNT5) & M_WR_DATA_512B_FIFO_CNT5)
19823
19824#define S_RD_DATA_ALIGN_FSM5 17
19825#define V_RD_DATA_ALIGN_FSM5(x) ((x) << S_RD_DATA_ALIGN_FSM5)
19826#define F_RD_DATA_ALIGN_FSM5 V_RD_DATA_ALIGN_FSM5(1U)
19827
19828#define S_RD_DATA_FETCH_FSM5 16
19829#define V_RD_DATA_FETCH_FSM5(x) ((x) << S_RD_DATA_FETCH_FSM5)
19830#define F_RD_DATA_FETCH_FSM5 V_RD_DATA_FETCH_FSM5(1U)
19831
19832#define S_COHERENCY_TX_FSM5 15
19833#define V_COHERENCY_TX_FSM5(x) ((x) << S_COHERENCY_TX_FSM5)
19834#define F_COHERENCY_TX_FSM5 V_COHERENCY_TX_FSM5(1U)
19835
19836#define S_COHERENCY_RX_FSM5 14
19837#define V_COHERENCY_RX_FSM5(x) ((x) << S_COHERENCY_RX_FSM5)
19838#define F_COHERENCY_RX_FSM5 V_COHERENCY_RX_FSM5(1U)
19839
19840#define S_ARB_REQ_FSM5 13
19841#define V_ARB_REQ_FSM5(x) ((x) << S_ARB_REQ_FSM5)
19842#define F_ARB_REQ_FSM5 V_ARB_REQ_FSM5(1U)
19843
19844#define S_CMD_SPLIT_FSM5 10
19845#define M_CMD_SPLIT_FSM5 0x7U
19846#define V_CMD_SPLIT_FSM5(x) ((x) << S_CMD_SPLIT_FSM5)
19847#define G_CMD_SPLIT_FSM5(x) (((x) >> S_CMD_SPLIT_FSM5) & M_CMD_SPLIT_FSM5)
19848
19849#define A_MA_TP_THREAD_1_CLIENT_INTERFACE_INTERNAL_REG1 0xe4c0
19850
19851#define S_WR_DATA_EXT_FIFO_CNT6 30
19852#define M_WR_DATA_EXT_FIFO_CNT6 0x3U
19853#define V_WR_DATA_EXT_FIFO_CNT6(x) ((x) << S_WR_DATA_EXT_FIFO_CNT6)
19854#define G_WR_DATA_EXT_FIFO_CNT6(x) (((x) >> S_WR_DATA_EXT_FIFO_CNT6) & M_WR_DATA_EXT_FIFO_CNT6)
19855
19856#define S_WR_CMD_TAG_FIFO_CNT6 26
19857#define M_WR_CMD_TAG_FIFO_CNT6 0xfU
19858#define V_WR_CMD_TAG_FIFO_CNT6(x) ((x) << S_WR_CMD_TAG_FIFO_CNT6)
19859#define G_WR_CMD_TAG_FIFO_CNT6(x) (((x) >> S_WR_CMD_TAG_FIFO_CNT6) & M_WR_CMD_TAG_FIFO_CNT6)
19860
19861#define S_WR_DATA_512B_FIFO_CNT6 18
19862#define M_WR_DATA_512B_FIFO_CNT6 0xffU
19863#define V_WR_DATA_512B_FIFO_CNT6(x) ((x) << S_WR_DATA_512B_FIFO_CNT6)
19864#define G_WR_DATA_512B_FIFO_CNT6(x) (((x) >> S_WR_DATA_512B_FIFO_CNT6) & M_WR_DATA_512B_FIFO_CNT6)
19865
19866#define S_RD_DATA_ALIGN_FSM6 17
19867#define V_RD_DATA_ALIGN_FSM6(x) ((x) << S_RD_DATA_ALIGN_FSM6)
19868#define F_RD_DATA_ALIGN_FSM6 V_RD_DATA_ALIGN_FSM6(1U)
19869
19870#define S_RD_DATA_FETCH_FSM6 16
19871#define V_RD_DATA_FETCH_FSM6(x) ((x) << S_RD_DATA_FETCH_FSM6)
19872#define F_RD_DATA_FETCH_FSM6 V_RD_DATA_FETCH_FSM6(1U)
19873
19874#define S_COHERENCY_TX_FSM6 15
19875#define V_COHERENCY_TX_FSM6(x) ((x) << S_COHERENCY_TX_FSM6)
19876#define F_COHERENCY_TX_FSM6 V_COHERENCY_TX_FSM6(1U)
19877
19878#define S_COHERENCY_RX_FSM6 14
19879#define V_COHERENCY_RX_FSM6(x) ((x) << S_COHERENCY_RX_FSM6)
19880#define F_COHERENCY_RX_FSM6 V_COHERENCY_RX_FSM6(1U)
19881
19882#define S_ARB_REQ_FSM6 13
19883#define V_ARB_REQ_FSM6(x) ((x) << S_ARB_REQ_FSM6)
19884#define F_ARB_REQ_FSM6 V_ARB_REQ_FSM6(1U)
19885
19886#define S_CMD_SPLIT_FSM6 10
19887#define M_CMD_SPLIT_FSM6 0x7U
19888#define V_CMD_SPLIT_FSM6(x) ((x) << S_CMD_SPLIT_FSM6)
19889#define G_CMD_SPLIT_FSM6(x) (((x) >> S_CMD_SPLIT_FSM6) & M_CMD_SPLIT_FSM6)
19890
19891#define A_MA_LE_CLIENT_INTERFACE_INTERNAL_REG1 0xe4e0
19892
19893#define S_WR_DATA_EXT_FIFO_CNT7 30
19894#define M_WR_DATA_EXT_FIFO_CNT7 0x3U
19895#define V_WR_DATA_EXT_FIFO_CNT7(x) ((x) << S_WR_DATA_EXT_FIFO_CNT7)
19896#define G_WR_DATA_EXT_FIFO_CNT7(x) (((x) >> S_WR_DATA_EXT_FIFO_CNT7) & M_WR_DATA_EXT_FIFO_CNT7)
19897
19898#define S_WR_CMD_TAG_FIFO_CNT7 26
19899#define M_WR_CMD_TAG_FIFO_CNT7 0xfU
19900#define V_WR_CMD_TAG_FIFO_CNT7(x) ((x) << S_WR_CMD_TAG_FIFO_CNT7)
19901#define G_WR_CMD_TAG_FIFO_CNT7(x) (((x) >> S_WR_CMD_TAG_FIFO_CNT7) & M_WR_CMD_TAG_FIFO_CNT7)
19902
19903#define S_WR_DATA_512B_FIFO_CNT7 18
19904#define M_WR_DATA_512B_FIFO_CNT7 0xffU
19905#define V_WR_DATA_512B_FIFO_CNT7(x) ((x) << S_WR_DATA_512B_FIFO_CNT7)
19906#define G_WR_DATA_512B_FIFO_CNT7(x) (((x) >> S_WR_DATA_512B_FIFO_CNT7) & M_WR_DATA_512B_FIFO_CNT7)
19907
19908#define S_RD_DATA_ALIGN_FSM7 17
19909#define V_RD_DATA_ALIGN_FSM7(x) ((x) << S_RD_DATA_ALIGN_FSM7)
19910#define F_RD_DATA_ALIGN_FSM7 V_RD_DATA_ALIGN_FSM7(1U)
19911
19912#define S_RD_DATA_FETCH_FSM7 16
19913#define V_RD_DATA_FETCH_FSM7(x) ((x) << S_RD_DATA_FETCH_FSM7)
19914#define F_RD_DATA_FETCH_FSM7 V_RD_DATA_FETCH_FSM7(1U)
19915
19916#define S_COHERENCY_TX_FSM7 15
19917#define V_COHERENCY_TX_FSM7(x) ((x) << S_COHERENCY_TX_FSM7)
19918#define F_COHERENCY_TX_FSM7 V_COHERENCY_TX_FSM7(1U)
19919
19920#define S_COHERENCY_RX_FSM7 14
19921#define V_COHERENCY_RX_FSM7(x) ((x) << S_COHERENCY_RX_FSM7)
19922#define F_COHERENCY_RX_FSM7 V_COHERENCY_RX_FSM7(1U)
19923
19924#define S_ARB_REQ_FSM7 13
19925#define V_ARB_REQ_FSM7(x) ((x) << S_ARB_REQ_FSM7)
19926#define F_ARB_REQ_FSM7 V_ARB_REQ_FSM7(1U)
19927
19928#define S_CMD_SPLIT_FSM7 10
19929#define M_CMD_SPLIT_FSM7 0x7U
19930#define V_CMD_SPLIT_FSM7(x) ((x) << S_CMD_SPLIT_FSM7)
19931#define G_CMD_SPLIT_FSM7(x) (((x) >> S_CMD_SPLIT_FSM7) & M_CMD_SPLIT_FSM7)
19932
19933#define A_MA_CIM_CLIENT_INTERFACE_INTERNAL_REG1 0xe500
19934
19935#define S_WR_DATA_EXT_FIFO_CNT8 30
19936#define M_WR_DATA_EXT_FIFO_CNT8 0x3U
19937#define V_WR_DATA_EXT_FIFO_CNT8(x) ((x) << S_WR_DATA_EXT_FIFO_CNT8)
19938#define G_WR_DATA_EXT_FIFO_CNT8(x) (((x) >> S_WR_DATA_EXT_FIFO_CNT8) & M_WR_DATA_EXT_FIFO_CNT8)
19939
19940#define S_WR_CMD_TAG_FIFO_CNT8 26
19941#define M_WR_CMD_TAG_FIFO_CNT8 0xfU
19942#define V_WR_CMD_TAG_FIFO_CNT8(x) ((x) << S_WR_CMD_TAG_FIFO_CNT8)
19943#define G_WR_CMD_TAG_FIFO_CNT8(x) (((x) >> S_WR_CMD_TAG_FIFO_CNT8) & M_WR_CMD_TAG_FIFO_CNT8)
19944
19945#define S_WR_DATA_512B_FIFO_CNT8 18
19946#define M_WR_DATA_512B_FIFO_CNT8 0xffU
19947#define V_WR_DATA_512B_FIFO_CNT8(x) ((x) << S_WR_DATA_512B_FIFO_CNT8)
19948#define G_WR_DATA_512B_FIFO_CNT8(x) (((x) >> S_WR_DATA_512B_FIFO_CNT8) & M_WR_DATA_512B_FIFO_CNT8)
19949
19950#define S_RD_DATA_ALIGN_FSM8 17
19951#define V_RD_DATA_ALIGN_FSM8(x) ((x) << S_RD_DATA_ALIGN_FSM8)
19952#define F_RD_DATA_ALIGN_FSM8 V_RD_DATA_ALIGN_FSM8(1U)
19953
19954#define S_RD_DATA_FETCH_FSM8 16
19955#define V_RD_DATA_FETCH_FSM8(x) ((x) << S_RD_DATA_FETCH_FSM8)
19956#define F_RD_DATA_FETCH_FSM8 V_RD_DATA_FETCH_FSM8(1U)
19957
19958#define S_COHERENCY_TX_FSM8 15
19959#define V_COHERENCY_TX_FSM8(x) ((x) << S_COHERENCY_TX_FSM8)
19960#define F_COHERENCY_TX_FSM8 V_COHERENCY_TX_FSM8(1U)
19961
19962#define S_COHERENCY_RX_FSM8 14
19963#define V_COHERENCY_RX_FSM8(x) ((x) << S_COHERENCY_RX_FSM8)
19964#define F_COHERENCY_RX_FSM8 V_COHERENCY_RX_FSM8(1U)
19965
19966#define S_ARB_REQ_FSM8 13
19967#define V_ARB_REQ_FSM8(x) ((x) << S_ARB_REQ_FSM8)
19968#define F_ARB_REQ_FSM8 V_ARB_REQ_FSM8(1U)
19969
19970#define S_CMD_SPLIT_FSM8 10
19971#define M_CMD_SPLIT_FSM8 0x7U
19972#define V_CMD_SPLIT_FSM8(x) ((x) << S_CMD_SPLIT_FSM8)
19973#define G_CMD_SPLIT_FSM8(x) (((x) >> S_CMD_SPLIT_FSM8) & M_CMD_SPLIT_FSM8)
19974
19975#define A_MA_PCIE_CLIENT_INTERFACE_INTERNAL_REG1 0xe520
19976
19977#define S_WR_DATA_EXT_FIFO_CNT9 30
19978#define M_WR_DATA_EXT_FIFO_CNT9 0x3U
19979#define V_WR_DATA_EXT_FIFO_CNT9(x) ((x) << S_WR_DATA_EXT_FIFO_CNT9)
19980#define G_WR_DATA_EXT_FIFO_CNT9(x) (((x) >> S_WR_DATA_EXT_FIFO_CNT9) & M_WR_DATA_EXT_FIFO_CNT9)
19981
19982#define S_WR_CMD_TAG_FIFO_CNT9 26
19983#define M_WR_CMD_TAG_FIFO_CNT9 0xfU
19984#define V_WR_CMD_TAG_FIFO_CNT9(x) ((x) << S_WR_CMD_TAG_FIFO_CNT9)
19985#define G_WR_CMD_TAG_FIFO_CNT9(x) (((x) >> S_WR_CMD_TAG_FIFO_CNT9) & M_WR_CMD_TAG_FIFO_CNT9)
19986
19987#define S_WR_DATA_512B_FIFO_CNT9 18
19988#define M_WR_DATA_512B_FIFO_CNT9 0xffU
19989#define V_WR_DATA_512B_FIFO_CNT9(x) ((x) << S_WR_DATA_512B_FIFO_CNT9)
19990#define G_WR_DATA_512B_FIFO_CNT9(x) (((x) >> S_WR_DATA_512B_FIFO_CNT9) & M_WR_DATA_512B_FIFO_CNT9)
19991
19992#define S_RD_DATA_ALIGN_FSM9 17
19993#define V_RD_DATA_ALIGN_FSM9(x) ((x) << S_RD_DATA_ALIGN_FSM9)
19994#define F_RD_DATA_ALIGN_FSM9 V_RD_DATA_ALIGN_FSM9(1U)
19995
19996#define S_RD_DATA_FETCH_FSM9 16
19997#define V_RD_DATA_FETCH_FSM9(x) ((x) << S_RD_DATA_FETCH_FSM9)
19998#define F_RD_DATA_FETCH_FSM9 V_RD_DATA_FETCH_FSM9(1U)
19999
20000#define S_COHERENCY_TX_FSM9 15
20001#define V_COHERENCY_TX_FSM9(x) ((x) << S_COHERENCY_TX_FSM9)
20002#define F_COHERENCY_TX_FSM9 V_COHERENCY_TX_FSM9(1U)
20003
20004#define S_COHERENCY_RX_FSM9 14
20005#define V_COHERENCY_RX_FSM9(x) ((x) << S_COHERENCY_RX_FSM9)
20006#define F_COHERENCY_RX_FSM9 V_COHERENCY_RX_FSM9(1U)
20007
20008#define S_ARB_REQ_FSM9 13
20009#define V_ARB_REQ_FSM9(x) ((x) << S_ARB_REQ_FSM9)
20010#define F_ARB_REQ_FSM9 V_ARB_REQ_FSM9(1U)
20011
20012#define S_CMD_SPLIT_FSM9 10
20013#define M_CMD_SPLIT_FSM9 0x7U
20014#define V_CMD_SPLIT_FSM9(x) ((x) << S_CMD_SPLIT_FSM9)
20015#define G_CMD_SPLIT_FSM9(x) (((x) >> S_CMD_SPLIT_FSM9) & M_CMD_SPLIT_FSM9)
20016
20017#define A_MA_PM_TX_CLIENT_INTERFACE_INTERNAL_REG1 0xe540
20018
20019#define S_WR_DATA_EXT_FIFO_CNT10 30
20020#define M_WR_DATA_EXT_FIFO_CNT10 0x3U
20021#define V_WR_DATA_EXT_FIFO_CNT10(x) ((x) << S_WR_DATA_EXT_FIFO_CNT10)
20022#define G_WR_DATA_EXT_FIFO_CNT10(x) (((x) >> S_WR_DATA_EXT_FIFO_CNT10) & M_WR_DATA_EXT_FIFO_CNT10)
20023
20024#define S_WR_CMD_TAG_FIFO_CNT10 26
20025#define M_WR_CMD_TAG_FIFO_CNT10 0xfU
20026#define V_WR_CMD_TAG_FIFO_CNT10(x) ((x) << S_WR_CMD_TAG_FIFO_CNT10)
20027#define G_WR_CMD_TAG_FIFO_CNT10(x) (((x) >> S_WR_CMD_TAG_FIFO_CNT10) & M_WR_CMD_TAG_FIFO_CNT10)
20028
20029#define S_WR_DATA_512B_FIFO_CNT10 18
20030#define M_WR_DATA_512B_FIFO_CNT10 0xffU
20031#define V_WR_DATA_512B_FIFO_CNT10(x) ((x) << S_WR_DATA_512B_FIFO_CNT10)
20032#define G_WR_DATA_512B_FIFO_CNT10(x) (((x) >> S_WR_DATA_512B_FIFO_CNT10) & M_WR_DATA_512B_FIFO_CNT10)
20033
20034#define S_RD_DATA_ALIGN_FSM10 17
20035#define V_RD_DATA_ALIGN_FSM10(x) ((x) << S_RD_DATA_ALIGN_FSM10)
20036#define F_RD_DATA_ALIGN_FSM10 V_RD_DATA_ALIGN_FSM10(1U)
20037
20038#define S_RD_DATA_FETCH_FSM10 16
20039#define V_RD_DATA_FETCH_FSM10(x) ((x) << S_RD_DATA_FETCH_FSM10)
20040#define F_RD_DATA_FETCH_FSM10 V_RD_DATA_FETCH_FSM10(1U)
20041
20042#define S_COHERENCY_TX_FSM10 15
20043#define V_COHERENCY_TX_FSM10(x) ((x) << S_COHERENCY_TX_FSM10)
20044#define F_COHERENCY_TX_FSM10 V_COHERENCY_TX_FSM10(1U)
20045
20046#define S_COHERENCY_RX_FSM10 14
20047#define V_COHERENCY_RX_FSM10(x) ((x) << S_COHERENCY_RX_FSM10)
20048#define F_COHERENCY_RX_FSM10 V_COHERENCY_RX_FSM10(1U)
20049
20050#define S_ARB_REQ_FSM10 13
20051#define V_ARB_REQ_FSM10(x) ((x) << S_ARB_REQ_FSM10)
20052#define F_ARB_REQ_FSM10 V_ARB_REQ_FSM10(1U)
20053
20054#define S_CMD_SPLIT_FSM10 10
20055#define M_CMD_SPLIT_FSM10 0x7U
20056#define V_CMD_SPLIT_FSM10(x) ((x) << S_CMD_SPLIT_FSM10)
20057#define G_CMD_SPLIT_FSM10(x) (((x) >> S_CMD_SPLIT_FSM10) & M_CMD_SPLIT_FSM10)
20058
20059#define A_MA_PM_RX_CLIENT_INTERFACE_INTERNAL_REG1 0xe560
20060
20061#define S_WR_DATA_EXT_FIFO_CNT11 30
20062#define M_WR_DATA_EXT_FIFO_CNT11 0x3U
20063#define V_WR_DATA_EXT_FIFO_CNT11(x) ((x) << S_WR_DATA_EXT_FIFO_CNT11)
20064#define G_WR_DATA_EXT_FIFO_CNT11(x) (((x) >> S_WR_DATA_EXT_FIFO_CNT11) & M_WR_DATA_EXT_FIFO_CNT11)
20065
20066#define S_WR_CMD_TAG_FIFO_CNT11 26
20067#define M_WR_CMD_TAG_FIFO_CNT11 0xfU
20068#define V_WR_CMD_TAG_FIFO_CNT11(x) ((x) << S_WR_CMD_TAG_FIFO_CNT11)
20069#define G_WR_CMD_TAG_FIFO_CNT11(x) (((x) >> S_WR_CMD_TAG_FIFO_CNT11) & M_WR_CMD_TAG_FIFO_CNT11)
20070
20071#define S_WR_DATA_512B_FIFO_CNT11 18
20072#define M_WR_DATA_512B_FIFO_CNT11 0xffU
20073#define V_WR_DATA_512B_FIFO_CNT11(x) ((x) << S_WR_DATA_512B_FIFO_CNT11)
20074#define G_WR_DATA_512B_FIFO_CNT11(x) (((x) >> S_WR_DATA_512B_FIFO_CNT11) & M_WR_DATA_512B_FIFO_CNT11)
20075
20076#define S_RD_DATA_ALIGN_FSM11 17
20077#define V_RD_DATA_ALIGN_FSM11(x) ((x) << S_RD_DATA_ALIGN_FSM11)
20078#define F_RD_DATA_ALIGN_FSM11 V_RD_DATA_ALIGN_FSM11(1U)
20079
20080#define S_RD_DATA_FETCH_FSM11 16
20081#define V_RD_DATA_FETCH_FSM11(x) ((x) << S_RD_DATA_FETCH_FSM11)
20082#define F_RD_DATA_FETCH_FSM11 V_RD_DATA_FETCH_FSM11(1U)
20083
20084#define S_COHERENCY_TX_FSM11 15
20085#define V_COHERENCY_TX_FSM11(x) ((x) << S_COHERENCY_TX_FSM11)
20086#define F_COHERENCY_TX_FSM11 V_COHERENCY_TX_FSM11(1U)
20087
20088#define S_COHERENCY_RX_FSM11 14
20089#define V_COHERENCY_RX_FSM11(x) ((x) << S_COHERENCY_RX_FSM11)
20090#define F_COHERENCY_RX_FSM11 V_COHERENCY_RX_FSM11(1U)
20091
20092#define S_ARB_REQ_FSM11 13
20093#define V_ARB_REQ_FSM11(x) ((x) << S_ARB_REQ_FSM11)
20094#define F_ARB_REQ_FSM11 V_ARB_REQ_FSM11(1U)
20095
20096#define S_CMD_SPLIT_FSM11 10
20097#define M_CMD_SPLIT_FSM11 0x7U
20098#define V_CMD_SPLIT_FSM11(x) ((x) << S_CMD_SPLIT_FSM11)
20099#define G_CMD_SPLIT_FSM11(x) (((x) >> S_CMD_SPLIT_FSM11) & M_CMD_SPLIT_FSM11)
20100
20101#define A_MA_HMA_CLIENT_INTERFACE_INTERNAL_REG1 0xe580
20102
20103#define S_WR_DATA_EXT_FIFO_CNT12 30
20104#define M_WR_DATA_EXT_FIFO_CNT12 0x3U
20105#define V_WR_DATA_EXT_FIFO_CNT12(x) ((x) << S_WR_DATA_EXT_FIFO_CNT12)
20106#define G_WR_DATA_EXT_FIFO_CNT12(x) (((x) >> S_WR_DATA_EXT_FIFO_CNT12) & M_WR_DATA_EXT_FIFO_CNT12)
20107
20108#define S_WR_CMD_TAG_FIFO_CNT12 26
20109#define M_WR_CMD_TAG_FIFO_CNT12 0xfU
20110#define V_WR_CMD_TAG_FIFO_CNT12(x) ((x) << S_WR_CMD_TAG_FIFO_CNT12)
20111#define G_WR_CMD_TAG_FIFO_CNT12(x) (((x) >> S_WR_CMD_TAG_FIFO_CNT12) & M_WR_CMD_TAG_FIFO_CNT12)
20112
20113#define S_WR_DATA_512B_FIFO_CNT12 18
20114#define M_WR_DATA_512B_FIFO_CNT12 0xffU
20115#define V_WR_DATA_512B_FIFO_CNT12(x) ((x) << S_WR_DATA_512B_FIFO_CNT12)
20116#define G_WR_DATA_512B_FIFO_CNT12(x) (((x) >> S_WR_DATA_512B_FIFO_CNT12) & M_WR_DATA_512B_FIFO_CNT12)
20117
20118#define S_RD_DATA_ALIGN_FSM12 17
20119#define V_RD_DATA_ALIGN_FSM12(x) ((x) << S_RD_DATA_ALIGN_FSM12)
20120#define F_RD_DATA_ALIGN_FSM12 V_RD_DATA_ALIGN_FSM12(1U)
20121
20122#define S_RD_DATA_FETCH_FSM12 16
20123#define V_RD_DATA_FETCH_FSM12(x) ((x) << S_RD_DATA_FETCH_FSM12)
20124#define F_RD_DATA_FETCH_FSM12 V_RD_DATA_FETCH_FSM12(1U)
20125
20126#define S_COHERENCY_TX_FSM12 15
20127#define V_COHERENCY_TX_FSM12(x) ((x) << S_COHERENCY_TX_FSM12)
20128#define F_COHERENCY_TX_FSM12 V_COHERENCY_TX_FSM12(1U)
20129
20130#define S_COHERENCY_RX_FSM12 14
20131#define V_COHERENCY_RX_FSM12(x) ((x) << S_COHERENCY_RX_FSM12)
20132#define F_COHERENCY_RX_FSM12 V_COHERENCY_RX_FSM12(1U)
20133
20134#define S_ARB_REQ_FSM12 13
20135#define V_ARB_REQ_FSM12(x) ((x) << S_ARB_REQ_FSM12)
20136#define F_ARB_REQ_FSM12 V_ARB_REQ_FSM12(1U)
20137
20138#define S_CMD_SPLIT_FSM12 10
20139#define M_CMD_SPLIT_FSM12 0x7U
20140#define V_CMD_SPLIT_FSM12(x) ((x) << S_CMD_SPLIT_FSM12)
20141#define G_CMD_SPLIT_FSM12(x) (((x) >> S_CMD_SPLIT_FSM12) & M_CMD_SPLIT_FSM12)
20142
20143#define A_MA_TARGET_0_ARBITER_INTERFACE_INTERNAL_REG1 0xe5a0
20144
20145#define S_RD_CMD_TAG_FIFO_CNT0 8
20146#define M_RD_CMD_TAG_FIFO_CNT0 0xffU
20147#define V_RD_CMD_TAG_FIFO_CNT0(x) ((x) << S_RD_CMD_TAG_FIFO_CNT0)
20148#define G_RD_CMD_TAG_FIFO_CNT0(x) (((x) >> S_RD_CMD_TAG_FIFO_CNT0) & M_RD_CMD_TAG_FIFO_CNT0)
20149
20150#define S_RD_DATA_FIFO_CNT0 0
20151#define M_RD_DATA_FIFO_CNT0 0xffU
20152#define V_RD_DATA_FIFO_CNT0(x) ((x) << S_RD_DATA_FIFO_CNT0)
20153#define G_RD_DATA_FIFO_CNT0(x) (((x) >> S_RD_DATA_FIFO_CNT0) & M_RD_DATA_FIFO_CNT0)
20154
20155#define A_MA_TARGET_1_ARBITER_INTERFACE_INTERNAL_REG1 0xe5c0
20156
20157#define S_RD_CMD_TAG_FIFO_CNT1 8
20158#define M_RD_CMD_TAG_FIFO_CNT1 0xffU
20159#define V_RD_CMD_TAG_FIFO_CNT1(x) ((x) << S_RD_CMD_TAG_FIFO_CNT1)
20160#define G_RD_CMD_TAG_FIFO_CNT1(x) (((x) >> S_RD_CMD_TAG_FIFO_CNT1) & M_RD_CMD_TAG_FIFO_CNT1)
20161
20162#define S_RD_DATA_FIFO_CNT1 0
20163#define M_RD_DATA_FIFO_CNT1 0xffU
20164#define V_RD_DATA_FIFO_CNT1(x) ((x) << S_RD_DATA_FIFO_CNT1)
20165#define G_RD_DATA_FIFO_CNT1(x) (((x) >> S_RD_DATA_FIFO_CNT1) & M_RD_DATA_FIFO_CNT1)
20166
20167#define A_MA_TARGET_2_ARBITER_INTERFACE_INTERNAL_REG1 0xe5e0
20168
20169#define S_RD_CMD_TAG_FIFO_CNT2 8
20170#define M_RD_CMD_TAG_FIFO_CNT2 0xffU
20171#define V_RD_CMD_TAG_FIFO_CNT2(x) ((x) << S_RD_CMD_TAG_FIFO_CNT2)
20172#define G_RD_CMD_TAG_FIFO_CNT2(x) (((x) >> S_RD_CMD_TAG_FIFO_CNT2) & M_RD_CMD_TAG_FIFO_CNT2)
20173
20174#define S_RD_DATA_FIFO_CNT2 0
20175#define M_RD_DATA_FIFO_CNT2 0xffU
20176#define V_RD_DATA_FIFO_CNT2(x) ((x) << S_RD_DATA_FIFO_CNT2)
20177#define G_RD_DATA_FIFO_CNT2(x) (((x) >> S_RD_DATA_FIFO_CNT2) & M_RD_DATA_FIFO_CNT2)
20178
20179#define A_MA_TARGET_3_ARBITER_INTERFACE_INTERNAL_REG1 0xe600
20180
20181#define S_RD_CMD_TAG_FIFO_CNT3 8
20182#define M_RD_CMD_TAG_FIFO_CNT3 0xffU
20183#define V_RD_CMD_TAG_FIFO_CNT3(x) ((x) << S_RD_CMD_TAG_FIFO_CNT3)
20184#define G_RD_CMD_TAG_FIFO_CNT3(x) (((x) >> S_RD_CMD_TAG_FIFO_CNT3) & M_RD_CMD_TAG_FIFO_CNT3)
20185
20186#define S_RD_DATA_FIFO_CNT3 0
20187#define M_RD_DATA_FIFO_CNT3 0xffU
20188#define V_RD_DATA_FIFO_CNT3(x) ((x) << S_RD_DATA_FIFO_CNT3)
20189#define G_RD_DATA_FIFO_CNT3(x) (((x) >> S_RD_DATA_FIFO_CNT3) & M_RD_DATA_FIFO_CNT3)
20190
20191#define A_MA_SGE_THREAD_0_CLNT_EXP_WR_CYC_CNT_LO 0xe640
20192#define A_MA_SGE_THREAD_1_CLNT_EXP_WR_CYC_CNT_LO 0xe660
20193#define A_MA_ULP_TX_CLNT_EXP_WR_CYC_CNT_LO 0xe680
20194#define A_MA_ULP_RX_CLNT_EXP_WR_CYC_CNT_LO 0xe6a0
20195#define A_MA_ULP_TX_RX_CLNT_EXP_WR_CYC_CNT_LO 0xe6c0
20196#define A_MA_TP_THREAD_0_CLNT_EXP_WR_CYC_CNT_LO 0xe6e0
20197#define A_MA_TP_THREAD_1_CLNT_EXP_WR_CYC_CNT_LO 0xe700
20198#define A_MA_LE_CLNT_EXP_WR_CYC_CNT_LO 0xe720
20199#define A_MA_CIM_CLNT_EXP_WR_CYC_CNT_LO 0xe740
20200#define A_MA_PCIE_CLNT_EXP_WR_CYC_CNT_LO 0xe760
20201#define A_MA_PM_TX_CLNT_EXP_WR_CYC_CNT_LO 0xe780
20202#define A_MA_PM_RX_CLNT_EXP_WR_CYC_CNT_LO 0xe7a0
20203#define A_MA_HMA_CLNT_EXP_WR_CYC_CNT_LO 0xe7c0
20204#define A_MA_EDRAM0_WR_REQ_CNT_HI 0xe800
20205#define A_MA_EDRAM0_WR_REQ_CNT_LO 0xe820
20206#define A_MA_EDRAM1_WR_REQ_CNT_HI 0xe840
20207#define A_MA_EDRAM1_WR_REQ_CNT_LO 0xe860
20208#define A_MA_EXT_MEMORY0_WR_REQ_CNT_HI 0xe880
20209#define A_MA_EXT_MEMORY0_WR_REQ_CNT_LO 0xe8a0
20210#define A_MA_EXT_MEMORY1_WR_REQ_CNT_HI 0xe8c0
20211#define A_MA_EXT_MEMORY1_WR_REQ_CNT_LO 0xe8e0
20212#define A_MA_EDRAM0_RD_REQ_CNT_HI 0xe900
20213#define A_MA_EDRAM0_RD_REQ_CNT_LO 0xe920
20214#define A_MA_EDRAM1_RD_REQ_CNT_HI 0xe940
20215#define A_MA_EDRAM1_RD_REQ_CNT_LO 0xe960
20216#define A_MA_EXT_MEMORY0_RD_REQ_CNT_HI 0xe980
20217#define A_MA_EXT_MEMORY0_RD_REQ_CNT_LO 0xe9a0
20218#define A_MA_EXT_MEMORY1_RD_REQ_CNT_HI 0xe9c0
20219#define A_MA_EXT_MEMORY1_RD_REQ_CNT_LO 0xe9e0
20220#define A_MA_SGE_THREAD_0_CLNT_ACT_RD_CYC_CNT_HI 0xec00
20221#define A_MA_SGE_THREAD_0_CLNT_ACT_RD_CYC_CNT_LO 0xec20
20222#define A_MA_SGE_THREAD_1_CLNT_ACT_RD_CYC_CNT_HI 0xec40
20223#define A_MA_SGE_THREAD_1_CLNT_ACT_RD_CYC_CNT_LO 0xec60
20224#define A_MA_ULP_TX_CLNT_ACT_RD_CYC_CNT_HI 0xec80
20225#define A_MA_ULP_TX_CLNT_ACT_RD_CYC_CNT_LO 0xeca0
20226#define A_MA_ULP_RX_CLNT_ACT_RD_CYC_CNT_HI 0xecc0
20227#define A_MA_ULP_RX_CLNT_ACT_RD_CYC_CNT_LO 0xece0
20228#define A_MA_ULP_TX_RX_CLNT_ACT_RD_CYC_CNT_HI 0xed00
20229#define A_MA_ULP_TX_RX_CLNT_ACT_RD_CYC_CNT_LO 0xed20
20230#define A_MA_TP_THREAD_0_CLNT_ACT_RD_CYC_CNT_HI 0xed40
20231#define A_MA_TP_THREAD_0_CLNT_ACT_RD_CYC_CNT_LO 0xed60
20232#define A_MA_TP_THREAD_1_CLNT_ACT_RD_CYC_CNT_HI 0xed80
20233#define A_MA_TP_THREAD_1_CLNT_ACT_RD_CYC_CNT_LO 0xeda0
20234#define A_MA_LE_CLNT_ACT_RD_CYC_CNT_HI 0xedc0
20235#define A_MA_LE_CLNT_ACT_RD_CYC_CNT_LO 0xede0
20236#define A_MA_CIM_CLNT_ACT_RD_CYC_CNT_HI 0xee00
20237#define A_MA_CIM_CLNT_ACT_RD_CYC_CNT_LO 0xee20
20238#define A_MA_PCIE_CLNT_ACT_RD_CYC_CNT_HI 0xee40
20239#define A_MA_PCIE_CLNT_ACT_RD_CYC_CNT_LO 0xee60
20240#define A_MA_PM_TX_CLNT_ACT_RD_CYC_CNT_HI 0xee80
20241#define A_MA_PM_TX_CLNT_ACT_RD_CYC_CNT_LO 0xeea0
20242#define A_MA_PM_RX_CLNT_ACT_RD_CYC_CNT_HI 0xeec0
20243#define A_MA_PM_RX_CLNT_ACT_RD_CYC_CNT_LO 0xeee0
20244#define A_MA_HMA_CLNT_ACT_RD_CYC_CNT_HI 0xef00
20245#define A_MA_HMA_CLNT_ACT_RD_CYC_CNT_LO 0xef20
20246#define A_MA_PM_TX_RD_THROTTLE_STATUS 0xf000
20247
20248#define S_PTMAXTRANS 16
20249#define V_PTMAXTRANS(x) ((x) << S_PTMAXTRANS)
20250#define F_PTMAXTRANS V_PTMAXTRANS(1U)
20251
20252#define S_PTFLITCNT 0
20253#define M_PTFLITCNT 0xffU
20254#define V_PTFLITCNT(x) ((x) << S_PTFLITCNT)
20255#define G_PTFLITCNT(x) (((x) >> S_PTFLITCNT) & M_PTFLITCNT)
20256
20257#define A_MA_PM_RX_RD_THROTTLE_STATUS 0xf020
20258
20259#define S_PRMAXTRANS 16
20260#define V_PRMAXTRANS(x) ((x) << S_PRMAXTRANS)
20261#define F_PRMAXTRANS V_PRMAXTRANS(1U)
20262
20263#define S_PRFLITCNT 0
20264#define M_PRFLITCNT 0xffU
20265#define V_PRFLITCNT(x) ((x) << S_PRFLITCNT)
20266#define G_PRFLITCNT(x) (((x) >> S_PRFLITCNT) & M_PRFLITCNT)
20267
20268/* registers for module EDC_0 */
20269#define EDC_0_BASE_ADDR 0x7900
20270
20271#define A_EDC_REF 0x7900
20272
20273#define S_EDC_INST_NUM 18
20274#define V_EDC_INST_NUM(x) ((x) << S_EDC_INST_NUM)
20275#define F_EDC_INST_NUM V_EDC_INST_NUM(1U)
20276
20277#define S_ENABLE_PERF 17
20278#define V_ENABLE_PERF(x) ((x) << S_ENABLE_PERF)
20279#define F_ENABLE_PERF V_ENABLE_PERF(1U)
20280
20281#define S_ECC_BYPASS 16
20282#define V_ECC_BYPASS(x) ((x) << S_ECC_BYPASS)
20283#define F_ECC_BYPASS V_ECC_BYPASS(1U)
20284
20285#define S_REFFREQ 0
20286#define M_REFFREQ 0xffffU
20287#define V_REFFREQ(x) ((x) << S_REFFREQ)
20288#define G_REFFREQ(x) (((x) >> S_REFFREQ) & M_REFFREQ)
20289
20290#define A_EDC_BIST_CMD 0x7904
20291#define A_EDC_BIST_CMD_ADDR 0x7908
20292#define A_EDC_BIST_CMD_LEN 0x790c
20293#define A_EDC_BIST_DATA_PATTERN 0x7910
20294#define A_EDC_BIST_USER_WDATA0 0x7914
20295#define A_EDC_BIST_USER_WDATA1 0x7918
20296#define A_EDC_BIST_USER_WDATA2 0x791c
20297#define A_EDC_BIST_NUM_ERR 0x7920
20298#define A_EDC_BIST_ERR_FIRST_ADDR 0x7924
20299#define A_EDC_BIST_STATUS_RDATA 0x7928
20300#define A_EDC_PAR_ENABLE 0x7970
20301
20302#define S_ECC_UE 2
20303#define V_ECC_UE(x) ((x) << S_ECC_UE)
20304#define F_ECC_UE V_ECC_UE(1U)
20305
20306#define S_ECC_CE 1
20307#define V_ECC_CE(x) ((x) << S_ECC_CE)
20308#define F_ECC_CE V_ECC_CE(1U)
20309
20310#define A_EDC_INT_ENABLE 0x7974
20311#define A_EDC_INT_CAUSE 0x7978
20312
20313#define S_ECC_UE_PAR 5
20314#define V_ECC_UE_PAR(x) ((x) << S_ECC_UE_PAR)
20315#define F_ECC_UE_PAR V_ECC_UE_PAR(1U)
20316
20317#define S_ECC_CE_PAR 4
20318#define V_ECC_CE_PAR(x) ((x) << S_ECC_CE_PAR)
20319#define F_ECC_CE_PAR V_ECC_CE_PAR(1U)
20320
20321#define S_PERR_PAR_CAUSE 3
20322#define V_PERR_PAR_CAUSE(x) ((x) << S_PERR_PAR_CAUSE)
20323#define F_PERR_PAR_CAUSE V_PERR_PAR_CAUSE(1U)
20324
20325#define A_EDC_ECC_STATUS 0x797c
20326
20327/* registers for module EDC_1 */
20328#define EDC_1_BASE_ADDR 0x7980
20329
20330/* registers for module HMA */
20331#define HMA_BASE_ADDR 0x7a00
20332
20333/* registers for module CIM */
20334#define CIM_BASE_ADDR 0x7b00
20335
20336#define A_CIM_VF_EXT_MAILBOX_CTRL 0x0
20337
20338#define S_VFMBGENERIC 4
20339#define M_VFMBGENERIC 0xfU
20340#define V_VFMBGENERIC(x) ((x) << S_VFMBGENERIC)
20341#define G_VFMBGENERIC(x) (((x) >> S_VFMBGENERIC) & M_VFMBGENERIC)
20342
20343#define A_CIM_VF_EXT_MAILBOX_STATUS 0x4
20344
20345#define S_MBVFREADY 0
20346#define V_MBVFREADY(x) ((x) << S_MBVFREADY)
20347#define F_MBVFREADY V_MBVFREADY(1U)
20348
20349#define A_CIM_PF_MAILBOX_DATA 0x240
20350#define A_CIM_PF_MAILBOX_CTRL 0x280
20351
20352#define S_MBGENERIC 4
20353#define M_MBGENERIC 0xfffffffU
20354#define V_MBGENERIC(x) ((x) << S_MBGENERIC)
20355#define G_MBGENERIC(x) (((x) >> S_MBGENERIC) & M_MBGENERIC)
20356
20357#define S_MBMSGVALID 3
20358#define V_MBMSGVALID(x) ((x) << S_MBMSGVALID)
20359#define F_MBMSGVALID V_MBMSGVALID(1U)
20360
20361#define S_MBINTREQ 2
20362#define V_MBINTREQ(x) ((x) << S_MBINTREQ)
20363#define F_MBINTREQ V_MBINTREQ(1U)
20364
20365#define S_MBOWNER 0
20366#define M_MBOWNER 0x3U
20367#define V_MBOWNER(x) ((x) << S_MBOWNER)
20368#define G_MBOWNER(x) (((x) >> S_MBOWNER) & M_MBOWNER)
20369
20370#define A_CIM_PF_MAILBOX_ACC_STATUS 0x284
20371
20372#define S_MBWRBUSY 31
20373#define V_MBWRBUSY(x) ((x) << S_MBWRBUSY)
20374#define F_MBWRBUSY V_MBWRBUSY(1U)
20375
20376#define A_CIM_PF_HOST_INT_ENABLE 0x288
20377
20378#define S_MBMSGRDYINTEN 19
20379#define V_MBMSGRDYINTEN(x) ((x) << S_MBMSGRDYINTEN)
20380#define F_MBMSGRDYINTEN V_MBMSGRDYINTEN(1U)
20381
20382#define A_CIM_PF_HOST_INT_CAUSE 0x28c
20383
20384#define S_MBMSGRDYINT 19
20385#define V_MBMSGRDYINT(x) ((x) << S_MBMSGRDYINT)
20386#define F_MBMSGRDYINT V_MBMSGRDYINT(1U)
20387
20388#define A_CIM_PF_MAILBOX_CTRL_SHADOW_COPY 0x290
20389#define A_CIM_BOOT_CFG 0x7b00
20390
20391#define S_BOOTADDR 8
20392#define M_BOOTADDR 0xffffffU
20393#define V_BOOTADDR(x) ((x) << S_BOOTADDR)
20394#define G_BOOTADDR(x) (((x) >> S_BOOTADDR) & M_BOOTADDR)
20395
20396#define S_UPGEN 2
20397#define M_UPGEN 0x3fU
20398#define V_UPGEN(x) ((x) << S_UPGEN)
20399#define G_UPGEN(x) (((x) >> S_UPGEN) & M_UPGEN)
20400
20401#define S_BOOTSDRAM 1
20402#define V_BOOTSDRAM(x) ((x) << S_BOOTSDRAM)
20403#define F_BOOTSDRAM V_BOOTSDRAM(1U)
20404
20405#define S_UPCRST 0
20406#define V_UPCRST(x) ((x) << S_UPCRST)
20407#define F_UPCRST V_UPCRST(1U)
20408
20409#define A_CIM_FLASH_BASE_ADDR 0x7b04
20410
20411#define S_FLASHBASEADDR 6
20412#define M_FLASHBASEADDR 0x3ffffU
20413#define V_FLASHBASEADDR(x) ((x) << S_FLASHBASEADDR)
20414#define G_FLASHBASEADDR(x) (((x) >> S_FLASHBASEADDR) & M_FLASHBASEADDR)
20415
20416#define A_CIM_FLASH_ADDR_SIZE 0x7b08
20417
20418#define S_FLASHADDRSIZE 4
20419#define M_FLASHADDRSIZE 0xfffffU
20420#define V_FLASHADDRSIZE(x) ((x) << S_FLASHADDRSIZE)
20421#define G_FLASHADDRSIZE(x) (((x) >> S_FLASHADDRSIZE) & M_FLASHADDRSIZE)
20422
20423#define A_CIM_EEPROM_BASE_ADDR 0x7b0c
20424
20425#define S_EEPROMBASEADDR 6
20426#define M_EEPROMBASEADDR 0x3ffffU
20427#define V_EEPROMBASEADDR(x) ((x) << S_EEPROMBASEADDR)
20428#define G_EEPROMBASEADDR(x) (((x) >> S_EEPROMBASEADDR) & M_EEPROMBASEADDR)
20429
20430#define A_CIM_EEPROM_ADDR_SIZE 0x7b10
20431
20432#define S_EEPROMADDRSIZE 4
20433#define M_EEPROMADDRSIZE 0xfffffU
20434#define V_EEPROMADDRSIZE(x) ((x) << S_EEPROMADDRSIZE)
20435#define G_EEPROMADDRSIZE(x) (((x) >> S_EEPROMADDRSIZE) & M_EEPROMADDRSIZE)
20436
20437#define A_CIM_SDRAM_BASE_ADDR 0x7b14
20438
20439#define S_SDRAMBASEADDR 6
20440#define M_SDRAMBASEADDR 0x3ffffffU
20441#define V_SDRAMBASEADDR(x) ((x) << S_SDRAMBASEADDR)
20442#define G_SDRAMBASEADDR(x) (((x) >> S_SDRAMBASEADDR) & M_SDRAMBASEADDR)
20443
20444#define A_CIM_SDRAM_ADDR_SIZE 0x7b18
20445
20446#define S_SDRAMADDRSIZE 4
20447#define M_SDRAMADDRSIZE 0xfffffffU
20448#define V_SDRAMADDRSIZE(x) ((x) << S_SDRAMADDRSIZE)
20449#define G_SDRAMADDRSIZE(x) (((x) >> S_SDRAMADDRSIZE) & M_SDRAMADDRSIZE)
20450
20451#define A_CIM_EXTMEM2_BASE_ADDR 0x7b1c
20452
20453#define S_EXTMEM2BASEADDR 6
20454#define M_EXTMEM2BASEADDR 0x3ffffffU
20455#define V_EXTMEM2BASEADDR(x) ((x) << S_EXTMEM2BASEADDR)
20456#define G_EXTMEM2BASEADDR(x) (((x) >> S_EXTMEM2BASEADDR) & M_EXTMEM2BASEADDR)
20457
20458#define A_CIM_EXTMEM2_ADDR_SIZE 0x7b20
20459
20460#define S_EXTMEM2ADDRSIZE 4
20461#define M_EXTMEM2ADDRSIZE 0xfffffffU
20462#define V_EXTMEM2ADDRSIZE(x) ((x) << S_EXTMEM2ADDRSIZE)
20463#define G_EXTMEM2ADDRSIZE(x) (((x) >> S_EXTMEM2ADDRSIZE) & M_EXTMEM2ADDRSIZE)
20464
20465#define A_CIM_UP_SPARE_INT 0x7b24
20466
20467#define S_TDEBUGINT 4
20468#define V_TDEBUGINT(x) ((x) << S_TDEBUGINT)
20469#define F_TDEBUGINT V_TDEBUGINT(1U)
20470
20471#define S_BOOTVECSEL 3
20472#define V_BOOTVECSEL(x) ((x) << S_BOOTVECSEL)
20473#define F_BOOTVECSEL V_BOOTVECSEL(1U)
20474
20475#define S_UPSPAREINT 0
20476#define M_UPSPAREINT 0x7U
20477#define V_UPSPAREINT(x) ((x) << S_UPSPAREINT)
20478#define G_UPSPAREINT(x) (((x) >> S_UPSPAREINT) & M_UPSPAREINT)
20479
20480#define A_CIM_HOST_INT_ENABLE 0x7b28
20481
20482#define S_TIEQOUTPARERRINTEN 20
20483#define V_TIEQOUTPARERRINTEN(x) ((x) << S_TIEQOUTPARERRINTEN)
20484#define F_TIEQOUTPARERRINTEN V_TIEQOUTPARERRINTEN(1U)
20485
20486#define S_TIEQINPARERRINTEN 19
20487#define V_TIEQINPARERRINTEN(x) ((x) << S_TIEQINPARERRINTEN)
20488#define F_TIEQINPARERRINTEN V_TIEQINPARERRINTEN(1U)
20489
20490#define S_MBHOSTPARERR 18
20491#define V_MBHOSTPARERR(x) ((x) << S_MBHOSTPARERR)
20492#define F_MBHOSTPARERR V_MBHOSTPARERR(1U)
20493
20494#define S_MBUPPARERR 17
20495#define V_MBUPPARERR(x) ((x) << S_MBUPPARERR)
20496#define F_MBUPPARERR V_MBUPPARERR(1U)
20497
20498#define S_IBQTP0PARERR 16
20499#define V_IBQTP0PARERR(x) ((x) << S_IBQTP0PARERR)
20500#define F_IBQTP0PARERR V_IBQTP0PARERR(1U)
20501
20502#define S_IBQTP1PARERR 15
20503#define V_IBQTP1PARERR(x) ((x) << S_IBQTP1PARERR)
20504#define F_IBQTP1PARERR V_IBQTP1PARERR(1U)
20505
20506#define S_IBQULPPARERR 14
20507#define V_IBQULPPARERR(x) ((x) << S_IBQULPPARERR)
20508#define F_IBQULPPARERR V_IBQULPPARERR(1U)
20509
20510#define S_IBQSGELOPARERR 13
20511#define V_IBQSGELOPARERR(x) ((x) << S_IBQSGELOPARERR)
20512#define F_IBQSGELOPARERR V_IBQSGELOPARERR(1U)
20513
20514#define S_IBQSGEHIPARERR 12
20515#define V_IBQSGEHIPARERR(x) ((x) << S_IBQSGEHIPARERR)
20516#define F_IBQSGEHIPARERR V_IBQSGEHIPARERR(1U)
20517
20518#define S_IBQNCSIPARERR 11
20519#define V_IBQNCSIPARERR(x) ((x) << S_IBQNCSIPARERR)
20520#define F_IBQNCSIPARERR V_IBQNCSIPARERR(1U)
20521
20522#define S_OBQULP0PARERR 10
20523#define V_OBQULP0PARERR(x) ((x) << S_OBQULP0PARERR)
20524#define F_OBQULP0PARERR V_OBQULP0PARERR(1U)
20525
20526#define S_OBQULP1PARERR 9
20527#define V_OBQULP1PARERR(x) ((x) << S_OBQULP1PARERR)
20528#define F_OBQULP1PARERR V_OBQULP1PARERR(1U)
20529
20530#define S_OBQULP2PARERR 8
20531#define V_OBQULP2PARERR(x) ((x) << S_OBQULP2PARERR)
20532#define F_OBQULP2PARERR V_OBQULP2PARERR(1U)
20533
20534#define S_OBQULP3PARERR 7
20535#define V_OBQULP3PARERR(x) ((x) << S_OBQULP3PARERR)
20536#define F_OBQULP3PARERR V_OBQULP3PARERR(1U)
20537
20538#define S_OBQSGEPARERR 6
20539#define V_OBQSGEPARERR(x) ((x) << S_OBQSGEPARERR)
20540#define F_OBQSGEPARERR V_OBQSGEPARERR(1U)
20541
20542#define S_OBQNCSIPARERR 5
20543#define V_OBQNCSIPARERR(x) ((x) << S_OBQNCSIPARERR)
20544#define F_OBQNCSIPARERR V_OBQNCSIPARERR(1U)
20545
20546#define S_TIMER1INTEN 3
20547#define V_TIMER1INTEN(x) ((x) << S_TIMER1INTEN)
20548#define F_TIMER1INTEN V_TIMER1INTEN(1U)
20549
20550#define S_TIMER0INTEN 2
20551#define V_TIMER0INTEN(x) ((x) << S_TIMER0INTEN)
20552#define F_TIMER0INTEN V_TIMER0INTEN(1U)
20553
20554#define S_PREFDROPINTEN 1
20555#define V_PREFDROPINTEN(x) ((x) << S_PREFDROPINTEN)
20556#define F_PREFDROPINTEN V_PREFDROPINTEN(1U)
20557
20558#define S_MA_CIM_INTFPERR 28
20559#define V_MA_CIM_INTFPERR(x) ((x) << S_MA_CIM_INTFPERR)
20560#define F_MA_CIM_INTFPERR V_MA_CIM_INTFPERR(1U)
20561
20562#define S_PLCIM_MSTRSPDATAPARERR 27
20563#define V_PLCIM_MSTRSPDATAPARERR(x) ((x) << S_PLCIM_MSTRSPDATAPARERR)
20564#define F_PLCIM_MSTRSPDATAPARERR V_PLCIM_MSTRSPDATAPARERR(1U)
20565
20566#define S_NCSI2CIMINTFPARERR 26
20567#define V_NCSI2CIMINTFPARERR(x) ((x) << S_NCSI2CIMINTFPARERR)
20568#define F_NCSI2CIMINTFPARERR V_NCSI2CIMINTFPARERR(1U)
20569
20570#define S_SGE2CIMINTFPARERR 25
20571#define V_SGE2CIMINTFPARERR(x) ((x) << S_SGE2CIMINTFPARERR)
20572#define F_SGE2CIMINTFPARERR V_SGE2CIMINTFPARERR(1U)
20573
20574#define S_ULP2CIMINTFPARERR 24
20575#define V_ULP2CIMINTFPARERR(x) ((x) << S_ULP2CIMINTFPARERR)
20576#define F_ULP2CIMINTFPARERR V_ULP2CIMINTFPARERR(1U)
20577
20578#define S_TP2CIMINTFPARERR 23
20579#define V_TP2CIMINTFPARERR(x) ((x) << S_TP2CIMINTFPARERR)
20580#define F_TP2CIMINTFPARERR V_TP2CIMINTFPARERR(1U)
20581
20582#define S_OBQSGERX1PARERR 22
20583#define V_OBQSGERX1PARERR(x) ((x) << S_OBQSGERX1PARERR)
20584#define F_OBQSGERX1PARERR V_OBQSGERX1PARERR(1U)
20585
20586#define S_OBQSGERX0PARERR 21
20587#define V_OBQSGERX0PARERR(x) ((x) << S_OBQSGERX0PARERR)
20588#define F_OBQSGERX0PARERR V_OBQSGERX0PARERR(1U)
20589
20590#define S_PCIE2CIMINTFPARERR 29
20591#define V_PCIE2CIMINTFPARERR(x) ((x) << S_PCIE2CIMINTFPARERR)
20592#define F_PCIE2CIMINTFPARERR V_PCIE2CIMINTFPARERR(1U)
20593
20594#define S_IBQPCIEPARERR 12
20595#define V_IBQPCIEPARERR(x) ((x) << S_IBQPCIEPARERR)
20596#define F_IBQPCIEPARERR V_IBQPCIEPARERR(1U)
20597
20598#define A_CIM_HOST_INT_CAUSE 0x7b2c
20599
20600#define S_TIEQOUTPARERRINT 20
20601#define V_TIEQOUTPARERRINT(x) ((x) << S_TIEQOUTPARERRINT)
20602#define F_TIEQOUTPARERRINT V_TIEQOUTPARERRINT(1U)
20603
20604#define S_TIEQINPARERRINT 19
20605#define V_TIEQINPARERRINT(x) ((x) << S_TIEQINPARERRINT)
20606#define F_TIEQINPARERRINT V_TIEQINPARERRINT(1U)
20607
20608#define S_TIMER1INT 3
20609#define V_TIMER1INT(x) ((x) << S_TIMER1INT)
20610#define F_TIMER1INT V_TIMER1INT(1U)
20611
20612#define S_TIMER0INT 2
20613#define V_TIMER0INT(x) ((x) << S_TIMER0INT)
20614#define F_TIMER0INT V_TIMER0INT(1U)
20615
20616#define S_PREFDROPINT 1
20617#define V_PREFDROPINT(x) ((x) << S_PREFDROPINT)
20618#define F_PREFDROPINT V_PREFDROPINT(1U)
20619
20620#define S_UPACCNONZERO 0
20621#define V_UPACCNONZERO(x) ((x) << S_UPACCNONZERO)
20622#define F_UPACCNONZERO V_UPACCNONZERO(1U)
20623
20624#define A_CIM_HOST_UPACC_INT_ENABLE 0x7b30
20625
20626#define S_EEPROMWRINTEN 30
20627#define V_EEPROMWRINTEN(x) ((x) << S_EEPROMWRINTEN)
20628#define F_EEPROMWRINTEN V_EEPROMWRINTEN(1U)
20629
20630#define S_TIMEOUTMAINTEN 29
20631#define V_TIMEOUTMAINTEN(x) ((x) << S_TIMEOUTMAINTEN)
20632#define F_TIMEOUTMAINTEN V_TIMEOUTMAINTEN(1U)
20633
20634#define S_TIMEOUTINTEN 28
20635#define V_TIMEOUTINTEN(x) ((x) << S_TIMEOUTINTEN)
20636#define F_TIMEOUTINTEN V_TIMEOUTINTEN(1U)
20637
20638#define S_RSPOVRLOOKUPINTEN 27
20639#define V_RSPOVRLOOKUPINTEN(x) ((x) << S_RSPOVRLOOKUPINTEN)
20640#define F_RSPOVRLOOKUPINTEN V_RSPOVRLOOKUPINTEN(1U)
20641
20642#define S_REQOVRLOOKUPINTEN 26
20643#define V_REQOVRLOOKUPINTEN(x) ((x) << S_REQOVRLOOKUPINTEN)
20644#define F_REQOVRLOOKUPINTEN V_REQOVRLOOKUPINTEN(1U)
20645
20646#define S_BLKWRPLINTEN 25
20647#define V_BLKWRPLINTEN(x) ((x) << S_BLKWRPLINTEN)
20648#define F_BLKWRPLINTEN V_BLKWRPLINTEN(1U)
20649
20650#define S_BLKRDPLINTEN 24
20651#define V_BLKRDPLINTEN(x) ((x) << S_BLKRDPLINTEN)
20652#define F_BLKRDPLINTEN V_BLKRDPLINTEN(1U)
20653
20654#define S_SGLWRPLINTEN 23
20655#define V_SGLWRPLINTEN(x) ((x) << S_SGLWRPLINTEN)
20656#define F_SGLWRPLINTEN V_SGLWRPLINTEN(1U)
20657
20658#define S_SGLRDPLINTEN 22
20659#define V_SGLRDPLINTEN(x) ((x) << S_SGLRDPLINTEN)
20660#define F_SGLRDPLINTEN V_SGLRDPLINTEN(1U)
20661
20662#define S_BLKWRCTLINTEN 21
20663#define V_BLKWRCTLINTEN(x) ((x) << S_BLKWRCTLINTEN)
20664#define F_BLKWRCTLINTEN V_BLKWRCTLINTEN(1U)
20665
20666#define S_BLKRDCTLINTEN 20
20667#define V_BLKRDCTLINTEN(x) ((x) << S_BLKRDCTLINTEN)
20668#define F_BLKRDCTLINTEN V_BLKRDCTLINTEN(1U)
20669
20670#define S_SGLWRCTLINTEN 19
20671#define V_SGLWRCTLINTEN(x) ((x) << S_SGLWRCTLINTEN)
20672#define F_SGLWRCTLINTEN V_SGLWRCTLINTEN(1U)
20673
20674#define S_SGLRDCTLINTEN 18
20675#define V_SGLRDCTLINTEN(x) ((x) << S_SGLRDCTLINTEN)
20676#define F_SGLRDCTLINTEN V_SGLRDCTLINTEN(1U)
20677
20678#define S_BLKWREEPROMINTEN 17
20679#define V_BLKWREEPROMINTEN(x) ((x) << S_BLKWREEPROMINTEN)
20680#define F_BLKWREEPROMINTEN V_BLKWREEPROMINTEN(1U)
20681
20682#define S_BLKRDEEPROMINTEN 16
20683#define V_BLKRDEEPROMINTEN(x) ((x) << S_BLKRDEEPROMINTEN)
20684#define F_BLKRDEEPROMINTEN V_BLKRDEEPROMINTEN(1U)
20685
20686#define S_SGLWREEPROMINTEN 15
20687#define V_SGLWREEPROMINTEN(x) ((x) << S_SGLWREEPROMINTEN)
20688#define F_SGLWREEPROMINTEN V_SGLWREEPROMINTEN(1U)
20689
20690#define S_SGLRDEEPROMINTEN 14
20691#define V_SGLRDEEPROMINTEN(x) ((x) << S_SGLRDEEPROMINTEN)
20692#define F_SGLRDEEPROMINTEN V_SGLRDEEPROMINTEN(1U)
20693
20694#define S_BLKWRFLASHINTEN 13
20695#define V_BLKWRFLASHINTEN(x) ((x) << S_BLKWRFLASHINTEN)
20696#define F_BLKWRFLASHINTEN V_BLKWRFLASHINTEN(1U)
20697
20698#define S_BLKRDFLASHINTEN 12
20699#define V_BLKRDFLASHINTEN(x) ((x) << S_BLKRDFLASHINTEN)
20700#define F_BLKRDFLASHINTEN V_BLKRDFLASHINTEN(1U)
20701
20702#define S_SGLWRFLASHINTEN 11
20703#define V_SGLWRFLASHINTEN(x) ((x) << S_SGLWRFLASHINTEN)
20704#define F_SGLWRFLASHINTEN V_SGLWRFLASHINTEN(1U)
20705
20706#define S_SGLRDFLASHINTEN 10
20707#define V_SGLRDFLASHINTEN(x) ((x) << S_SGLRDFLASHINTEN)
20708#define F_SGLRDFLASHINTEN V_SGLRDFLASHINTEN(1U)
20709
20710#define S_BLKWRBOOTINTEN 9
20711#define V_BLKWRBOOTINTEN(x) ((x) << S_BLKWRBOOTINTEN)
20712#define F_BLKWRBOOTINTEN V_BLKWRBOOTINTEN(1U)
20713
20714#define S_BLKRDBOOTINTEN 8
20715#define V_BLKRDBOOTINTEN(x) ((x) << S_BLKRDBOOTINTEN)
20716#define F_BLKRDBOOTINTEN V_BLKRDBOOTINTEN(1U)
20717
20718#define S_SGLWRBOOTINTEN 7
20719#define V_SGLWRBOOTINTEN(x) ((x) << S_SGLWRBOOTINTEN)
20720#define F_SGLWRBOOTINTEN V_SGLWRBOOTINTEN(1U)
20721
20722#define S_SGLRDBOOTINTEN 6
20723#define V_SGLRDBOOTINTEN(x) ((x) << S_SGLRDBOOTINTEN)
20724#define F_SGLRDBOOTINTEN V_SGLRDBOOTINTEN(1U)
20725
20726#define S_ILLWRBEINTEN 5
20727#define V_ILLWRBEINTEN(x) ((x) << S_ILLWRBEINTEN)
20728#define F_ILLWRBEINTEN V_ILLWRBEINTEN(1U)
20729
20730#define S_ILLRDBEINTEN 4
20731#define V_ILLRDBEINTEN(x) ((x) << S_ILLRDBEINTEN)
20732#define F_ILLRDBEINTEN V_ILLRDBEINTEN(1U)
20733
20734#define S_ILLRDINTEN 3
20735#define V_ILLRDINTEN(x) ((x) << S_ILLRDINTEN)
20736#define F_ILLRDINTEN V_ILLRDINTEN(1U)
20737
20738#define S_ILLWRINTEN 2
20739#define V_ILLWRINTEN(x) ((x) << S_ILLWRINTEN)
20740#define F_ILLWRINTEN V_ILLWRINTEN(1U)
20741
20742#define S_ILLTRANSINTEN 1
20743#define V_ILLTRANSINTEN(x) ((x) << S_ILLTRANSINTEN)
20744#define F_ILLTRANSINTEN V_ILLTRANSINTEN(1U)
20745
20746#define S_RSVDSPACEINTEN 0
20747#define V_RSVDSPACEINTEN(x) ((x) << S_RSVDSPACEINTEN)
20748#define F_RSVDSPACEINTEN V_RSVDSPACEINTEN(1U)
20749
20750#define A_CIM_HOST_UPACC_INT_CAUSE 0x7b34
20751
20752#define S_EEPROMWRINT 30
20753#define V_EEPROMWRINT(x) ((x) << S_EEPROMWRINT)
20754#define F_EEPROMWRINT V_EEPROMWRINT(1U)
20755
20756#define S_TIMEOUTMAINT 29
20757#define V_TIMEOUTMAINT(x) ((x) << S_TIMEOUTMAINT)
20758#define F_TIMEOUTMAINT V_TIMEOUTMAINT(1U)
20759
20760#define S_TIMEOUTINT 28
20761#define V_TIMEOUTINT(x) ((x) << S_TIMEOUTINT)
20762#define F_TIMEOUTINT V_TIMEOUTINT(1U)
20763
20764#define S_RSPOVRLOOKUPINT 27
20765#define V_RSPOVRLOOKUPINT(x) ((x) << S_RSPOVRLOOKUPINT)
20766#define F_RSPOVRLOOKUPINT V_RSPOVRLOOKUPINT(1U)
20767
20768#define S_REQOVRLOOKUPINT 26
20769#define V_REQOVRLOOKUPINT(x) ((x) << S_REQOVRLOOKUPINT)
20770#define F_REQOVRLOOKUPINT V_REQOVRLOOKUPINT(1U)
20771
20772#define S_BLKWRPLINT 25
20773#define V_BLKWRPLINT(x) ((x) << S_BLKWRPLINT)
20774#define F_BLKWRPLINT V_BLKWRPLINT(1U)
20775
20776#define S_BLKRDPLINT 24
20777#define V_BLKRDPLINT(x) ((x) << S_BLKRDPLINT)
20778#define F_BLKRDPLINT V_BLKRDPLINT(1U)
20779
20780#define S_SGLWRPLINT 23
20781#define V_SGLWRPLINT(x) ((x) << S_SGLWRPLINT)
20782#define F_SGLWRPLINT V_SGLWRPLINT(1U)
20783
20784#define S_SGLRDPLINT 22
20785#define V_SGLRDPLINT(x) ((x) << S_SGLRDPLINT)
20786#define F_SGLRDPLINT V_SGLRDPLINT(1U)
20787
20788#define S_BLKWRCTLINT 21
20789#define V_BLKWRCTLINT(x) ((x) << S_BLKWRCTLINT)
20790#define F_BLKWRCTLINT V_BLKWRCTLINT(1U)
20791
20792#define S_BLKRDCTLINT 20
20793#define V_BLKRDCTLINT(x) ((x) << S_BLKRDCTLINT)
20794#define F_BLKRDCTLINT V_BLKRDCTLINT(1U)
20795
20796#define S_SGLWRCTLINT 19
20797#define V_SGLWRCTLINT(x) ((x) << S_SGLWRCTLINT)
20798#define F_SGLWRCTLINT V_SGLWRCTLINT(1U)
20799
20800#define S_SGLRDCTLINT 18
20801#define V_SGLRDCTLINT(x) ((x) << S_SGLRDCTLINT)
20802#define F_SGLRDCTLINT V_SGLRDCTLINT(1U)
20803
20804#define S_BLKWREEPROMINT 17
20805#define V_BLKWREEPROMINT(x) ((x) << S_BLKWREEPROMINT)
20806#define F_BLKWREEPROMINT V_BLKWREEPROMINT(1U)
20807
20808#define S_BLKRDEEPROMINT 16
20809#define V_BLKRDEEPROMINT(x) ((x) << S_BLKRDEEPROMINT)
20810#define F_BLKRDEEPROMINT V_BLKRDEEPROMINT(1U)
20811
20812#define S_SGLWREEPROMINT 15
20813#define V_SGLWREEPROMINT(x) ((x) << S_SGLWREEPROMINT)
20814#define F_SGLWREEPROMINT V_SGLWREEPROMINT(1U)
20815
20816#define S_SGLRDEEPROMINT 14
20817#define V_SGLRDEEPROMINT(x) ((x) << S_SGLRDEEPROMINT)
20818#define F_SGLRDEEPROMINT V_SGLRDEEPROMINT(1U)
20819
20820#define S_BLKWRFLASHINT 13
20821#define V_BLKWRFLASHINT(x) ((x) << S_BLKWRFLASHINT)
20822#define F_BLKWRFLASHINT V_BLKWRFLASHINT(1U)
20823
20824#define S_BLKRDFLASHINT 12
20825#define V_BLKRDFLASHINT(x) ((x) << S_BLKRDFLASHINT)
20826#define F_BLKRDFLASHINT V_BLKRDFLASHINT(1U)
20827
20828#define S_SGLWRFLASHINT 11
20829#define V_SGLWRFLASHINT(x) ((x) << S_SGLWRFLASHINT)
20830#define F_SGLWRFLASHINT V_SGLWRFLASHINT(1U)
20831
20832#define S_SGLRDFLASHINT 10
20833#define V_SGLRDFLASHINT(x) ((x) << S_SGLRDFLASHINT)
20834#define F_SGLRDFLASHINT V_SGLRDFLASHINT(1U)
20835
20836#define S_BLKWRBOOTINT 9
20837#define V_BLKWRBOOTINT(x) ((x) << S_BLKWRBOOTINT)
20838#define F_BLKWRBOOTINT V_BLKWRBOOTINT(1U)
20839
20840#define S_BLKRDBOOTINT 8
20841#define V_BLKRDBOOTINT(x) ((x) << S_BLKRDBOOTINT)
20842#define F_BLKRDBOOTINT V_BLKRDBOOTINT(1U)
20843
20844#define S_SGLWRBOOTINT 7
20845#define V_SGLWRBOOTINT(x) ((x) << S_SGLWRBOOTINT)
20846#define F_SGLWRBOOTINT V_SGLWRBOOTINT(1U)
20847
20848#define S_SGLRDBOOTINT 6
20849#define V_SGLRDBOOTINT(x) ((x) << S_SGLRDBOOTINT)
20850#define F_SGLRDBOOTINT V_SGLRDBOOTINT(1U)
20851
20852#define S_ILLWRBEINT 5
20853#define V_ILLWRBEINT(x) ((x) << S_ILLWRBEINT)
20854#define F_ILLWRBEINT V_ILLWRBEINT(1U)
20855
20856#define S_ILLRDBEINT 4
20857#define V_ILLRDBEINT(x) ((x) << S_ILLRDBEINT)
20858#define F_ILLRDBEINT V_ILLRDBEINT(1U)
20859
20860#define S_ILLRDINT 3
20861#define V_ILLRDINT(x) ((x) << S_ILLRDINT)
20862#define F_ILLRDINT V_ILLRDINT(1U)
20863
20864#define S_ILLWRINT 2
20865#define V_ILLWRINT(x) ((x) << S_ILLWRINT)
20866#define F_ILLWRINT V_ILLWRINT(1U)
20867
20868#define S_ILLTRANSINT 1
20869#define V_ILLTRANSINT(x) ((x) << S_ILLTRANSINT)
20870#define F_ILLTRANSINT V_ILLTRANSINT(1U)
20871
20872#define S_RSVDSPACEINT 0
20873#define V_RSVDSPACEINT(x) ((x) << S_RSVDSPACEINT)
20874#define F_RSVDSPACEINT V_RSVDSPACEINT(1U)
20875
20876#define A_CIM_UP_INT_ENABLE 0x7b38
20877
20878#define S_MSTPLINTEN 4
20879#define V_MSTPLINTEN(x) ((x) << S_MSTPLINTEN)
20880#define F_MSTPLINTEN V_MSTPLINTEN(1U)
20881
20882#define A_CIM_UP_INT_CAUSE 0x7b3c
20883
20884#define S_MSTPLINT 4
20885#define V_MSTPLINT(x) ((x) << S_MSTPLINT)
20886#define F_MSTPLINT V_MSTPLINT(1U)
20887
20888#define A_CIM_UP_ACC_INT_ENABLE 0x7b40
20889#define A_CIM_UP_ACC_INT_CAUSE 0x7b44
20890#define A_CIM_QUEUE_CONFIG_REF 0x7b48
20891
20892#define S_OBQSELECT 4
20893#define V_OBQSELECT(x) ((x) << S_OBQSELECT)
20894#define F_OBQSELECT V_OBQSELECT(1U)
20895
20896#define S_IBQSELECT 3
20897#define V_IBQSELECT(x) ((x) << S_IBQSELECT)
20898#define F_IBQSELECT V_IBQSELECT(1U)
20899
20900#define S_QUENUMSELECT 0
20901#define M_QUENUMSELECT 0x7U
20902#define V_QUENUMSELECT(x) ((x) << S_QUENUMSELECT)
20903#define G_QUENUMSELECT(x) (((x) >> S_QUENUMSELECT) & M_QUENUMSELECT)
20904
20905#define A_CIM_QUEUE_CONFIG_CTRL 0x7b4c
20906
20907#define S_CIMQSIZE 24
20908#define M_CIMQSIZE 0x3fU
20909#define V_CIMQSIZE(x) ((x) << S_CIMQSIZE)
20910#define G_CIMQSIZE(x) (((x) >> S_CIMQSIZE) & M_CIMQSIZE)
20911
20912#define S_CIMQBASE 16
20913#define M_CIMQBASE 0x3fU
20914#define V_CIMQBASE(x) ((x) << S_CIMQBASE)
20915#define G_CIMQBASE(x) (((x) >> S_CIMQBASE) & M_CIMQBASE)
20916
20917#define S_CIMQDBG8BEN 9
20918#define V_CIMQDBG8BEN(x) ((x) << S_CIMQDBG8BEN)
20919#define F_CIMQDBG8BEN V_CIMQDBG8BEN(1U)
20920
20921#define S_QUEFULLTHRSH 0
20922#define M_QUEFULLTHRSH 0x1ffU
20923#define V_QUEFULLTHRSH(x) ((x) << S_QUEFULLTHRSH)
20924#define G_QUEFULLTHRSH(x) (((x) >> S_QUEFULLTHRSH) & M_QUEFULLTHRSH)
20925
20926#define S_CIMQ1KEN 30
20927#define V_CIMQ1KEN(x) ((x) << S_CIMQ1KEN)
20928#define F_CIMQ1KEN V_CIMQ1KEN(1U)
20929
20930#define A_CIM_HOST_ACC_CTRL 0x7b50
20931
20932#define S_HOSTBUSY 17
20933#define V_HOSTBUSY(x) ((x) << S_HOSTBUSY)
20934#define F_HOSTBUSY V_HOSTBUSY(1U)
20935
20936#define S_HOSTWRITE 16
20937#define V_HOSTWRITE(x) ((x) << S_HOSTWRITE)
20938#define F_HOSTWRITE V_HOSTWRITE(1U)
20939
20940#define S_HOSTADDR 0
20941#define M_HOSTADDR 0xffffU
20942#define V_HOSTADDR(x) ((x) << S_HOSTADDR)
20943#define G_HOSTADDR(x) (((x) >> S_HOSTADDR) & M_HOSTADDR)
20944
20945#define A_CIM_HOST_ACC_DATA 0x7b54
20946#define A_CIM_CDEBUGDATA 0x7b58
20947
20948#define S_CDEBUGDATAH 16
20949#define M_CDEBUGDATAH 0xffffU
20950#define V_CDEBUGDATAH(x) ((x) << S_CDEBUGDATAH)
20951#define G_CDEBUGDATAH(x) (((x) >> S_CDEBUGDATAH) & M_CDEBUGDATAH)
20952
20953#define S_CDEBUGDATAL 0
20954#define M_CDEBUGDATAL 0xffffU
20955#define V_CDEBUGDATAL(x) ((x) << S_CDEBUGDATAL)
20956#define G_CDEBUGDATAL(x) (((x) >> S_CDEBUGDATAL) & M_CDEBUGDATAL)
20957
20958#define A_CIM_IBQ_DBG_CFG 0x7b60
20959
20960#define S_IBQDBGADDR 16
20961#define M_IBQDBGADDR 0xfffU
20962#define V_IBQDBGADDR(x) ((x) << S_IBQDBGADDR)
20963#define G_IBQDBGADDR(x) (((x) >> S_IBQDBGADDR) & M_IBQDBGADDR)
20964
20965#define S_IBQDBGWR 2
20966#define V_IBQDBGWR(x) ((x) << S_IBQDBGWR)
20967#define F_IBQDBGWR V_IBQDBGWR(1U)
20968
20969#define S_IBQDBGBUSY 1
20970#define V_IBQDBGBUSY(x) ((x) << S_IBQDBGBUSY)
20971#define F_IBQDBGBUSY V_IBQDBGBUSY(1U)
20972
20973#define S_IBQDBGEN 0
20974#define V_IBQDBGEN(x) ((x) << S_IBQDBGEN)
20975#define F_IBQDBGEN V_IBQDBGEN(1U)
20976
20977#define A_CIM_OBQ_DBG_CFG 0x7b64
20978
20979#define S_OBQDBGADDR 16
20980#define M_OBQDBGADDR 0xfffU
20981#define V_OBQDBGADDR(x) ((x) << S_OBQDBGADDR)
20982#define G_OBQDBGADDR(x) (((x) >> S_OBQDBGADDR) & M_OBQDBGADDR)
20983
20984#define S_OBQDBGWR 2
20985#define V_OBQDBGWR(x) ((x) << S_OBQDBGWR)
20986#define F_OBQDBGWR V_OBQDBGWR(1U)
20987
20988#define S_OBQDBGBUSY 1
20989#define V_OBQDBGBUSY(x) ((x) << S_OBQDBGBUSY)
20990#define F_OBQDBGBUSY V_OBQDBGBUSY(1U)
20991
20992#define S_OBQDBGEN 0
20993#define V_OBQDBGEN(x) ((x) << S_OBQDBGEN)
20994#define F_OBQDBGEN V_OBQDBGEN(1U)
20995
20996#define A_CIM_IBQ_DBG_DATA 0x7b68
20997#define A_CIM_OBQ_DBG_DATA 0x7b6c
20998#define A_CIM_DEBUGCFG 0x7b70
20999
21000#define S_POLADBGRDPTR 23
21001#define M_POLADBGRDPTR 0x1ffU
21002#define V_POLADBGRDPTR(x) ((x) << S_POLADBGRDPTR)
21003#define G_POLADBGRDPTR(x) (((x) >> S_POLADBGRDPTR) & M_POLADBGRDPTR)
21004
21005#define S_PILADBGRDPTR 14
21006#define M_PILADBGRDPTR 0x1ffU
21007#define V_PILADBGRDPTR(x) ((x) << S_PILADBGRDPTR)
21008#define G_PILADBGRDPTR(x) (((x) >> S_PILADBGRDPTR) & M_PILADBGRDPTR)
21009
21010#define S_LAMASKTRIG 13
21011#define V_LAMASKTRIG(x) ((x) << S_LAMASKTRIG)
21012#define F_LAMASKTRIG V_LAMASKTRIG(1U)
21013
21014#define S_LADBGEN 12
21015#define V_LADBGEN(x) ((x) << S_LADBGEN)
21016#define F_LADBGEN V_LADBGEN(1U)
21017
21018#define S_LAFILLONCE 11
21019#define V_LAFILLONCE(x) ((x) << S_LAFILLONCE)
21020#define F_LAFILLONCE V_LAFILLONCE(1U)
21021
21022#define S_LAMASKSTOP 10
21023#define V_LAMASKSTOP(x) ((x) << S_LAMASKSTOP)
21024#define F_LAMASKSTOP V_LAMASKSTOP(1U)
21025
21026#define S_DEBUGSELH 5
21027#define M_DEBUGSELH 0x1fU
21028#define V_DEBUGSELH(x) ((x) << S_DEBUGSELH)
21029#define G_DEBUGSELH(x) (((x) >> S_DEBUGSELH) & M_DEBUGSELH)
21030
21031#define S_DEBUGSELL 0
21032#define M_DEBUGSELL 0x1fU
21033#define V_DEBUGSELL(x) ((x) << S_DEBUGSELL)
21034#define G_DEBUGSELL(x) (((x) >> S_DEBUGSELL) & M_DEBUGSELL)
21035
21036#define A_CIM_DEBUGSTS 0x7b74
21037
21038#define S_LARESET 31
21039#define V_LARESET(x) ((x) << S_LARESET)
21040#define F_LARESET V_LARESET(1U)
21041
21042#define S_POLADBGWRPTR 16
21043#define M_POLADBGWRPTR 0x1ffU
21044#define V_POLADBGWRPTR(x) ((x) << S_POLADBGWRPTR)
21045#define G_POLADBGWRPTR(x) (((x) >> S_POLADBGWRPTR) & M_POLADBGWRPTR)
21046
21047#define S_PILADBGWRPTR 0
21048#define M_PILADBGWRPTR 0x1ffU
21049#define V_PILADBGWRPTR(x) ((x) << S_PILADBGWRPTR)
21050#define G_PILADBGWRPTR(x) (((x) >> S_PILADBGWRPTR) & M_PILADBGWRPTR)
21051
21052#define A_CIM_PO_LA_DEBUGDATA 0x7b78
21053#define A_CIM_PI_LA_DEBUGDATA 0x7b7c
21054#define A_CIM_PO_LA_MADEBUGDATA 0x7b80
21055#define A_CIM_PI_LA_MADEBUGDATA 0x7b84
21056#define A_CIM_PO_LA_PIFSMDEBUGDATA 0x7b8c
21057#define A_CIM_MEM_ZONE0_VA 0x7b90
21058
21059#define S_MEM_ZONE_VA 4
21060#define M_MEM_ZONE_VA 0xfffffffU
21061#define V_MEM_ZONE_VA(x) ((x) << S_MEM_ZONE_VA)
21062#define G_MEM_ZONE_VA(x) (((x) >> S_MEM_ZONE_VA) & M_MEM_ZONE_VA)
21063
21064#define A_CIM_MEM_ZONE0_BA 0x7b94
21065
21066#define S_MEM_ZONE_BA 6
21067#define M_MEM_ZONE_BA 0x3ffffffU
21068#define V_MEM_ZONE_BA(x) ((x) << S_MEM_ZONE_BA)
21069#define G_MEM_ZONE_BA(x) (((x) >> S_MEM_ZONE_BA) & M_MEM_ZONE_BA)
21070
21071#define S_PBT_ENABLE 5
21072#define V_PBT_ENABLE(x) ((x) << S_PBT_ENABLE)
21073#define F_PBT_ENABLE V_PBT_ENABLE(1U)
21074
21075#define S_ZONE_DST 0
21076#define M_ZONE_DST 0x3U
21077#define V_ZONE_DST(x) ((x) << S_ZONE_DST)
21078#define G_ZONE_DST(x) (((x) >> S_ZONE_DST) & M_ZONE_DST)
21079
21080#define A_CIM_MEM_ZONE0_LEN 0x7b98
21081
21082#define S_MEM_ZONE_LEN 4
21083#define M_MEM_ZONE_LEN 0xfffffffU
21084#define V_MEM_ZONE_LEN(x) ((x) << S_MEM_ZONE_LEN)
21085#define G_MEM_ZONE_LEN(x) (((x) >> S_MEM_ZONE_LEN) & M_MEM_ZONE_LEN)
21086
21087#define A_CIM_MEM_ZONE1_VA 0x7b9c
21088#define A_CIM_MEM_ZONE1_BA 0x7ba0
21089#define A_CIM_MEM_ZONE1_LEN 0x7ba4
21090#define A_CIM_MEM_ZONE2_VA 0x7ba8
21091#define A_CIM_MEM_ZONE2_BA 0x7bac
21092#define A_CIM_MEM_ZONE2_LEN 0x7bb0
21093#define A_CIM_MEM_ZONE3_VA 0x7bb4
21094#define A_CIM_MEM_ZONE3_BA 0x7bb8
21095#define A_CIM_MEM_ZONE3_LEN 0x7bbc
21096#define A_CIM_MEM_ZONE4_VA 0x7bc0
21097#define A_CIM_MEM_ZONE4_BA 0x7bc4
21098#define A_CIM_MEM_ZONE4_LEN 0x7bc8
21099#define A_CIM_MEM_ZONE5_VA 0x7bcc
21100#define A_CIM_MEM_ZONE5_BA 0x7bd0
21101#define A_CIM_MEM_ZONE5_LEN 0x7bd4
21102#define A_CIM_MEM_ZONE6_VA 0x7bd8
21103#define A_CIM_MEM_ZONE6_BA 0x7bdc
21104#define A_CIM_MEM_ZONE6_LEN 0x7be0
21105#define A_CIM_MEM_ZONE7_VA 0x7be4
21106#define A_CIM_MEM_ZONE7_BA 0x7be8
21107#define A_CIM_MEM_ZONE7_LEN 0x7bec
21108#define A_CIM_BOOT_LEN 0x7bf0
21109
21110#define S_BOOTLEN 4
21111#define M_BOOTLEN 0xfffffffU
21112#define V_BOOTLEN(x) ((x) << S_BOOTLEN)
21113#define G_BOOTLEN(x) (((x) >> S_BOOTLEN) & M_BOOTLEN)
21114
21115#define A_CIM_GLB_TIMER_CTL 0x7bf4
21116
21117#define S_TIMER1EN 4
21118#define V_TIMER1EN(x) ((x) << S_TIMER1EN)
21119#define F_TIMER1EN V_TIMER1EN(1U)
21120
21121#define S_TIMER0EN 3
21122#define V_TIMER0EN(x) ((x) << S_TIMER0EN)
21123#define F_TIMER0EN V_TIMER0EN(1U)
21124
21125#define S_TIMEREN 1
21126#define V_TIMEREN(x) ((x) << S_TIMEREN)
21127#define F_TIMEREN V_TIMEREN(1U)
21128
21129#define A_CIM_GLB_TIMER 0x7bf8
21130#define A_CIM_GLB_TIMER_TICK 0x7bfc
21131
21132#define S_GLBLTTICK 0
21133#define M_GLBLTTICK 0xffffU
21134#define V_GLBLTTICK(x) ((x) << S_GLBLTTICK)
21135#define G_GLBLTTICK(x) (((x) >> S_GLBLTTICK) & M_GLBLTTICK)
21136
21137#define A_CIM_TIMER0 0x7c00
21138#define A_CIM_TIMER1 0x7c04
21139#define A_CIM_DEBUG_ADDR_TIMEOUT 0x7c08
21140
21141#define S_DADDRTIMEOUT 2
21142#define M_DADDRTIMEOUT 0x3fffffffU
21143#define V_DADDRTIMEOUT(x) ((x) << S_DADDRTIMEOUT)
21144#define G_DADDRTIMEOUT(x) (((x) >> S_DADDRTIMEOUT) & M_DADDRTIMEOUT)
21145
21146#define S_DADDRTIMEOUTTYPE 0
21147#define M_DADDRTIMEOUTTYPE 0x3U
21148#define V_DADDRTIMEOUTTYPE(x) ((x) << S_DADDRTIMEOUTTYPE)
21149#define G_DADDRTIMEOUTTYPE(x) (((x) >> S_DADDRTIMEOUTTYPE) & M_DADDRTIMEOUTTYPE)
21150
21151#define A_CIM_DEBUG_ADDR_ILLEGAL 0x7c0c
21152
21153#define S_DADDRILLEGAL 2
21154#define M_DADDRILLEGAL 0x3fffffffU
21155#define V_DADDRILLEGAL(x) ((x) << S_DADDRILLEGAL)
21156#define G_DADDRILLEGAL(x) (((x) >> S_DADDRILLEGAL) & M_DADDRILLEGAL)
21157
21158#define S_DADDRILLEGALTYPE 0
21159#define M_DADDRILLEGALTYPE 0x3U
21160#define V_DADDRILLEGALTYPE(x) ((x) << S_DADDRILLEGALTYPE)
21161#define G_DADDRILLEGALTYPE(x) (((x) >> S_DADDRILLEGALTYPE) & M_DADDRILLEGALTYPE)
21162
21163#define A_CIM_DEBUG_PIF_CAUSE_MASK 0x7c10
21164
21165#define S_DPIFHOSTMASK 0
21166#define M_DPIFHOSTMASK 0x1fffffU
21167#define V_DPIFHOSTMASK(x) ((x) << S_DPIFHOSTMASK)
21168#define G_DPIFHOSTMASK(x) (((x) >> S_DPIFHOSTMASK) & M_DPIFHOSTMASK)
21169
21170#define S_T5_DPIFHOSTMASK 0
21171#define M_T5_DPIFHOSTMASK 0x1fffffffU
21172#define V_T5_DPIFHOSTMASK(x) ((x) << S_T5_DPIFHOSTMASK)
21173#define G_T5_DPIFHOSTMASK(x) (((x) >> S_T5_DPIFHOSTMASK) & M_T5_DPIFHOSTMASK)
21174
21175#define S_T6_T5_DPIFHOSTMASK 0
21176#define M_T6_T5_DPIFHOSTMASK 0x3fffffffU
21177#define V_T6_T5_DPIFHOSTMASK(x) ((x) << S_T6_T5_DPIFHOSTMASK)
21178#define G_T6_T5_DPIFHOSTMASK(x) (((x) >> S_T6_T5_DPIFHOSTMASK) & M_T6_T5_DPIFHOSTMASK)
21179
21180#define A_CIM_DEBUG_PIF_UPACC_CAUSE_MASK 0x7c14
21181
21182#define S_DPIFHUPAMASK 0
21183#define M_DPIFHUPAMASK 0x7fffffffU
21184#define V_DPIFHUPAMASK(x) ((x) << S_DPIFHUPAMASK)
21185#define G_DPIFHUPAMASK(x) (((x) >> S_DPIFHUPAMASK) & M_DPIFHUPAMASK)
21186
21187#define A_CIM_DEBUG_UP_CAUSE_MASK 0x7c18
21188
21189#define S_DUPMASK 0
21190#define M_DUPMASK 0x1fffffU
21191#define V_DUPMASK(x) ((x) << S_DUPMASK)
21192#define G_DUPMASK(x) (((x) >> S_DUPMASK) & M_DUPMASK)
21193
21194#define S_T5_DUPMASK 0
21195#define M_T5_DUPMASK 0x1fffffffU
21196#define V_T5_DUPMASK(x) ((x) << S_T5_DUPMASK)
21197#define G_T5_DUPMASK(x) (((x) >> S_T5_DUPMASK) & M_T5_DUPMASK)
21198
21199#define S_T6_T5_DUPMASK 0
21200#define M_T6_T5_DUPMASK 0x3fffffffU
21201#define V_T6_T5_DUPMASK(x) ((x) << S_T6_T5_DUPMASK)
21202#define G_T6_T5_DUPMASK(x) (((x) >> S_T6_T5_DUPMASK) & M_T6_T5_DUPMASK)
21203
21204#define A_CIM_DEBUG_UP_UPACC_CAUSE_MASK 0x7c1c
21205
21206#define S_DUPUACCMASK 0
21207#define M_DUPUACCMASK 0x7fffffffU
21208#define V_DUPUACCMASK(x) ((x) << S_DUPUACCMASK)
21209#define G_DUPUACCMASK(x) (((x) >> S_DUPUACCMASK) & M_DUPUACCMASK)
21210
21211#define A_CIM_PERR_INJECT 0x7c20
21212#define A_CIM_PERR_ENABLE 0x7c24
21213
21214#define S_PERREN 0
21215#define M_PERREN 0x1fffffU
21216#define V_PERREN(x) ((x) << S_PERREN)
21217#define G_PERREN(x) (((x) >> S_PERREN) & M_PERREN)
21218
21219#define S_T5_PERREN 0
21220#define M_T5_PERREN 0x1fffffffU
21221#define V_T5_PERREN(x) ((x) << S_T5_PERREN)
21222#define G_T5_PERREN(x) (((x) >> S_T5_PERREN) & M_T5_PERREN)
21223
21224#define S_T6_T5_PERREN 0
21225#define M_T6_T5_PERREN 0x3fffffffU
21226#define V_T6_T5_PERREN(x) ((x) << S_T6_T5_PERREN)
21227#define G_T6_T5_PERREN(x) (((x) >> S_T6_T5_PERREN) & M_T6_T5_PERREN)
21228
21229#define A_CIM_EEPROM_BUSY_BIT 0x7c28
21230
21231#define S_EEPROMBUSY 0
21232#define V_EEPROMBUSY(x) ((x) << S_EEPROMBUSY)
21233#define F_EEPROMBUSY V_EEPROMBUSY(1U)
21234
21235#define A_CIM_MA_TIMER_EN 0x7c2c
21236
21237#define S_MA_TIMER_ENABLE 0
21238#define V_MA_TIMER_ENABLE(x) ((x) << S_MA_TIMER_ENABLE)
21239#define F_MA_TIMER_ENABLE V_MA_TIMER_ENABLE(1U)
21240
21241#define S_SLOW_TIMER_ENABLE 1
21242#define V_SLOW_TIMER_ENABLE(x) ((x) << S_SLOW_TIMER_ENABLE)
21243#define F_SLOW_TIMER_ENABLE V_SLOW_TIMER_ENABLE(1U)
21244
21245#define A_CIM_UP_PO_SINGLE_OUTSTANDING 0x7c30
21246
21247#define S_UP_PO_SINGLE_OUTSTANDING 0
21248#define V_UP_PO_SINGLE_OUTSTANDING(x) ((x) << S_UP_PO_SINGLE_OUTSTANDING)
21249#define F_UP_PO_SINGLE_OUTSTANDING V_UP_PO_SINGLE_OUTSTANDING(1U)
21250
21251#define A_CIM_CIM_DEBUG_SPARE 0x7c34
21252#define A_CIM_UP_OPERATION_FREQ 0x7c38
21253#define A_CIM_CIM_IBQ_ERR_CODE 0x7c3c
21254
21255#define S_CIM_ULP_TX_PKT_ERR_CODE 16
21256#define M_CIM_ULP_TX_PKT_ERR_CODE 0xffU
21257#define V_CIM_ULP_TX_PKT_ERR_CODE(x) ((x) << S_CIM_ULP_TX_PKT_ERR_CODE)
21258#define G_CIM_ULP_TX_PKT_ERR_CODE(x) (((x) >> S_CIM_ULP_TX_PKT_ERR_CODE) & M_CIM_ULP_TX_PKT_ERR_CODE)
21259
21260#define S_CIM_SGE1_PKT_ERR_CODE 8
21261#define M_CIM_SGE1_PKT_ERR_CODE 0xffU
21262#define V_CIM_SGE1_PKT_ERR_CODE(x) ((x) << S_CIM_SGE1_PKT_ERR_CODE)
21263#define G_CIM_SGE1_PKT_ERR_CODE(x) (((x) >> S_CIM_SGE1_PKT_ERR_CODE) & M_CIM_SGE1_PKT_ERR_CODE)
21264
21265#define S_CIM_SGE0_PKT_ERR_CODE 0
21266#define M_CIM_SGE0_PKT_ERR_CODE 0xffU
21267#define V_CIM_SGE0_PKT_ERR_CODE(x) ((x) << S_CIM_SGE0_PKT_ERR_CODE)
21268#define G_CIM_SGE0_PKT_ERR_CODE(x) (((x) >> S_CIM_SGE0_PKT_ERR_CODE) & M_CIM_SGE0_PKT_ERR_CODE)
21269
21270#define S_CIM_PCIE_PKT_ERR_CODE 8
21271#define M_CIM_PCIE_PKT_ERR_CODE 0xffU
21272#define V_CIM_PCIE_PKT_ERR_CODE(x) ((x) << S_CIM_PCIE_PKT_ERR_CODE)
21273#define G_CIM_PCIE_PKT_ERR_CODE(x) (((x) >> S_CIM_PCIE_PKT_ERR_CODE) & M_CIM_PCIE_PKT_ERR_CODE)
21274
21275#define A_CIM_IBQ_DBG_WAIT_COUNTER 0x7c40
21276#define A_CIM_PIO_UP_MST_CFG_SEL 0x7c44
21277
21278#define S_PIO_UP_MST_CFG_SEL 0
21279#define V_PIO_UP_MST_CFG_SEL(x) ((x) << S_PIO_UP_MST_CFG_SEL)
21280#define F_PIO_UP_MST_CFG_SEL V_PIO_UP_MST_CFG_SEL(1U)
21281
21282#define A_CIM_CGEN 0x7c48
21283
21284#define S_TSCH_CGEN 0
21285#define V_TSCH_CGEN(x) ((x) << S_TSCH_CGEN)
21286#define F_TSCH_CGEN V_TSCH_CGEN(1U)
21287
21288#define A_CIM_QUEUE_FEATURE_DISABLE 0x7c4c
21289
21290#define S_OBQ_THROUTTLE_ON_EOP 4
21291#define V_OBQ_THROUTTLE_ON_EOP(x) ((x) << S_OBQ_THROUTTLE_ON_EOP)
21292#define F_OBQ_THROUTTLE_ON_EOP V_OBQ_THROUTTLE_ON_EOP(1U)
21293
21294#define S_OBQ_READ_CTL_PERF_MODE_DISABLE 3
21295#define V_OBQ_READ_CTL_PERF_MODE_DISABLE(x) ((x) << S_OBQ_READ_CTL_PERF_MODE_DISABLE)
21296#define F_OBQ_READ_CTL_PERF_MODE_DISABLE V_OBQ_READ_CTL_PERF_MODE_DISABLE(1U)
21297
21298#define S_OBQ_WAIT_FOR_EOP_FLUSH_DISABLE 2
21299#define V_OBQ_WAIT_FOR_EOP_FLUSH_DISABLE(x) ((x) << S_OBQ_WAIT_FOR_EOP_FLUSH_DISABLE)
21300#define F_OBQ_WAIT_FOR_EOP_FLUSH_DISABLE V_OBQ_WAIT_FOR_EOP_FLUSH_DISABLE(1U)
21301
21302#define S_IBQ_RRA_DSBL 1
21303#define V_IBQ_RRA_DSBL(x) ((x) << S_IBQ_RRA_DSBL)
21304#define F_IBQ_RRA_DSBL V_IBQ_RRA_DSBL(1U)
21305
21306#define S_IBQ_SKID_FIFO_EOP_FLSH_DSBL 0
21307#define V_IBQ_SKID_FIFO_EOP_FLSH_DSBL(x) ((x) << S_IBQ_SKID_FIFO_EOP_FLSH_DSBL)
21308#define F_IBQ_SKID_FIFO_EOP_FLSH_DSBL V_IBQ_SKID_FIFO_EOP_FLSH_DSBL(1U)
21309
21310#define S_PCIE_OBQ_IF_DISABLE 5
21311#define V_PCIE_OBQ_IF_DISABLE(x) ((x) << S_PCIE_OBQ_IF_DISABLE)
21312#define F_PCIE_OBQ_IF_DISABLE V_PCIE_OBQ_IF_DISABLE(1U)
21313
21314#define A_CIM_CGEN_GLOBAL 0x7c50
21315
21316#define S_CGEN_GLOBAL 0
21317#define V_CGEN_GLOBAL(x) ((x) << S_CGEN_GLOBAL)
21318#define F_CGEN_GLOBAL V_CGEN_GLOBAL(1U)
21319
21320#define A_CIM_DPSLP_EN 0x7c54
21321
21322#define S_PIFDBGLA_DPSLP_EN 0
21323#define V_PIFDBGLA_DPSLP_EN(x) ((x) << S_PIFDBGLA_DPSLP_EN)
21324#define F_PIFDBGLA_DPSLP_EN V_PIFDBGLA_DPSLP_EN(1U)
21325
21326/* registers for module TP */
21327#define TP_BASE_ADDR 0x7d00
21328
21329#define A_TP_IN_CONFIG 0x7d00
21330
21331#define S_TCPOPTPARSERDISCH3 27
21332#define V_TCPOPTPARSERDISCH3(x) ((x) << S_TCPOPTPARSERDISCH3)
21333#define F_TCPOPTPARSERDISCH3 V_TCPOPTPARSERDISCH3(1U)
21334
21335#define S_TCPOPTPARSERDISCH2 26
21336#define V_TCPOPTPARSERDISCH2(x) ((x) << S_TCPOPTPARSERDISCH2)
21337#define F_TCPOPTPARSERDISCH2 V_TCPOPTPARSERDISCH2(1U)
21338
21339#define S_TCPOPTPARSERDISCH1 25
21340#define V_TCPOPTPARSERDISCH1(x) ((x) << S_TCPOPTPARSERDISCH1)
21341#define F_TCPOPTPARSERDISCH1 V_TCPOPTPARSERDISCH1(1U)
21342
21343#define S_TCPOPTPARSERDISCH0 24
21344#define V_TCPOPTPARSERDISCH0(x) ((x) << S_TCPOPTPARSERDISCH0)
21345#define F_TCPOPTPARSERDISCH0 V_TCPOPTPARSERDISCH0(1U)
21346
21347#define S_CRCPASSPRT3 23
21348#define V_CRCPASSPRT3(x) ((x) << S_CRCPASSPRT3)
21349#define F_CRCPASSPRT3 V_CRCPASSPRT3(1U)
21350
21351#define S_CRCPASSPRT2 22
21352#define V_CRCPASSPRT2(x) ((x) << S_CRCPASSPRT2)
21353#define F_CRCPASSPRT2 V_CRCPASSPRT2(1U)
21354
21355#define S_CRCPASSPRT1 21
21356#define V_CRCPASSPRT1(x) ((x) << S_CRCPASSPRT1)
21357#define F_CRCPASSPRT1 V_CRCPASSPRT1(1U)
21358
21359#define S_CRCPASSPRT0 20
21360#define V_CRCPASSPRT0(x) ((x) << S_CRCPASSPRT0)
21361#define F_CRCPASSPRT0 V_CRCPASSPRT0(1U)
21362
21363#define S_VEPAMODE 19
21364#define V_VEPAMODE(x) ((x) << S_VEPAMODE)
21365#define F_VEPAMODE V_VEPAMODE(1U)
21366
21367#define S_FIPUPEN 18
21368#define V_FIPUPEN(x) ((x) << S_FIPUPEN)
21369#define F_FIPUPEN V_FIPUPEN(1U)
21370
21371#define S_FCOEUPEN 17
21372#define V_FCOEUPEN(x) ((x) << S_FCOEUPEN)
21373#define F_FCOEUPEN V_FCOEUPEN(1U)
21374
21375#define S_FCOEENABLE 16
21376#define V_FCOEENABLE(x) ((x) << S_FCOEENABLE)
21377#define F_FCOEENABLE V_FCOEENABLE(1U)
21378
21379#define S_IPV6ENABLE 15
21380#define V_IPV6ENABLE(x) ((x) << S_IPV6ENABLE)
21381#define F_IPV6ENABLE V_IPV6ENABLE(1U)
21382
21383#define S_NICMODE 14
21384#define V_NICMODE(x) ((x) << S_NICMODE)
21385#define F_NICMODE V_NICMODE(1U)
21386
21387#define S_ECHECKSUMCHECKTCP 13
21388#define V_ECHECKSUMCHECKTCP(x) ((x) << S_ECHECKSUMCHECKTCP)
21389#define F_ECHECKSUMCHECKTCP V_ECHECKSUMCHECKTCP(1U)
21390
21391#define S_ECHECKSUMCHECKIP 12
21392#define V_ECHECKSUMCHECKIP(x) ((x) << S_ECHECKSUMCHECKIP)
21393#define F_ECHECKSUMCHECKIP V_ECHECKSUMCHECKIP(1U)
21394
21395#define S_EREPORTUDPHDRLEN 11
21396#define V_EREPORTUDPHDRLEN(x) ((x) << S_EREPORTUDPHDRLEN)
21397#define F_EREPORTUDPHDRLEN V_EREPORTUDPHDRLEN(1U)
21398
21399#define S_IN_ECPL 10
21400#define V_IN_ECPL(x) ((x) << S_IN_ECPL)
21401#define F_IN_ECPL V_IN_ECPL(1U)
21402
21403#define S_VNTAGENABLE 9
21404#define V_VNTAGENABLE(x) ((x) << S_VNTAGENABLE)
21405#define F_VNTAGENABLE V_VNTAGENABLE(1U)
21406
21407#define S_IN_EETH 8
21408#define V_IN_EETH(x) ((x) << S_IN_EETH)
21409#define F_IN_EETH V_IN_EETH(1U)
21410
21411#define S_CCHECKSUMCHECKTCP 6
21412#define V_CCHECKSUMCHECKTCP(x) ((x) << S_CCHECKSUMCHECKTCP)
21413#define F_CCHECKSUMCHECKTCP V_CCHECKSUMCHECKTCP(1U)
21414
21415#define S_CCHECKSUMCHECKIP 5
21416#define V_CCHECKSUMCHECKIP(x) ((x) << S_CCHECKSUMCHECKIP)
21417#define F_CCHECKSUMCHECKIP V_CCHECKSUMCHECKIP(1U)
21418
21419#define S_CTAG 4
21420#define V_CTAG(x) ((x) << S_CTAG)
21421#define F_CTAG V_CTAG(1U)
21422
21423#define S_IN_CCPL 3
21424#define V_IN_CCPL(x) ((x) << S_IN_CCPL)
21425#define F_IN_CCPL V_IN_CCPL(1U)
21426
21427#define S_IN_CETH 1
21428#define V_IN_CETH(x) ((x) << S_IN_CETH)
21429#define F_IN_CETH V_IN_CETH(1U)
21430
21431#define S_CTUNNEL 0
21432#define V_CTUNNEL(x) ((x) << S_CTUNNEL)
21433#define F_CTUNNEL V_CTUNNEL(1U)
21434
21435#define S_VLANEXTENPORT3 31
21436#define V_VLANEXTENPORT3(x) ((x) << S_VLANEXTENPORT3)
21437#define F_VLANEXTENPORT3 V_VLANEXTENPORT3(1U)
21438
21439#define S_VLANEXTENPORT2 30
21440#define V_VLANEXTENPORT2(x) ((x) << S_VLANEXTENPORT2)
21441#define F_VLANEXTENPORT2 V_VLANEXTENPORT2(1U)
21442
21443#define S_VLANEXTENPORT1 29
21444#define V_VLANEXTENPORT1(x) ((x) << S_VLANEXTENPORT1)
21445#define F_VLANEXTENPORT1 V_VLANEXTENPORT1(1U)
21446
21447#define S_VLANEXTENPORT0 28
21448#define V_VLANEXTENPORT0(x) ((x) << S_VLANEXTENPORT0)
21449#define F_VLANEXTENPORT0 V_VLANEXTENPORT0(1U)
21450
21451#define S_VNTAGDEFAULTVAL 13
21452#define V_VNTAGDEFAULTVAL(x) ((x) << S_VNTAGDEFAULTVAL)
21453#define F_VNTAGDEFAULTVAL V_VNTAGDEFAULTVAL(1U)
21454
21455#define S_ECHECKUDPLEN 12
21456#define V_ECHECKUDPLEN(x) ((x) << S_ECHECKUDPLEN)
21457#define F_ECHECKUDPLEN V_ECHECKUDPLEN(1U)
21458
21459#define S_FCOEFPMA 10
21460#define V_FCOEFPMA(x) ((x) << S_FCOEFPMA)
21461#define F_FCOEFPMA V_FCOEFPMA(1U)
21462
21463#define S_VNTAGETHENABLE 8
21464#define V_VNTAGETHENABLE(x) ((x) << S_VNTAGETHENABLE)
21465#define F_VNTAGETHENABLE V_VNTAGETHENABLE(1U)
21466
21467#define S_IP_CCSM 7
21468#define V_IP_CCSM(x) ((x) << S_IP_CCSM)
21469#define F_IP_CCSM V_IP_CCSM(1U)
21470
21471#define S_CCHECKSUMCHECKUDP 6
21472#define V_CCHECKSUMCHECKUDP(x) ((x) << S_CCHECKSUMCHECKUDP)
21473#define F_CCHECKSUMCHECKUDP V_CCHECKSUMCHECKUDP(1U)
21474
21475#define S_TCP_CCSM 5
21476#define V_TCP_CCSM(x) ((x) << S_TCP_CCSM)
21477#define F_TCP_CCSM V_TCP_CCSM(1U)
21478
21479#define S_CDEMUX 3
21480#define V_CDEMUX(x) ((x) << S_CDEMUX)
21481#define F_CDEMUX V_CDEMUX(1U)
21482
21483#define S_ETHUPEN 2
21484#define V_ETHUPEN(x) ((x) << S_ETHUPEN)
21485#define F_ETHUPEN V_ETHUPEN(1U)
21486
21487#define S_CXOFFOVERRIDE 3
21488#define V_CXOFFOVERRIDE(x) ((x) << S_CXOFFOVERRIDE)
21489#define F_CXOFFOVERRIDE V_CXOFFOVERRIDE(1U)
21490
21491#define S_EGREDROPEN 1
21492#define V_EGREDROPEN(x) ((x) << S_EGREDROPEN)
21493#define F_EGREDROPEN V_EGREDROPEN(1U)
21494
21495#define S_CFASTDEMUXEN 0
21496#define V_CFASTDEMUXEN(x) ((x) << S_CFASTDEMUXEN)
21497#define F_CFASTDEMUXEN V_CFASTDEMUXEN(1U)
21498
21499#define A_TP_OUT_CONFIG 0x7d04
21500
21501#define S_PORTQFCEN 28
21502#define M_PORTQFCEN 0xfU
21503#define V_PORTQFCEN(x) ((x) << S_PORTQFCEN)
21504#define G_PORTQFCEN(x) (((x) >> S_PORTQFCEN) & M_PORTQFCEN)
21505
21506#define S_EPKTDISTCHN3 23
21507#define V_EPKTDISTCHN3(x) ((x) << S_EPKTDISTCHN3)
21508#define F_EPKTDISTCHN3 V_EPKTDISTCHN3(1U)
21509
21510#define S_EPKTDISTCHN2 22
21511#define V_EPKTDISTCHN2(x) ((x) << S_EPKTDISTCHN2)
21512#define F_EPKTDISTCHN2 V_EPKTDISTCHN2(1U)
21513
21514#define S_EPKTDISTCHN1 21
21515#define V_EPKTDISTCHN1(x) ((x) << S_EPKTDISTCHN1)
21516#define F_EPKTDISTCHN1 V_EPKTDISTCHN1(1U)
21517
21518#define S_EPKTDISTCHN0 20
21519#define V_EPKTDISTCHN0(x) ((x) << S_EPKTDISTCHN0)
21520#define F_EPKTDISTCHN0 V_EPKTDISTCHN0(1U)
21521
21522#define S_TTLMODE 19
21523#define V_TTLMODE(x) ((x) << S_TTLMODE)
21524#define F_TTLMODE V_TTLMODE(1U)
21525
21526#define S_EQFCDMAC 18
21527#define V_EQFCDMAC(x) ((x) << S_EQFCDMAC)
21528#define F_EQFCDMAC V_EQFCDMAC(1U)
21529
21530#define S_ELPBKINCMPSSTAT 17
21531#define V_ELPBKINCMPSSTAT(x) ((x) << S_ELPBKINCMPSSTAT)
21532#define F_ELPBKINCMPSSTAT V_ELPBKINCMPSSTAT(1U)
21533
21534#define S_IPIDSPLITMODE 16
21535#define V_IPIDSPLITMODE(x) ((x) << S_IPIDSPLITMODE)
21536#define F_IPIDSPLITMODE V_IPIDSPLITMODE(1U)
21537
21538#define S_VLANEXTENABLEPORT3 15
21539#define V_VLANEXTENABLEPORT3(x) ((x) << S_VLANEXTENABLEPORT3)
21540#define F_VLANEXTENABLEPORT3 V_VLANEXTENABLEPORT3(1U)
21541
21542#define S_VLANEXTENABLEPORT2 14
21543#define V_VLANEXTENABLEPORT2(x) ((x) << S_VLANEXTENABLEPORT2)
21544#define F_VLANEXTENABLEPORT2 V_VLANEXTENABLEPORT2(1U)
21545
21546#define S_VLANEXTENABLEPORT1 13
21547#define V_VLANEXTENABLEPORT1(x) ((x) << S_VLANEXTENABLEPORT1)
21548#define F_VLANEXTENABLEPORT1 V_VLANEXTENABLEPORT1(1U)
21549
21550#define S_VLANEXTENABLEPORT0 12
21551#define V_VLANEXTENABLEPORT0(x) ((x) << S_VLANEXTENABLEPORT0)
21552#define F_VLANEXTENABLEPORT0 V_VLANEXTENABLEPORT0(1U)
21553
21554#define S_ECHECKSUMINSERTTCP 11
21555#define V_ECHECKSUMINSERTTCP(x) ((x) << S_ECHECKSUMINSERTTCP)
21556#define F_ECHECKSUMINSERTTCP V_ECHECKSUMINSERTTCP(1U)
21557
21558#define S_ECHECKSUMINSERTIP 10
21559#define V_ECHECKSUMINSERTIP(x) ((x) << S_ECHECKSUMINSERTIP)
21560#define F_ECHECKSUMINSERTIP V_ECHECKSUMINSERTIP(1U)
21561
21562#define S_ECPL 8
21563#define V_ECPL(x) ((x) << S_ECPL)
21564#define F_ECPL V_ECPL(1U)
21565
21566#define S_EPRIORITY 7
21567#define V_EPRIORITY(x) ((x) << S_EPRIORITY)
21568#define F_EPRIORITY V_EPRIORITY(1U)
21569
21570#define S_EETHERNET 6
21571#define V_EETHERNET(x) ((x) << S_EETHERNET)
21572#define F_EETHERNET V_EETHERNET(1U)
21573
21574#define S_CCHECKSUMINSERTTCP 5
21575#define V_CCHECKSUMINSERTTCP(x) ((x) << S_CCHECKSUMINSERTTCP)
21576#define F_CCHECKSUMINSERTTCP V_CCHECKSUMINSERTTCP(1U)
21577
21578#define S_CCHECKSUMINSERTIP 4
21579#define V_CCHECKSUMINSERTIP(x) ((x) << S_CCHECKSUMINSERTIP)
21580#define F_CCHECKSUMINSERTIP V_CCHECKSUMINSERTIP(1U)
21581
21582#define S_CCPL 2
21583#define V_CCPL(x) ((x) << S_CCPL)
21584#define F_CCPL V_CCPL(1U)
21585
21586#define S_CETHERNET 0
21587#define V_CETHERNET(x) ((x) << S_CETHERNET)
21588#define F_CETHERNET V_CETHERNET(1U)
21589
21590#define S_EVNTAGEN 9
21591#define V_EVNTAGEN(x) ((x) << S_EVNTAGEN)
21592#define F_EVNTAGEN V_EVNTAGEN(1U)
21593
21594#define S_CCPLACKMODE 13
21595#define V_CCPLACKMODE(x) ((x) << S_CCPLACKMODE)
21596#define F_CCPLACKMODE V_CCPLACKMODE(1U)
21597
21598#define S_RMWHINTENABLE 12
21599#define V_RMWHINTENABLE(x) ((x) << S_RMWHINTENABLE)
21600#define F_RMWHINTENABLE V_RMWHINTENABLE(1U)
21601
21602#define S_EV6FLWEN 8
21603#define V_EV6FLWEN(x) ((x) << S_EV6FLWEN)
21604#define F_EV6FLWEN V_EV6FLWEN(1U)
21605
21606#define S_EVLANPRIO 6
21607#define V_EVLANPRIO(x) ((x) << S_EVLANPRIO)
21608#define F_EVLANPRIO V_EVLANPRIO(1U)
21609
21610#define S_CRXPKTENC 3
21611#define V_CRXPKTENC(x) ((x) << S_CRXPKTENC)
21612#define F_CRXPKTENC V_CRXPKTENC(1U)
21613
21614#define S_CRXPKTXT 1
21615#define V_CRXPKTXT(x) ((x) << S_CRXPKTXT)
21616#define F_CRXPKTXT V_CRXPKTXT(1U)
21617
21618#define A_TP_GLOBAL_CONFIG 0x7d08
21619
21620#define S_SYNCOOKIEPARAMS 26
21621#define M_SYNCOOKIEPARAMS 0x3fU
21622#define V_SYNCOOKIEPARAMS(x) ((x) << S_SYNCOOKIEPARAMS)
21623#define G_SYNCOOKIEPARAMS(x) (((x) >> S_SYNCOOKIEPARAMS) & M_SYNCOOKIEPARAMS)
21624
21625#define S_RXFLOWCONTROLDISABLE 25
21626#define V_RXFLOWCONTROLDISABLE(x) ((x) << S_RXFLOWCONTROLDISABLE)
21627#define F_RXFLOWCONTROLDISABLE V_RXFLOWCONTROLDISABLE(1U)
21628
21629#define S_TXPACINGENABLE 24
21630#define V_TXPACINGENABLE(x) ((x) << S_TXPACINGENABLE)
21631#define F_TXPACINGENABLE V_TXPACINGENABLE(1U)
21632
21633#define S_ATTACKFILTERENABLE 23
21634#define V_ATTACKFILTERENABLE(x) ((x) << S_ATTACKFILTERENABLE)
21635#define F_ATTACKFILTERENABLE V_ATTACKFILTERENABLE(1U)
21636
21637#define S_SYNCOOKIENOOPTIONS 22
21638#define V_SYNCOOKIENOOPTIONS(x) ((x) << S_SYNCOOKIENOOPTIONS)
21639#define F_SYNCOOKIENOOPTIONS V_SYNCOOKIENOOPTIONS(1U)
21640
21641#define S_PROTECTEDMODE 21
21642#define V_PROTECTEDMODE(x) ((x) << S_PROTECTEDMODE)
21643#define F_PROTECTEDMODE V_PROTECTEDMODE(1U)
21644
21645#define S_PINGDROP 20
21646#define V_PINGDROP(x) ((x) << S_PINGDROP)
21647#define F_PINGDROP V_PINGDROP(1U)
21648
21649#define S_FRAGMENTDROP 19
21650#define V_FRAGMENTDROP(x) ((x) << S_FRAGMENTDROP)
21651#define F_FRAGMENTDROP V_FRAGMENTDROP(1U)
21652
21653#define S_FIVETUPLELOOKUP 17
21654#define M_FIVETUPLELOOKUP 0x3U
21655#define V_FIVETUPLELOOKUP(x) ((x) << S_FIVETUPLELOOKUP)
21656#define G_FIVETUPLELOOKUP(x) (((x) >> S_FIVETUPLELOOKUP) & M_FIVETUPLELOOKUP)
21657
21658#define S_OFDMPSSTATS 16
21659#define V_OFDMPSSTATS(x) ((x) << S_OFDMPSSTATS)
21660#define F_OFDMPSSTATS V_OFDMPSSTATS(1U)
21661
21662#define S_DONTFRAGMENT 15
21663#define V_DONTFRAGMENT(x) ((x) << S_DONTFRAGMENT)
21664#define F_DONTFRAGMENT V_DONTFRAGMENT(1U)
21665
21666#define S_IPIDENTSPLIT 14
21667#define V_IPIDENTSPLIT(x) ((x) << S_IPIDENTSPLIT)
21668#define F_IPIDENTSPLIT V_IPIDENTSPLIT(1U)
21669
21670#define S_IPCHECKSUMOFFLOAD 13
21671#define V_IPCHECKSUMOFFLOAD(x) ((x) << S_IPCHECKSUMOFFLOAD)
21672#define F_IPCHECKSUMOFFLOAD V_IPCHECKSUMOFFLOAD(1U)
21673
21674#define S_UDPCHECKSUMOFFLOAD 12
21675#define V_UDPCHECKSUMOFFLOAD(x) ((x) << S_UDPCHECKSUMOFFLOAD)
21676#define F_UDPCHECKSUMOFFLOAD V_UDPCHECKSUMOFFLOAD(1U)
21677
21678#define S_TCPCHECKSUMOFFLOAD 11
21679#define V_TCPCHECKSUMOFFLOAD(x) ((x) << S_TCPCHECKSUMOFFLOAD)
21680#define F_TCPCHECKSUMOFFLOAD V_TCPCHECKSUMOFFLOAD(1U)
21681
21682#define S_RSSLOOPBACKENABLE 10
21683#define V_RSSLOOPBACKENABLE(x) ((x) << S_RSSLOOPBACKENABLE)
21684#define F_RSSLOOPBACKENABLE V_RSSLOOPBACKENABLE(1U)
21685
21686#define S_TCAMSERVERUSE 8
21687#define M_TCAMSERVERUSE 0x3U
21688#define V_TCAMSERVERUSE(x) ((x) << S_TCAMSERVERUSE)
21689#define G_TCAMSERVERUSE(x) (((x) >> S_TCAMSERVERUSE) & M_TCAMSERVERUSE)
21690
21691#define S_IPTTL 0
21692#define M_IPTTL 0xffU
21693#define V_IPTTL(x) ((x) << S_IPTTL)
21694#define G_IPTTL(x) (((x) >> S_IPTTL) & M_IPTTL)
21695
21696#define S_RSSSYNSTEERENABLE 12
21697#define V_RSSSYNSTEERENABLE(x) ((x) << S_RSSSYNSTEERENABLE)
21698#define F_RSSSYNSTEERENABLE V_RSSSYNSTEERENABLE(1U)
21699
21700#define S_ISSFROMCPLENABLE 11
21701#define V_ISSFROMCPLENABLE(x) ((x) << S_ISSFROMCPLENABLE)
21702#define F_ISSFROMCPLENABLE V_ISSFROMCPLENABLE(1U)
21703
21704#define S_ACTIVEFILTERCOUNTS 22
21705#define V_ACTIVEFILTERCOUNTS(x) ((x) << S_ACTIVEFILTERCOUNTS)
21706#define F_ACTIVEFILTERCOUNTS V_ACTIVEFILTERCOUNTS(1U)
21707
21708#define A_TP_DB_CONFIG 0x7d0c
21709
21710#define S_DBMAXOPCNT 24
21711#define M_DBMAXOPCNT 0xffU
21712#define V_DBMAXOPCNT(x) ((x) << S_DBMAXOPCNT)
21713#define G_DBMAXOPCNT(x) (((x) >> S_DBMAXOPCNT) & M_DBMAXOPCNT)
21714
21715#define S_CXMAXOPCNTDISABLE 23
21716#define V_CXMAXOPCNTDISABLE(x) ((x) << S_CXMAXOPCNTDISABLE)
21717#define F_CXMAXOPCNTDISABLE V_CXMAXOPCNTDISABLE(1U)
21718
21719#define S_CXMAXOPCNT 16
21720#define M_CXMAXOPCNT 0x7fU
21721#define V_CXMAXOPCNT(x) ((x) << S_CXMAXOPCNT)
21722#define G_CXMAXOPCNT(x) (((x) >> S_CXMAXOPCNT) & M_CXMAXOPCNT)
21723
21724#define S_TXMAXOPCNTDISABLE 15
21725#define V_TXMAXOPCNTDISABLE(x) ((x) << S_TXMAXOPCNTDISABLE)
21726#define F_TXMAXOPCNTDISABLE V_TXMAXOPCNTDISABLE(1U)
21727
21728#define S_TXMAXOPCNT 8
21729#define M_TXMAXOPCNT 0x7fU
21730#define V_TXMAXOPCNT(x) ((x) << S_TXMAXOPCNT)
21731#define G_TXMAXOPCNT(x) (((x) >> S_TXMAXOPCNT) & M_TXMAXOPCNT)
21732
21733#define S_RXMAXOPCNTDISABLE 7
21734#define V_RXMAXOPCNTDISABLE(x) ((x) << S_RXMAXOPCNTDISABLE)
21735#define F_RXMAXOPCNTDISABLE V_RXMAXOPCNTDISABLE(1U)
21736
21737#define S_RXMAXOPCNT 0
21738#define M_RXMAXOPCNT 0x7fU
21739#define V_RXMAXOPCNT(x) ((x) << S_RXMAXOPCNT)
21740#define G_RXMAXOPCNT(x) (((x) >> S_RXMAXOPCNT) & M_RXMAXOPCNT)
21741
21742#define A_TP_CMM_TCB_BASE 0x7d10
21743#define A_TP_CMM_MM_BASE 0x7d14
21744#define A_TP_CMM_TIMER_BASE 0x7d18
21745#define A_TP_CMM_MM_FLST_SIZE 0x7d1c
21746
21747#define S_RXPOOLSIZE 16
21748#define M_RXPOOLSIZE 0xffffU
21749#define V_RXPOOLSIZE(x) ((x) << S_RXPOOLSIZE)
21750#define G_RXPOOLSIZE(x) (((x) >> S_RXPOOLSIZE) & M_RXPOOLSIZE)
21751
21752#define S_TXPOOLSIZE 0
21753#define M_TXPOOLSIZE 0xffffU
21754#define V_TXPOOLSIZE(x) ((x) << S_TXPOOLSIZE)
21755#define G_TXPOOLSIZE(x) (((x) >> S_TXPOOLSIZE) & M_TXPOOLSIZE)
21756
21757#define A_TP_PMM_TX_BASE 0x7d20
21758#define A_TP_PMM_DEFRAG_BASE 0x7d24
21759#define A_TP_PMM_RX_BASE 0x7d28
21760#define A_TP_PMM_RX_PAGE_SIZE 0x7d2c
21761#define A_TP_PMM_RX_MAX_PAGE 0x7d30
21762
21763#define S_PMRXNUMCHN 31
21764#define V_PMRXNUMCHN(x) ((x) << S_PMRXNUMCHN)
21765#define F_PMRXNUMCHN V_PMRXNUMCHN(1U)
21766
21767#define S_PMRXMAXPAGE 0
21768#define M_PMRXMAXPAGE 0x1fffffU
21769#define V_PMRXMAXPAGE(x) ((x) << S_PMRXMAXPAGE)
21770#define G_PMRXMAXPAGE(x) (((x) >> S_PMRXMAXPAGE) & M_PMRXMAXPAGE)
21771
21772#define A_TP_PMM_TX_PAGE_SIZE 0x7d34
21773#define A_TP_PMM_TX_MAX_PAGE 0x7d38
21774
21775#define S_PMTXNUMCHN 30
21776#define M_PMTXNUMCHN 0x3U
21777#define V_PMTXNUMCHN(x) ((x) << S_PMTXNUMCHN)
21778#define G_PMTXNUMCHN(x) (((x) >> S_PMTXNUMCHN) & M_PMTXNUMCHN)
21779
21780#define S_PMTXMAXPAGE 0
21781#define M_PMTXMAXPAGE 0x1fffffU
21782#define V_PMTXMAXPAGE(x) ((x) << S_PMTXMAXPAGE)
21783#define G_PMTXMAXPAGE(x) (((x) >> S_PMTXMAXPAGE) & M_PMTXMAXPAGE)
21784
21785#define A_TP_TCP_OPTIONS 0x7d40
21786
21787#define S_MTUDEFAULT 16
21788#define M_MTUDEFAULT 0xffffU
21789#define V_MTUDEFAULT(x) ((x) << S_MTUDEFAULT)
21790#define G_MTUDEFAULT(x) (((x) >> S_MTUDEFAULT) & M_MTUDEFAULT)
21791
21792#define S_MTUENABLE 10
21793#define V_MTUENABLE(x) ((x) << S_MTUENABLE)
21794#define F_MTUENABLE V_MTUENABLE(1U)
21795
21796#define S_SACKTX 9
21797#define V_SACKTX(x) ((x) << S_SACKTX)
21798#define F_SACKTX V_SACKTX(1U)
21799
21800#define S_SACKRX 8
21801#define V_SACKRX(x) ((x) << S_SACKRX)
21802#define F_SACKRX V_SACKRX(1U)
21803
21804#define S_SACKMODE 4
21805#define M_SACKMODE 0x3U
21806#define V_SACKMODE(x) ((x) << S_SACKMODE)
21807#define G_SACKMODE(x) (((x) >> S_SACKMODE) & M_SACKMODE)
21808
21809#define S_WINDOWSCALEMODE 2
21810#define M_WINDOWSCALEMODE 0x3U
21811#define V_WINDOWSCALEMODE(x) ((x) << S_WINDOWSCALEMODE)
21812#define G_WINDOWSCALEMODE(x) (((x) >> S_WINDOWSCALEMODE) & M_WINDOWSCALEMODE)
21813
21814#define S_TIMESTAMPSMODE 0
21815#define M_TIMESTAMPSMODE 0x3U
21816#define V_TIMESTAMPSMODE(x) ((x) << S_TIMESTAMPSMODE)
21817#define G_TIMESTAMPSMODE(x) (((x) >> S_TIMESTAMPSMODE) & M_TIMESTAMPSMODE)
21818
21819#define A_TP_DACK_CONFIG 0x7d44
21820
21821#define S_AUTOSTATE3 30
21822#define M_AUTOSTATE3 0x3U
21823#define V_AUTOSTATE3(x) ((x) << S_AUTOSTATE3)
21824#define G_AUTOSTATE3(x) (((x) >> S_AUTOSTATE3) & M_AUTOSTATE3)
21825
21826#define S_AUTOSTATE2 28
21827#define M_AUTOSTATE2 0x3U
21828#define V_AUTOSTATE2(x) ((x) << S_AUTOSTATE2)
21829#define G_AUTOSTATE2(x) (((x) >> S_AUTOSTATE2) & M_AUTOSTATE2)
21830
21831#define S_AUTOSTATE1 26
21832#define M_AUTOSTATE1 0x3U
21833#define V_AUTOSTATE1(x) ((x) << S_AUTOSTATE1)
21834#define G_AUTOSTATE1(x) (((x) >> S_AUTOSTATE1) & M_AUTOSTATE1)
21835
21836#define S_BYTETHRESHOLD 8
21837#define M_BYTETHRESHOLD 0x3ffffU
21838#define V_BYTETHRESHOLD(x) ((x) << S_BYTETHRESHOLD)
21839#define G_BYTETHRESHOLD(x) (((x) >> S_BYTETHRESHOLD) & M_BYTETHRESHOLD)
21840
21841#define S_MSSTHRESHOLD 4
21842#define M_MSSTHRESHOLD 0x7U
21843#define V_MSSTHRESHOLD(x) ((x) << S_MSSTHRESHOLD)
21844#define G_MSSTHRESHOLD(x) (((x) >> S_MSSTHRESHOLD) & M_MSSTHRESHOLD)
21845
21846#define S_AUTOCAREFUL 2
21847#define V_AUTOCAREFUL(x) ((x) << S_AUTOCAREFUL)
21848#define F_AUTOCAREFUL V_AUTOCAREFUL(1U)
21849
21850#define S_AUTOENABLE 1
21851#define V_AUTOENABLE(x) ((x) << S_AUTOENABLE)
21852#define F_AUTOENABLE V_AUTOENABLE(1U)
21853
21854#define S_MODE 0
21855#define V_MODE(x) ((x) << S_MODE)
21856#define F_MODE V_MODE(1U)
21857
21858#define A_TP_PC_CONFIG 0x7d48
21859
21860#define S_CMCACHEDISABLE 31
21861#define V_CMCACHEDISABLE(x) ((x) << S_CMCACHEDISABLE)
21862#define F_CMCACHEDISABLE V_CMCACHEDISABLE(1U)
21863
21864#define S_ENABLEOCSPIFULL 30
21865#define V_ENABLEOCSPIFULL(x) ((x) << S_ENABLEOCSPIFULL)
21866#define F_ENABLEOCSPIFULL V_ENABLEOCSPIFULL(1U)
21867
21868#define S_ENABLEFLMERRORDDP 29
21869#define V_ENABLEFLMERRORDDP(x) ((x) << S_ENABLEFLMERRORDDP)
21870#define F_ENABLEFLMERRORDDP V_ENABLEFLMERRORDDP(1U)
21871
21872#define S_LOCKTID 28
21873#define V_LOCKTID(x) ((x) << S_LOCKTID)
21874#define F_LOCKTID V_LOCKTID(1U)
21875
21876#define S_DISABLEINVPEND 27
21877#define V_DISABLEINVPEND(x) ((x) << S_DISABLEINVPEND)
21878#define F_DISABLEINVPEND V_DISABLEINVPEND(1U)
21879
21880#define S_ENABLEFILTERCOUNT 26
21881#define V_ENABLEFILTERCOUNT(x) ((x) << S_ENABLEFILTERCOUNT)
21882#define F_ENABLEFILTERCOUNT V_ENABLEFILTERCOUNT(1U)
21883
21884#define S_RDDPCONGEN 25
21885#define V_RDDPCONGEN(x) ((x) << S_RDDPCONGEN)
21886#define F_RDDPCONGEN V_RDDPCONGEN(1U)
21887
21888#define S_ENABLEONFLYPDU 24
21889#define V_ENABLEONFLYPDU(x) ((x) << S_ENABLEONFLYPDU)
21890#define F_ENABLEONFLYPDU V_ENABLEONFLYPDU(1U)
21891
21892#define S_ENABLEMINRCVWND 23
21893#define V_ENABLEMINRCVWND(x) ((x) << S_ENABLEMINRCVWND)
21894#define F_ENABLEMINRCVWND V_ENABLEMINRCVWND(1U)
21895
21896#define S_ENABLEMAXRCVWND 22
21897#define V_ENABLEMAXRCVWND(x) ((x) << S_ENABLEMAXRCVWND)
21898#define F_ENABLEMAXRCVWND V_ENABLEMAXRCVWND(1U)
21899
21900#define S_TXDATAACKRATEENABLE 21
21901#define V_TXDATAACKRATEENABLE(x) ((x) << S_TXDATAACKRATEENABLE)
21902#define F_TXDATAACKRATEENABLE V_TXDATAACKRATEENABLE(1U)
21903
21904#define S_TXDEFERENABLE 20
21905#define V_TXDEFERENABLE(x) ((x) << S_TXDEFERENABLE)
21906#define F_TXDEFERENABLE V_TXDEFERENABLE(1U)
21907
21908#define S_RXCONGESTIONMODE 19
21909#define V_RXCONGESTIONMODE(x) ((x) << S_RXCONGESTIONMODE)
21910#define F_RXCONGESTIONMODE V_RXCONGESTIONMODE(1U)
21911
21912#define S_HEARBEATONCEDACK 18
21913#define V_HEARBEATONCEDACK(x) ((x) << S_HEARBEATONCEDACK)
21914#define F_HEARBEATONCEDACK V_HEARBEATONCEDACK(1U)
21915
21916#define S_HEARBEATONCEHEAP 17
21917#define V_HEARBEATONCEHEAP(x) ((x) << S_HEARBEATONCEHEAP)
21918#define F_HEARBEATONCEHEAP V_HEARBEATONCEHEAP(1U)
21919
21920#define S_HEARBEATDACK 16
21921#define V_HEARBEATDACK(x) ((x) << S_HEARBEATDACK)
21922#define F_HEARBEATDACK V_HEARBEATDACK(1U)
21923
21924#define S_TXCONGESTIONMODE 15
21925#define V_TXCONGESTIONMODE(x) ((x) << S_TXCONGESTIONMODE)
21926#define F_TXCONGESTIONMODE V_TXCONGESTIONMODE(1U)
21927
21928#define S_ACCEPTLATESTRCVADV 14
21929#define V_ACCEPTLATESTRCVADV(x) ((x) << S_ACCEPTLATESTRCVADV)
21930#define F_ACCEPTLATESTRCVADV V_ACCEPTLATESTRCVADV(1U)
21931
21932#define S_DISABLESYNDATA 13
21933#define V_DISABLESYNDATA(x) ((x) << S_DISABLESYNDATA)
21934#define F_DISABLESYNDATA V_DISABLESYNDATA(1U)
21935
21936#define S_DISABLEWINDOWPSH 12
21937#define V_DISABLEWINDOWPSH(x) ((x) << S_DISABLEWINDOWPSH)
21938#define F_DISABLEWINDOWPSH V_DISABLEWINDOWPSH(1U)
21939
21940#define S_DISABLEFINOLDDATA 11
21941#define V_DISABLEFINOLDDATA(x) ((x) << S_DISABLEFINOLDDATA)
21942#define F_DISABLEFINOLDDATA V_DISABLEFINOLDDATA(1U)
21943
21944#define S_ENABLEFLMERROR 10
21945#define V_ENABLEFLMERROR(x) ((x) << S_ENABLEFLMERROR)
21946#define F_ENABLEFLMERROR V_ENABLEFLMERROR(1U)
21947
21948#define S_ENABLEOPTMTU 9
21949#define V_ENABLEOPTMTU(x) ((x) << S_ENABLEOPTMTU)
21950#define F_ENABLEOPTMTU V_ENABLEOPTMTU(1U)
21951
21952#define S_FILTERPEERFIN 8
21953#define V_FILTERPEERFIN(x) ((x) << S_FILTERPEERFIN)
21954#define F_FILTERPEERFIN V_FILTERPEERFIN(1U)
21955
21956#define S_ENABLEFEEDBACKSEND 7
21957#define V_ENABLEFEEDBACKSEND(x) ((x) << S_ENABLEFEEDBACKSEND)
21958#define F_ENABLEFEEDBACKSEND V_ENABLEFEEDBACKSEND(1U)
21959
21960#define S_ENABLERDMAERROR 6
21961#define V_ENABLERDMAERROR(x) ((x) << S_ENABLERDMAERROR)
21962#define F_ENABLERDMAERROR V_ENABLERDMAERROR(1U)
21963
21964#define S_ENABLEDDPFLOWCONTROL 5
21965#define V_ENABLEDDPFLOWCONTROL(x) ((x) << S_ENABLEDDPFLOWCONTROL)
21966#define F_ENABLEDDPFLOWCONTROL V_ENABLEDDPFLOWCONTROL(1U)
21967
21968#define S_DISABLEHELDFIN 4
21969#define V_DISABLEHELDFIN(x) ((x) << S_DISABLEHELDFIN)
21970#define F_DISABLEHELDFIN V_DISABLEHELDFIN(1U)
21971
21972#define S_ENABLEOFDOVLAN 3
21973#define V_ENABLEOFDOVLAN(x) ((x) << S_ENABLEOFDOVLAN)
21974#define F_ENABLEOFDOVLAN V_ENABLEOFDOVLAN(1U)
21975
21976#define S_DISABLETIMEWAIT 2
21977#define V_DISABLETIMEWAIT(x) ((x) << S_DISABLETIMEWAIT)
21978#define F_DISABLETIMEWAIT V_DISABLETIMEWAIT(1U)
21979
21980#define S_ENABLEVLANCHECK 1
21981#define V_ENABLEVLANCHECK(x) ((x) << S_ENABLEVLANCHECK)
21982#define F_ENABLEVLANCHECK V_ENABLEVLANCHECK(1U)
21983
21984#define S_TXDATAACKPAGEENABLE 0
21985#define V_TXDATAACKPAGEENABLE(x) ((x) << S_TXDATAACKPAGEENABLE)
21986#define F_TXDATAACKPAGEENABLE V_TXDATAACKPAGEENABLE(1U)
21987
21988#define S_ENABLEFILTERNAT 5
21989#define V_ENABLEFILTERNAT(x) ((x) << S_ENABLEFILTERNAT)
21990#define F_ENABLEFILTERNAT V_ENABLEFILTERNAT(1U)
21991
21992#define S_ENABLEFINCHECK 31
21993#define V_ENABLEFINCHECK(x) ((x) << S_ENABLEFINCHECK)
21994#define F_ENABLEFINCHECK V_ENABLEFINCHECK(1U)
21995
21996#define S_ENABLEMIBVFPLD 21
21997#define V_ENABLEMIBVFPLD(x) ((x) << S_ENABLEMIBVFPLD)
21998#define F_ENABLEMIBVFPLD V_ENABLEMIBVFPLD(1U)
21999
22000#define S_DISABLESEPPSHFLAG 4
22001#define V_DISABLESEPPSHFLAG(x) ((x) << S_DISABLESEPPSHFLAG)
22002#define F_DISABLESEPPSHFLAG V_DISABLESEPPSHFLAG(1U)
22003
22004#define A_TP_PC_CONFIG2 0x7d4c
22005
22006#define S_ENABLEMTUVFMODE 31
22007#define V_ENABLEMTUVFMODE(x) ((x) << S_ENABLEMTUVFMODE)
22008#define F_ENABLEMTUVFMODE V_ENABLEMTUVFMODE(1U)
22009
22010#define S_ENABLEMIBVFMODE 30
22011#define V_ENABLEMIBVFMODE(x) ((x) << S_ENABLEMIBVFMODE)
22012#define F_ENABLEMIBVFMODE V_ENABLEMIBVFMODE(1U)
22013
22014#define S_DISABLELBKCHECK 29
22015#define V_DISABLELBKCHECK(x) ((x) << S_DISABLELBKCHECK)
22016#define F_DISABLELBKCHECK V_DISABLELBKCHECK(1U)
22017
22018#define S_ENABLEURGDDPOFF 28
22019#define V_ENABLEURGDDPOFF(x) ((x) << S_ENABLEURGDDPOFF)
22020#define F_ENABLEURGDDPOFF V_ENABLEURGDDPOFF(1U)
22021
22022#define S_ENABLEFILTERLPBK 27
22023#define V_ENABLEFILTERLPBK(x) ((x) << S_ENABLEFILTERLPBK)
22024#define F_ENABLEFILTERLPBK V_ENABLEFILTERLPBK(1U)
22025
22026#define S_DISABLETBLMMGR 26
22027#define V_DISABLETBLMMGR(x) ((x) << S_DISABLETBLMMGR)
22028#define F_DISABLETBLMMGR V_DISABLETBLMMGR(1U)
22029
22030#define S_CNGRECSNDNXT 25
22031#define V_CNGRECSNDNXT(x) ((x) << S_CNGRECSNDNXT)
22032#define F_CNGRECSNDNXT V_CNGRECSNDNXT(1U)
22033
22034#define S_ENABLELBKCHN 24
22035#define V_ENABLELBKCHN(x) ((x) << S_ENABLELBKCHN)
22036#define F_ENABLELBKCHN V_ENABLELBKCHN(1U)
22037
22038#define S_ENABLELROECN 23
22039#define V_ENABLELROECN(x) ((x) << S_ENABLELROECN)
22040#define F_ENABLELROECN V_ENABLELROECN(1U)
22041
22042#define S_ENABLEPCMDCHECK 22
22043#define V_ENABLEPCMDCHECK(x) ((x) << S_ENABLEPCMDCHECK)
22044#define F_ENABLEPCMDCHECK V_ENABLEPCMDCHECK(1U)
22045
22046#define S_ENABLEELBKAFULL 21
22047#define V_ENABLEELBKAFULL(x) ((x) << S_ENABLEELBKAFULL)
22048#define F_ENABLEELBKAFULL V_ENABLEELBKAFULL(1U)
22049
22050#define S_ENABLECLBKAFULL 20
22051#define V_ENABLECLBKAFULL(x) ((x) << S_ENABLECLBKAFULL)
22052#define F_ENABLECLBKAFULL V_ENABLECLBKAFULL(1U)
22053
22054#define S_ENABLEOESPIFULL 19
22055#define V_ENABLEOESPIFULL(x) ((x) << S_ENABLEOESPIFULL)
22056#define F_ENABLEOESPIFULL V_ENABLEOESPIFULL(1U)
22057
22058#define S_DISABLEHITCHECK 18
22059#define V_DISABLEHITCHECK(x) ((x) << S_DISABLEHITCHECK)
22060#define F_DISABLEHITCHECK V_DISABLEHITCHECK(1U)
22061
22062#define S_ENABLERSSERRCHECK 17
22063#define V_ENABLERSSERRCHECK(x) ((x) << S_ENABLERSSERRCHECK)
22064#define F_ENABLERSSERRCHECK V_ENABLERSSERRCHECK(1U)
22065
22066#define S_DISABLENEWPSHFLAG 16
22067#define V_DISABLENEWPSHFLAG(x) ((x) << S_DISABLENEWPSHFLAG)
22068#define F_DISABLENEWPSHFLAG V_DISABLENEWPSHFLAG(1U)
22069
22070#define S_ENABLERDDPRCVADVCLR 15
22071#define V_ENABLERDDPRCVADVCLR(x) ((x) << S_ENABLERDDPRCVADVCLR)
22072#define F_ENABLERDDPRCVADVCLR V_ENABLERDDPRCVADVCLR(1U)
22073
22074#define S_ENABLETXDATAARPMISS 14
22075#define V_ENABLETXDATAARPMISS(x) ((x) << S_ENABLETXDATAARPMISS)
22076#define F_ENABLETXDATAARPMISS V_ENABLETXDATAARPMISS(1U)
22077
22078#define S_ENABLEARPMISS 13
22079#define V_ENABLEARPMISS(x) ((x) << S_ENABLEARPMISS)
22080#define F_ENABLEARPMISS V_ENABLEARPMISS(1U)
22081
22082#define S_ENABLERSTPAWS 12
22083#define V_ENABLERSTPAWS(x) ((x) << S_ENABLERSTPAWS)
22084#define F_ENABLERSTPAWS V_ENABLERSTPAWS(1U)
22085
22086#define S_ENABLEIPV6RSS 11
22087#define V_ENABLEIPV6RSS(x) ((x) << S_ENABLEIPV6RSS)
22088#define F_ENABLEIPV6RSS V_ENABLEIPV6RSS(1U)
22089
22090#define S_ENABLENONOFDHYBRSS 10
22091#define V_ENABLENONOFDHYBRSS(x) ((x) << S_ENABLENONOFDHYBRSS)
22092#define F_ENABLENONOFDHYBRSS V_ENABLENONOFDHYBRSS(1U)
22093
22094#define S_ENABLEUDP4TUPRSS 9
22095#define V_ENABLEUDP4TUPRSS(x) ((x) << S_ENABLEUDP4TUPRSS)
22096#define F_ENABLEUDP4TUPRSS V_ENABLEUDP4TUPRSS(1U)
22097
22098#define S_ENABLERXPKTTMSTPRSS 8
22099#define V_ENABLERXPKTTMSTPRSS(x) ((x) << S_ENABLERXPKTTMSTPRSS)
22100#define F_ENABLERXPKTTMSTPRSS V_ENABLERXPKTTMSTPRSS(1U)
22101
22102#define S_ENABLEEPCMDAFULL 7
22103#define V_ENABLEEPCMDAFULL(x) ((x) << S_ENABLEEPCMDAFULL)
22104#define F_ENABLEEPCMDAFULL V_ENABLEEPCMDAFULL(1U)
22105
22106#define S_ENABLECPCMDAFULL 6
22107#define V_ENABLECPCMDAFULL(x) ((x) << S_ENABLECPCMDAFULL)
22108#define F_ENABLECPCMDAFULL V_ENABLECPCMDAFULL(1U)
22109
22110#define S_ENABLEEHDRAFULL 5
22111#define V_ENABLEEHDRAFULL(x) ((x) << S_ENABLEEHDRAFULL)
22112#define F_ENABLEEHDRAFULL V_ENABLEEHDRAFULL(1U)
22113
22114#define S_ENABLECHDRAFULL 4
22115#define V_ENABLECHDRAFULL(x) ((x) << S_ENABLECHDRAFULL)
22116#define F_ENABLECHDRAFULL V_ENABLECHDRAFULL(1U)
22117
22118#define S_ENABLEEMACAFULL 3
22119#define V_ENABLEEMACAFULL(x) ((x) << S_ENABLEEMACAFULL)
22120#define F_ENABLEEMACAFULL V_ENABLEEMACAFULL(1U)
22121
22122#define S_ENABLENONOFDTIDRSS 2
22123#define V_ENABLENONOFDTIDRSS(x) ((x) << S_ENABLENONOFDTIDRSS)
22124#define F_ENABLENONOFDTIDRSS V_ENABLENONOFDTIDRSS(1U)
22125
22126#define S_ENABLENONOFDTCBRSS 1
22127#define V_ENABLENONOFDTCBRSS(x) ((x) << S_ENABLENONOFDTCBRSS)
22128#define F_ENABLENONOFDTCBRSS V_ENABLENONOFDTCBRSS(1U)
22129
22130#define S_ENABLETNLOFDCLOSED 0
22131#define V_ENABLETNLOFDCLOSED(x) ((x) << S_ENABLETNLOFDCLOSED)
22132#define F_ENABLETNLOFDCLOSED V_ENABLETNLOFDCLOSED(1U)
22133
22134#define S_ENABLEFINDDPOFF 14
22135#define V_ENABLEFINDDPOFF(x) ((x) << S_ENABLEFINDDPOFF)
22136#define F_ENABLEFINDDPOFF V_ENABLEFINDDPOFF(1U)
22137
22138#define A_TP_TCP_BACKOFF_REG0 0x7d50
22139
22140#define S_TIMERBACKOFFINDEX3 24
22141#define M_TIMERBACKOFFINDEX3 0xffU
22142#define V_TIMERBACKOFFINDEX3(x) ((x) << S_TIMERBACKOFFINDEX3)
22143#define G_TIMERBACKOFFINDEX3(x) (((x) >> S_TIMERBACKOFFINDEX3) & M_TIMERBACKOFFINDEX3)
22144
22145#define S_TIMERBACKOFFINDEX2 16
22146#define M_TIMERBACKOFFINDEX2 0xffU
22147#define V_TIMERBACKOFFINDEX2(x) ((x) << S_TIMERBACKOFFINDEX2)
22148#define G_TIMERBACKOFFINDEX2(x) (((x) >> S_TIMERBACKOFFINDEX2) & M_TIMERBACKOFFINDEX2)
22149
22150#define S_TIMERBACKOFFINDEX1 8
22151#define M_TIMERBACKOFFINDEX1 0xffU
22152#define V_TIMERBACKOFFINDEX1(x) ((x) << S_TIMERBACKOFFINDEX1)
22153#define G_TIMERBACKOFFINDEX1(x) (((x) >> S_TIMERBACKOFFINDEX1) & M_TIMERBACKOFFINDEX1)
22154
22155#define S_TIMERBACKOFFINDEX0 0
22156#define M_TIMERBACKOFFINDEX0 0xffU
22157#define V_TIMERBACKOFFINDEX0(x) ((x) << S_TIMERBACKOFFINDEX0)
22158#define G_TIMERBACKOFFINDEX0(x) (((x) >> S_TIMERBACKOFFINDEX0) & M_TIMERBACKOFFINDEX0)
22159
22160#define A_TP_TCP_BACKOFF_REG1 0x7d54
22161
22162#define S_TIMERBACKOFFINDEX7 24
22163#define M_TIMERBACKOFFINDEX7 0xffU
22164#define V_TIMERBACKOFFINDEX7(x) ((x) << S_TIMERBACKOFFINDEX7)
22165#define G_TIMERBACKOFFINDEX7(x) (((x) >> S_TIMERBACKOFFINDEX7) & M_TIMERBACKOFFINDEX7)
22166
22167#define S_TIMERBACKOFFINDEX6 16
22168#define M_TIMERBACKOFFINDEX6 0xffU
22169#define V_TIMERBACKOFFINDEX6(x) ((x) << S_TIMERBACKOFFINDEX6)
22170#define G_TIMERBACKOFFINDEX6(x) (((x) >> S_TIMERBACKOFFINDEX6) & M_TIMERBACKOFFINDEX6)
22171
22172#define S_TIMERBACKOFFINDEX5 8
22173#define M_TIMERBACKOFFINDEX5 0xffU
22174#define V_TIMERBACKOFFINDEX5(x) ((x) << S_TIMERBACKOFFINDEX5)
22175#define G_TIMERBACKOFFINDEX5(x) (((x) >> S_TIMERBACKOFFINDEX5) & M_TIMERBACKOFFINDEX5)
22176
22177#define S_TIMERBACKOFFINDEX4 0
22178#define M_TIMERBACKOFFINDEX4 0xffU
22179#define V_TIMERBACKOFFINDEX4(x) ((x) << S_TIMERBACKOFFINDEX4)
22180#define G_TIMERBACKOFFINDEX4(x) (((x) >> S_TIMERBACKOFFINDEX4) & M_TIMERBACKOFFINDEX4)
22181
22182#define A_TP_TCP_BACKOFF_REG2 0x7d58
22183
22184#define S_TIMERBACKOFFINDEX11 24
22185#define M_TIMERBACKOFFINDEX11 0xffU
22186#define V_TIMERBACKOFFINDEX11(x) ((x) << S_TIMERBACKOFFINDEX11)
22187#define G_TIMERBACKOFFINDEX11(x) (((x) >> S_TIMERBACKOFFINDEX11) & M_TIMERBACKOFFINDEX11)
22188
22189#define S_TIMERBACKOFFINDEX10 16
22190#define M_TIMERBACKOFFINDEX10 0xffU
22191#define V_TIMERBACKOFFINDEX10(x) ((x) << S_TIMERBACKOFFINDEX10)
22192#define G_TIMERBACKOFFINDEX10(x) (((x) >> S_TIMERBACKOFFINDEX10) & M_TIMERBACKOFFINDEX10)
22193
22194#define S_TIMERBACKOFFINDEX9 8
22195#define M_TIMERBACKOFFINDEX9 0xffU
22196#define V_TIMERBACKOFFINDEX9(x) ((x) << S_TIMERBACKOFFINDEX9)
22197#define G_TIMERBACKOFFINDEX9(x) (((x) >> S_TIMERBACKOFFINDEX9) & M_TIMERBACKOFFINDEX9)
22198
22199#define S_TIMERBACKOFFINDEX8 0
22200#define M_TIMERBACKOFFINDEX8 0xffU
22201#define V_TIMERBACKOFFINDEX8(x) ((x) << S_TIMERBACKOFFINDEX8)
22202#define G_TIMERBACKOFFINDEX8(x) (((x) >> S_TIMERBACKOFFINDEX8) & M_TIMERBACKOFFINDEX8)
22203
22204#define A_TP_TCP_BACKOFF_REG3 0x7d5c
22205
22206#define S_TIMERBACKOFFINDEX15 24
22207#define M_TIMERBACKOFFINDEX15 0xffU
22208#define V_TIMERBACKOFFINDEX15(x) ((x) << S_TIMERBACKOFFINDEX15)
22209#define G_TIMERBACKOFFINDEX15(x) (((x) >> S_TIMERBACKOFFINDEX15) & M_TIMERBACKOFFINDEX15)
22210
22211#define S_TIMERBACKOFFINDEX14 16
22212#define M_TIMERBACKOFFINDEX14 0xffU
22213#define V_TIMERBACKOFFINDEX14(x) ((x) << S_TIMERBACKOFFINDEX14)
22214#define G_TIMERBACKOFFINDEX14(x) (((x) >> S_TIMERBACKOFFINDEX14) & M_TIMERBACKOFFINDEX14)
22215
22216#define S_TIMERBACKOFFINDEX13 8
22217#define M_TIMERBACKOFFINDEX13 0xffU
22218#define V_TIMERBACKOFFINDEX13(x) ((x) << S_TIMERBACKOFFINDEX13)
22219#define G_TIMERBACKOFFINDEX13(x) (((x) >> S_TIMERBACKOFFINDEX13) & M_TIMERBACKOFFINDEX13)
22220
22221#define S_TIMERBACKOFFINDEX12 0
22222#define M_TIMERBACKOFFINDEX12 0xffU
22223#define V_TIMERBACKOFFINDEX12(x) ((x) << S_TIMERBACKOFFINDEX12)
22224#define G_TIMERBACKOFFINDEX12(x) (((x) >> S_TIMERBACKOFFINDEX12) & M_TIMERBACKOFFINDEX12)
22225
22226#define A_TP_PARA_REG0 0x7d60
22227
22228#define S_INITCWNDIDLE 27
22229#define V_INITCWNDIDLE(x) ((x) << S_INITCWNDIDLE)
22230#define F_INITCWNDIDLE V_INITCWNDIDLE(1U)
22231
22232#define S_INITCWND 24
22233#define M_INITCWND 0x7U
22234#define V_INITCWND(x) ((x) << S_INITCWND)
22235#define G_INITCWND(x) (((x) >> S_INITCWND) & M_INITCWND)
22236
22237#define S_DUPACKTHRESH 20
22238#define M_DUPACKTHRESH 0xfU
22239#define V_DUPACKTHRESH(x) ((x) << S_DUPACKTHRESH)
22240#define G_DUPACKTHRESH(x) (((x) >> S_DUPACKTHRESH) & M_DUPACKTHRESH)
22241
22242#define S_CPLERRENABLE 12
22243#define V_CPLERRENABLE(x) ((x) << S_CPLERRENABLE)
22244#define F_CPLERRENABLE V_CPLERRENABLE(1U)
22245
22246#define S_FASTTNLCNT 11
22247#define V_FASTTNLCNT(x) ((x) << S_FASTTNLCNT)
22248#define F_FASTTNLCNT V_FASTTNLCNT(1U)
22249
22250#define S_FASTTBLCNT 10
22251#define V_FASTTBLCNT(x) ((x) << S_FASTTBLCNT)
22252#define F_FASTTBLCNT V_FASTTBLCNT(1U)
22253
22254#define S_TPTCAMKEY 9
22255#define V_TPTCAMKEY(x) ((x) << S_TPTCAMKEY)
22256#define F_TPTCAMKEY V_TPTCAMKEY(1U)
22257
22258#define S_SWSMODE 8
22259#define V_SWSMODE(x) ((x) << S_SWSMODE)
22260#define F_SWSMODE V_SWSMODE(1U)
22261
22262#define S_TSMPMODE 6
22263#define M_TSMPMODE 0x3U
22264#define V_TSMPMODE(x) ((x) << S_TSMPMODE)
22265#define G_TSMPMODE(x) (((x) >> S_TSMPMODE) & M_TSMPMODE)
22266
22267#define S_BYTECOUNTLIMIT 4
22268#define M_BYTECOUNTLIMIT 0x3U
22269#define V_BYTECOUNTLIMIT(x) ((x) << S_BYTECOUNTLIMIT)
22270#define G_BYTECOUNTLIMIT(x) (((x) >> S_BYTECOUNTLIMIT) & M_BYTECOUNTLIMIT)
22271
22272#define S_SWSSHOVE 3
22273#define V_SWSSHOVE(x) ((x) << S_SWSSHOVE)
22274#define F_SWSSHOVE V_SWSSHOVE(1U)
22275
22276#define S_TBLTIMER 2
22277#define V_TBLTIMER(x) ((x) << S_TBLTIMER)
22278#define F_TBLTIMER V_TBLTIMER(1U)
22279
22280#define S_RXTPACE 1
22281#define V_RXTPACE(x) ((x) << S_RXTPACE)
22282#define F_RXTPACE V_RXTPACE(1U)
22283
22284#define S_SWSTIMER 0
22285#define V_SWSTIMER(x) ((x) << S_SWSTIMER)
22286#define F_SWSTIMER V_SWSTIMER(1U)
22287
22288#define S_LIMTXTHRESH 28
22289#define M_LIMTXTHRESH 0xfU
22290#define V_LIMTXTHRESH(x) ((x) << S_LIMTXTHRESH)
22291#define G_LIMTXTHRESH(x) (((x) >> S_LIMTXTHRESH) & M_LIMTXTHRESH)
22292
22293#define S_CHNERRENABLE 14
22294#define V_CHNERRENABLE(x) ((x) << S_CHNERRENABLE)
22295#define F_CHNERRENABLE V_CHNERRENABLE(1U)
22296
22297#define S_SETTIMEENABLE 13
22298#define V_SETTIMEENABLE(x) ((x) << S_SETTIMEENABLE)
22299#define F_SETTIMEENABLE V_SETTIMEENABLE(1U)
22300
22301#define S_ECNCNGFIFO 19
22302#define V_ECNCNGFIFO(x) ((x) << S_ECNCNGFIFO)
22303#define F_ECNCNGFIFO V_ECNCNGFIFO(1U)
22304
22305#define S_ECNSYNACK 18
22306#define V_ECNSYNACK(x) ((x) << S_ECNSYNACK)
22307#define F_ECNSYNACK V_ECNSYNACK(1U)
22308
22309#define S_ECNTHRESH 16
22310#define M_ECNTHRESH 0x3U
22311#define V_ECNTHRESH(x) ((x) << S_ECNTHRESH)
22312#define G_ECNTHRESH(x) (((x) >> S_ECNTHRESH) & M_ECNTHRESH)
22313
22314#define S_ECNMODE 15
22315#define V_ECNMODE(x) ((x) << S_ECNMODE)
22316#define F_ECNMODE V_ECNMODE(1U)
22317
22318#define S_ECNMODECWR 14
22319#define V_ECNMODECWR(x) ((x) << S_ECNMODECWR)
22320#define F_ECNMODECWR V_ECNMODECWR(1U)
22321
22322#define S_FORCESHOVE 10
22323#define V_FORCESHOVE(x) ((x) << S_FORCESHOVE)
22324#define F_FORCESHOVE V_FORCESHOVE(1U)
22325
22326#define A_TP_PARA_REG1 0x7d64
22327
22328#define S_INITRWND 16
22329#define M_INITRWND 0xffffU
22330#define V_INITRWND(x) ((x) << S_INITRWND)
22331#define G_INITRWND(x) (((x) >> S_INITRWND) & M_INITRWND)
22332
22333#define S_INITIALSSTHRESH 0
22334#define M_INITIALSSTHRESH 0xffffU
22335#define V_INITIALSSTHRESH(x) ((x) << S_INITIALSSTHRESH)
22336#define G_INITIALSSTHRESH(x) (((x) >> S_INITIALSSTHRESH) & M_INITIALSSTHRESH)
22337
22338#define A_TP_PARA_REG2 0x7d68
22339
22340#define S_MAXRXDATA 16
22341#define M_MAXRXDATA 0xffffU
22342#define V_MAXRXDATA(x) ((x) << S_MAXRXDATA)
22343#define G_MAXRXDATA(x) (((x) >> S_MAXRXDATA) & M_MAXRXDATA)
22344
22345#define S_RXCOALESCESIZE 0
22346#define M_RXCOALESCESIZE 0xffffU
22347#define V_RXCOALESCESIZE(x) ((x) << S_RXCOALESCESIZE)
22348#define G_RXCOALESCESIZE(x) (((x) >> S_RXCOALESCESIZE) & M_RXCOALESCESIZE)
22349
22350#define A_TP_PARA_REG3 0x7d6c
22351
22352#define S_ENABLETNLCNGLPBK 31
22353#define V_ENABLETNLCNGLPBK(x) ((x) << S_ENABLETNLCNGLPBK)
22354#define F_ENABLETNLCNGLPBK V_ENABLETNLCNGLPBK(1U)
22355
22356#define S_ENABLETNLCNGFIFO 30
22357#define V_ENABLETNLCNGFIFO(x) ((x) << S_ENABLETNLCNGFIFO)
22358#define F_ENABLETNLCNGFIFO V_ENABLETNLCNGFIFO(1U)
22359
22360#define S_ENABLETNLCNGHDR 29
22361#define V_ENABLETNLCNGHDR(x) ((x) << S_ENABLETNLCNGHDR)
22362#define F_ENABLETNLCNGHDR V_ENABLETNLCNGHDR(1U)
22363
22364#define S_ENABLETNLCNGSGE 28
22365#define V_ENABLETNLCNGSGE(x) ((x) << S_ENABLETNLCNGSGE)
22366#define F_ENABLETNLCNGSGE V_ENABLETNLCNGSGE(1U)
22367
22368#define S_RXMACCHECK 27
22369#define V_RXMACCHECK(x) ((x) << S_RXMACCHECK)
22370#define F_RXMACCHECK V_RXMACCHECK(1U)
22371
22372#define S_RXSYNFILTER 26
22373#define V_RXSYNFILTER(x) ((x) << S_RXSYNFILTER)
22374#define F_RXSYNFILTER V_RXSYNFILTER(1U)
22375
22376#define S_CNGCTRLECN 25
22377#define V_CNGCTRLECN(x) ((x) << S_CNGCTRLECN)
22378#define F_CNGCTRLECN V_CNGCTRLECN(1U)
22379
22380#define S_RXDDPOFFINIT 24
22381#define V_RXDDPOFFINIT(x) ((x) << S_RXDDPOFFINIT)
22382#define F_RXDDPOFFINIT V_RXDDPOFFINIT(1U)
22383
22384#define S_TUNNELCNGDROP3 23
22385#define V_TUNNELCNGDROP3(x) ((x) << S_TUNNELCNGDROP3)
22386#define F_TUNNELCNGDROP3 V_TUNNELCNGDROP3(1U)
22387
22388#define S_TUNNELCNGDROP2 22
22389#define V_TUNNELCNGDROP2(x) ((x) << S_TUNNELCNGDROP2)
22390#define F_TUNNELCNGDROP2 V_TUNNELCNGDROP2(1U)
22391
22392#define S_TUNNELCNGDROP1 21
22393#define V_TUNNELCNGDROP1(x) ((x) << S_TUNNELCNGDROP1)
22394#define F_TUNNELCNGDROP1 V_TUNNELCNGDROP1(1U)
22395
22396#define S_TUNNELCNGDROP0 20
22397#define V_TUNNELCNGDROP0(x) ((x) << S_TUNNELCNGDROP0)
22398#define F_TUNNELCNGDROP0 V_TUNNELCNGDROP0(1U)
22399
22400#define S_TXDATAACKIDX 16
22401#define M_TXDATAACKIDX 0xfU
22402#define V_TXDATAACKIDX(x) ((x) << S_TXDATAACKIDX)
22403#define G_TXDATAACKIDX(x) (((x) >> S_TXDATAACKIDX) & M_TXDATAACKIDX)
22404
22405#define S_RXFRAGENABLE 12
22406#define M_RXFRAGENABLE 0x7U
22407#define V_RXFRAGENABLE(x) ((x) << S_RXFRAGENABLE)
22408#define G_RXFRAGENABLE(x) (((x) >> S_RXFRAGENABLE) & M_RXFRAGENABLE)
22409
22410#define S_TXPACEFIXEDSTRICT 11
22411#define V_TXPACEFIXEDSTRICT(x) ((x) << S_TXPACEFIXEDSTRICT)
22412#define F_TXPACEFIXEDSTRICT V_TXPACEFIXEDSTRICT(1U)
22413
22414#define S_TXPACEAUTOSTRICT 10
22415#define V_TXPACEAUTOSTRICT(x) ((x) << S_TXPACEAUTOSTRICT)
22416#define F_TXPACEAUTOSTRICT V_TXPACEAUTOSTRICT(1U)
22417
22418#define S_TXPACEFIXED 9
22419#define V_TXPACEFIXED(x) ((x) << S_TXPACEFIXED)
22420#define F_TXPACEFIXED V_TXPACEFIXED(1U)
22421
22422#define S_TXPACEAUTO 8
22423#define V_TXPACEAUTO(x) ((x) << S_TXPACEAUTO)
22424#define F_TXPACEAUTO V_TXPACEAUTO(1U)
22425
22426#define S_RXCHNTUNNEL 7
22427#define V_RXCHNTUNNEL(x) ((x) << S_RXCHNTUNNEL)
22428#define F_RXCHNTUNNEL V_RXCHNTUNNEL(1U)
22429
22430#define S_RXURGTUNNEL 6
22431#define V_RXURGTUNNEL(x) ((x) << S_RXURGTUNNEL)
22432#define F_RXURGTUNNEL V_RXURGTUNNEL(1U)
22433
22434#define S_RXURGMODE 5
22435#define V_RXURGMODE(x) ((x) << S_RXURGMODE)
22436#define F_RXURGMODE V_RXURGMODE(1U)
22437
22438#define S_TXURGMODE 4
22439#define V_TXURGMODE(x) ((x) << S_TXURGMODE)
22440#define F_TXURGMODE V_TXURGMODE(1U)
22441
22442#define S_CNGCTRLMODE 2
22443#define M_CNGCTRLMODE 0x3U
22444#define V_CNGCTRLMODE(x) ((x) << S_CNGCTRLMODE)
22445#define G_CNGCTRLMODE(x) (((x) >> S_CNGCTRLMODE) & M_CNGCTRLMODE)
22446
22447#define S_RXCOALESCEENABLE 1
22448#define V_RXCOALESCEENABLE(x) ((x) << S_RXCOALESCEENABLE)
22449#define F_RXCOALESCEENABLE V_RXCOALESCEENABLE(1U)
22450
22451#define S_RXCOALESCEPSHEN 0
22452#define V_RXCOALESCEPSHEN(x) ((x) << S_RXCOALESCEPSHEN)
22453#define F_RXCOALESCEPSHEN V_RXCOALESCEPSHEN(1U)
22454
22455#define A_TP_PARA_REG4 0x7d70
22456
22457#define S_HIGHSPEEDCFG 24
22458#define M_HIGHSPEEDCFG 0xffU
22459#define V_HIGHSPEEDCFG(x) ((x) << S_HIGHSPEEDCFG)
22460#define G_HIGHSPEEDCFG(x) (((x) >> S_HIGHSPEEDCFG) & M_HIGHSPEEDCFG)
22461
22462#define S_NEWRENOCFG 16
22463#define M_NEWRENOCFG 0xffU
22464#define V_NEWRENOCFG(x) ((x) << S_NEWRENOCFG)
22465#define G_NEWRENOCFG(x) (((x) >> S_NEWRENOCFG) & M_NEWRENOCFG)
22466
22467#define S_TAHOECFG 8
22468#define M_TAHOECFG 0xffU
22469#define V_TAHOECFG(x) ((x) << S_TAHOECFG)
22470#define G_TAHOECFG(x) (((x) >> S_TAHOECFG) & M_TAHOECFG)
22471
22472#define S_RENOCFG 0
22473#define M_RENOCFG 0xffU
22474#define V_RENOCFG(x) ((x) << S_RENOCFG)
22475#define G_RENOCFG(x) (((x) >> S_RENOCFG) & M_RENOCFG)
22476
22477#define S_IDLECWNDHIGHSPEED 28
22478#define V_IDLECWNDHIGHSPEED(x) ((x) << S_IDLECWNDHIGHSPEED)
22479#define F_IDLECWNDHIGHSPEED V_IDLECWNDHIGHSPEED(1U)
22480
22481#define S_RXMTCWNDHIGHSPEED 27
22482#define V_RXMTCWNDHIGHSPEED(x) ((x) << S_RXMTCWNDHIGHSPEED)
22483#define F_RXMTCWNDHIGHSPEED V_RXMTCWNDHIGHSPEED(1U)
22484
22485#define S_OVERDRIVEHIGHSPEED 25
22486#define M_OVERDRIVEHIGHSPEED 0x3U
22487#define V_OVERDRIVEHIGHSPEED(x) ((x) << S_OVERDRIVEHIGHSPEED)
22488#define G_OVERDRIVEHIGHSPEED(x) (((x) >> S_OVERDRIVEHIGHSPEED) & M_OVERDRIVEHIGHSPEED)
22489
22490#define S_BYTECOUNTHIGHSPEED 24
22491#define V_BYTECOUNTHIGHSPEED(x) ((x) << S_BYTECOUNTHIGHSPEED)
22492#define F_BYTECOUNTHIGHSPEED V_BYTECOUNTHIGHSPEED(1U)
22493
22494#define S_IDLECWNDNEWRENO 20
22495#define V_IDLECWNDNEWRENO(x) ((x) << S_IDLECWNDNEWRENO)
22496#define F_IDLECWNDNEWRENO V_IDLECWNDNEWRENO(1U)
22497
22498#define S_RXMTCWNDNEWRENO 19
22499#define V_RXMTCWNDNEWRENO(x) ((x) << S_RXMTCWNDNEWRENO)
22500#define F_RXMTCWNDNEWRENO V_RXMTCWNDNEWRENO(1U)
22501
22502#define S_OVERDRIVENEWRENO 17
22503#define M_OVERDRIVENEWRENO 0x3U
22504#define V_OVERDRIVENEWRENO(x) ((x) << S_OVERDRIVENEWRENO)
22505#define G_OVERDRIVENEWRENO(x) (((x) >> S_OVERDRIVENEWRENO) & M_OVERDRIVENEWRENO)
22506
22507#define S_BYTECOUNTNEWRENO 16
22508#define V_BYTECOUNTNEWRENO(x) ((x) << S_BYTECOUNTNEWRENO)
22509#define F_BYTECOUNTNEWRENO V_BYTECOUNTNEWRENO(1U)
22510
22511#define S_IDLECWNDTAHOE 12
22512#define V_IDLECWNDTAHOE(x) ((x) << S_IDLECWNDTAHOE)
22513#define F_IDLECWNDTAHOE V_IDLECWNDTAHOE(1U)
22514
22515#define S_RXMTCWNDTAHOE 11
22516#define V_RXMTCWNDTAHOE(x) ((x) << S_RXMTCWNDTAHOE)
22517#define F_RXMTCWNDTAHOE V_RXMTCWNDTAHOE(1U)
22518
22519#define S_OVERDRIVETAHOE 9
22520#define M_OVERDRIVETAHOE 0x3U
22521#define V_OVERDRIVETAHOE(x) ((x) << S_OVERDRIVETAHOE)
22522#define G_OVERDRIVETAHOE(x) (((x) >> S_OVERDRIVETAHOE) & M_OVERDRIVETAHOE)
22523
22524#define S_BYTECOUNTTAHOE 8
22525#define V_BYTECOUNTTAHOE(x) ((x) << S_BYTECOUNTTAHOE)
22526#define F_BYTECOUNTTAHOE V_BYTECOUNTTAHOE(1U)
22527
22528#define S_IDLECWNDRENO 4
22529#define V_IDLECWNDRENO(x) ((x) << S_IDLECWNDRENO)
22530#define F_IDLECWNDRENO V_IDLECWNDRENO(1U)
22531
22532#define S_RXMTCWNDRENO 3
22533#define V_RXMTCWNDRENO(x) ((x) << S_RXMTCWNDRENO)
22534#define F_RXMTCWNDRENO V_RXMTCWNDRENO(1U)
22535
22536#define S_OVERDRIVERENO 1
22537#define M_OVERDRIVERENO 0x3U
22538#define V_OVERDRIVERENO(x) ((x) << S_OVERDRIVERENO)
22539#define G_OVERDRIVERENO(x) (((x) >> S_OVERDRIVERENO) & M_OVERDRIVERENO)
22540
22541#define S_BYTECOUNTRENO 0
22542#define V_BYTECOUNTRENO(x) ((x) << S_BYTECOUNTRENO)
22543#define F_BYTECOUNTRENO V_BYTECOUNTRENO(1U)
22544
22545#define A_TP_PARA_REG5 0x7d74
22546
22547#define S_INDICATESIZE 16
22548#define M_INDICATESIZE 0xffffU
22549#define V_INDICATESIZE(x) ((x) << S_INDICATESIZE)
22550#define G_INDICATESIZE(x) (((x) >> S_INDICATESIZE) & M_INDICATESIZE)
22551
22552#define S_MAXPROXYSIZE 12
22553#define M_MAXPROXYSIZE 0xfU
22554#define V_MAXPROXYSIZE(x) ((x) << S_MAXPROXYSIZE)
22555#define G_MAXPROXYSIZE(x) (((x) >> S_MAXPROXYSIZE) & M_MAXPROXYSIZE)
22556
22557#define S_ENABLEREADPDU 11
22558#define V_ENABLEREADPDU(x) ((x) << S_ENABLEREADPDU)
22559#define F_ENABLEREADPDU V_ENABLEREADPDU(1U)
22560
22561#define S_RXREADAHEAD 10
22562#define V_RXREADAHEAD(x) ((x) << S_RXREADAHEAD)
22563#define F_RXREADAHEAD V_RXREADAHEAD(1U)
22564
22565#define S_EMPTYRQENABLE 9
22566#define V_EMPTYRQENABLE(x) ((x) << S_EMPTYRQENABLE)
22567#define F_EMPTYRQENABLE V_EMPTYRQENABLE(1U)
22568
22569#define S_SCHDENABLE 8
22570#define V_SCHDENABLE(x) ((x) << S_SCHDENABLE)
22571#define F_SCHDENABLE V_SCHDENABLE(1U)
22572
22573#define S_REARMDDPOFFSET 4
22574#define V_REARMDDPOFFSET(x) ((x) << S_REARMDDPOFFSET)
22575#define F_REARMDDPOFFSET V_REARMDDPOFFSET(1U)
22576
22577#define S_RESETDDPOFFSET 3
22578#define V_RESETDDPOFFSET(x) ((x) << S_RESETDDPOFFSET)
22579#define F_RESETDDPOFFSET V_RESETDDPOFFSET(1U)
22580
22581#define S_ONFLYDDPENABLE 2
22582#define V_ONFLYDDPENABLE(x) ((x) << S_ONFLYDDPENABLE)
22583#define F_ONFLYDDPENABLE V_ONFLYDDPENABLE(1U)
22584
22585#define S_DACKTIMERSPIN 1
22586#define V_DACKTIMERSPIN(x) ((x) << S_DACKTIMERSPIN)
22587#define F_DACKTIMERSPIN V_DACKTIMERSPIN(1U)
22588
22589#define S_PUSHTIMERENABLE 0
22590#define V_PUSHTIMERENABLE(x) ((x) << S_PUSHTIMERENABLE)
22591#define F_PUSHTIMERENABLE V_PUSHTIMERENABLE(1U)
22592
22593#define S_ENABLEXOFFPDU 7
22594#define V_ENABLEXOFFPDU(x) ((x) << S_ENABLEXOFFPDU)
22595#define F_ENABLEXOFFPDU V_ENABLEXOFFPDU(1U)
22596
22597#define S_ENABLENEWFAR 6
22598#define V_ENABLENEWFAR(x) ((x) << S_ENABLENEWFAR)
22599#define F_ENABLENEWFAR V_ENABLENEWFAR(1U)
22600
22601#define S_ENABLEFRAGCHECK 5
22602#define V_ENABLEFRAGCHECK(x) ((x) << S_ENABLEFRAGCHECK)
22603#define F_ENABLEFRAGCHECK V_ENABLEFRAGCHECK(1U)
22604
22605#define S_ENABLEFCOECHECK 6
22606#define V_ENABLEFCOECHECK(x) ((x) << S_ENABLEFCOECHECK)
22607#define F_ENABLEFCOECHECK V_ENABLEFCOECHECK(1U)
22608
22609#define S_ENABLERDMAFIX 1
22610#define V_ENABLERDMAFIX(x) ((x) << S_ENABLERDMAFIX)
22611#define F_ENABLERDMAFIX V_ENABLERDMAFIX(1U)
22612
22613#define A_TP_PARA_REG6 0x7d78
22614
22615#define S_TXPDUSIZEADJ 24
22616#define M_TXPDUSIZEADJ 0xffU
22617#define V_TXPDUSIZEADJ(x) ((x) << S_TXPDUSIZEADJ)
22618#define G_TXPDUSIZEADJ(x) (((x) >> S_TXPDUSIZEADJ) & M_TXPDUSIZEADJ)
22619
22620#define S_ENABLECBYP 21
22621#define V_ENABLECBYP(x) ((x) << S_ENABLECBYP)
22622#define F_ENABLECBYP V_ENABLECBYP(1U)
22623
22624#define S_LIMITEDTRANSMIT 20
22625#define M_LIMITEDTRANSMIT 0xfU
22626#define V_LIMITEDTRANSMIT(x) ((x) << S_LIMITEDTRANSMIT)
22627#define G_LIMITEDTRANSMIT(x) (((x) >> S_LIMITEDTRANSMIT) & M_LIMITEDTRANSMIT)
22628
22629#define S_ENABLECSAV 19
22630#define V_ENABLECSAV(x) ((x) << S_ENABLECSAV)
22631#define F_ENABLECSAV V_ENABLECSAV(1U)
22632
22633#define S_ENABLEDEFERPDU 18
22634#define V_ENABLEDEFERPDU(x) ((x) << S_ENABLEDEFERPDU)
22635#define F_ENABLEDEFERPDU V_ENABLEDEFERPDU(1U)
22636
22637#define S_ENABLEFLUSH 17
22638#define V_ENABLEFLUSH(x) ((x) << S_ENABLEFLUSH)
22639#define F_ENABLEFLUSH V_ENABLEFLUSH(1U)
22640
22641#define S_ENABLEBYTEPERSIST 16
22642#define V_ENABLEBYTEPERSIST(x) ((x) << S_ENABLEBYTEPERSIST)
22643#define F_ENABLEBYTEPERSIST V_ENABLEBYTEPERSIST(1U)
22644
22645#define S_DISABLETMOCNG 15
22646#define V_DISABLETMOCNG(x) ((x) << S_DISABLETMOCNG)
22647#define F_DISABLETMOCNG V_DISABLETMOCNG(1U)
22648
22649#define S_TXREADAHEAD 14
22650#define V_TXREADAHEAD(x) ((x) << S_TXREADAHEAD)
22651#define F_TXREADAHEAD V_TXREADAHEAD(1U)
22652
22653#define S_ALLOWEXEPTION 13
22654#define V_ALLOWEXEPTION(x) ((x) << S_ALLOWEXEPTION)
22655#define F_ALLOWEXEPTION V_ALLOWEXEPTION(1U)
22656
22657#define S_ENABLEDEFERACK 12
22658#define V_ENABLEDEFERACK(x) ((x) << S_ENABLEDEFERACK)
22659#define F_ENABLEDEFERACK V_ENABLEDEFERACK(1U)
22660
22661#define S_ENABLEESND 11
22662#define V_ENABLEESND(x) ((x) << S_ENABLEESND)
22663#define F_ENABLEESND V_ENABLEESND(1U)
22664
22665#define S_ENABLECSND 10
22666#define V_ENABLECSND(x) ((x) << S_ENABLECSND)
22667#define F_ENABLECSND V_ENABLECSND(1U)
22668
22669#define S_ENABLEPDUE 9
22670#define V_ENABLEPDUE(x) ((x) << S_ENABLEPDUE)
22671#define F_ENABLEPDUE V_ENABLEPDUE(1U)
22672
22673#define S_ENABLEPDUC 8
22674#define V_ENABLEPDUC(x) ((x) << S_ENABLEPDUC)
22675#define F_ENABLEPDUC V_ENABLEPDUC(1U)
22676
22677#define S_ENABLEBUFI 7
22678#define V_ENABLEBUFI(x) ((x) << S_ENABLEBUFI)
22679#define F_ENABLEBUFI V_ENABLEBUFI(1U)
22680
22681#define S_ENABLEBUFE 6
22682#define V_ENABLEBUFE(x) ((x) << S_ENABLEBUFE)
22683#define F_ENABLEBUFE V_ENABLEBUFE(1U)
22684
22685#define S_ENABLEDEFER 5
22686#define V_ENABLEDEFER(x) ((x) << S_ENABLEDEFER)
22687#define F_ENABLEDEFER V_ENABLEDEFER(1U)
22688
22689#define S_ENABLECLEARRXMTOOS 4
22690#define V_ENABLECLEARRXMTOOS(x) ((x) << S_ENABLECLEARRXMTOOS)
22691#define F_ENABLECLEARRXMTOOS V_ENABLECLEARRXMTOOS(1U)
22692
22693#define S_DISABLEPDUCNG 3
22694#define V_DISABLEPDUCNG(x) ((x) << S_DISABLEPDUCNG)
22695#define F_DISABLEPDUCNG V_DISABLEPDUCNG(1U)
22696
22697#define S_DISABLEPDUTIMEOUT 2
22698#define V_DISABLEPDUTIMEOUT(x) ((x) << S_DISABLEPDUTIMEOUT)
22699#define F_DISABLEPDUTIMEOUT V_DISABLEPDUTIMEOUT(1U)
22700
22701#define S_DISABLEPDURXMT 1
22702#define V_DISABLEPDURXMT(x) ((x) << S_DISABLEPDURXMT)
22703#define F_DISABLEPDURXMT V_DISABLEPDURXMT(1U)
22704
22705#define S_DISABLEPDUXMT 0
22706#define V_DISABLEPDUXMT(x) ((x) << S_DISABLEPDUXMT)
22707#define F_DISABLEPDUXMT V_DISABLEPDUXMT(1U)
22708
22709#define S_DISABLEPDUACK 20
22710#define V_DISABLEPDUACK(x) ((x) << S_DISABLEPDUACK)
22711#define F_DISABLEPDUACK V_DISABLEPDUACK(1U)
22712
22713#define S_TXTCAMKEY 22
22714#define V_TXTCAMKEY(x) ((x) << S_TXTCAMKEY)
22715#define F_TXTCAMKEY V_TXTCAMKEY(1U)
22716
22717#define S_ENABLECBYP 21
22718#define V_ENABLECBYP(x) ((x) << S_ENABLECBYP)
22719#define F_ENABLECBYP V_ENABLECBYP(1U)
22720
22721#define A_TP_PARA_REG7 0x7d7c
22722
22723#define S_PMMAXXFERLEN1 16
22724#define M_PMMAXXFERLEN1 0xffffU
22725#define V_PMMAXXFERLEN1(x) ((x) << S_PMMAXXFERLEN1)
22726#define G_PMMAXXFERLEN1(x) (((x) >> S_PMMAXXFERLEN1) & M_PMMAXXFERLEN1)
22727
22728#define S_PMMAXXFERLEN0 0
22729#define M_PMMAXXFERLEN0 0xffffU
22730#define V_PMMAXXFERLEN0(x) ((x) << S_PMMAXXFERLEN0)
22731#define G_PMMAXXFERLEN0(x) (((x) >> S_PMMAXXFERLEN0) & M_PMMAXXFERLEN0)
22732
22733#define A_TP_ENG_CONFIG 0x7d80
22734
22735#define S_TABLELATENCYDONE 28
22736#define M_TABLELATENCYDONE 0xfU
22737#define V_TABLELATENCYDONE(x) ((x) << S_TABLELATENCYDONE)
22738#define G_TABLELATENCYDONE(x) (((x) >> S_TABLELATENCYDONE) & M_TABLELATENCYDONE)
22739
22740#define S_TABLELATENCYSTART 24
22741#define M_TABLELATENCYSTART 0xfU
22742#define V_TABLELATENCYSTART(x) ((x) << S_TABLELATENCYSTART)
22743#define G_TABLELATENCYSTART(x) (((x) >> S_TABLELATENCYSTART) & M_TABLELATENCYSTART)
22744
22745#define S_ENGINELATENCYDELTA 16
22746#define M_ENGINELATENCYDELTA 0xfU
22747#define V_ENGINELATENCYDELTA(x) ((x) << S_ENGINELATENCYDELTA)
22748#define G_ENGINELATENCYDELTA(x) (((x) >> S_ENGINELATENCYDELTA) & M_ENGINELATENCYDELTA)
22749
22750#define S_ENGINELATENCYMMGR 12
22751#define M_ENGINELATENCYMMGR 0xfU
22752#define V_ENGINELATENCYMMGR(x) ((x) << S_ENGINELATENCYMMGR)
22753#define G_ENGINELATENCYMMGR(x) (((x) >> S_ENGINELATENCYMMGR) & M_ENGINELATENCYMMGR)
22754
22755#define S_ENGINELATENCYWIREIP6 8
22756#define M_ENGINELATENCYWIREIP6 0xfU
22757#define V_ENGINELATENCYWIREIP6(x) ((x) << S_ENGINELATENCYWIREIP6)
22758#define G_ENGINELATENCYWIREIP6(x) (((x) >> S_ENGINELATENCYWIREIP6) & M_ENGINELATENCYWIREIP6)
22759
22760#define S_ENGINELATENCYWIRE 4
22761#define M_ENGINELATENCYWIRE 0xfU
22762#define V_ENGINELATENCYWIRE(x) ((x) << S_ENGINELATENCYWIRE)
22763#define G_ENGINELATENCYWIRE(x) (((x) >> S_ENGINELATENCYWIRE) & M_ENGINELATENCYWIRE)
22764
22765#define S_ENGINELATENCYBASE 0
22766#define M_ENGINELATENCYBASE 0xfU
22767#define V_ENGINELATENCYBASE(x) ((x) << S_ENGINELATENCYBASE)
22768#define G_ENGINELATENCYBASE(x) (((x) >> S_ENGINELATENCYBASE) & M_ENGINELATENCYBASE)
22769
22770#define A_TP_PARA_REG8 0x7d84
22771
22772#define S_ECNACKECT 2
22773#define V_ECNACKECT(x) ((x) << S_ECNACKECT)
22774#define F_ECNACKECT V_ECNACKECT(1U)
22775
22776#define S_ECNFINECT 1
22777#define V_ECNFINECT(x) ((x) << S_ECNFINECT)
22778#define F_ECNFINECT V_ECNFINECT(1U)
22779
22780#define S_ECNSYNECT 0
22781#define V_ECNSYNECT(x) ((x) << S_ECNSYNECT)
22782#define F_ECNSYNECT V_ECNSYNECT(1U)
22783
22784#define A_TP_ERR_CONFIG 0x7d8c
22785
22786#define S_TNLERRORPING 30
22787#define V_TNLERRORPING(x) ((x) << S_TNLERRORPING)
22788#define F_TNLERRORPING V_TNLERRORPING(1U)
22789
22790#define S_TNLERRORCSUM 29
22791#define V_TNLERRORCSUM(x) ((x) << S_TNLERRORCSUM)
22792#define F_TNLERRORCSUM V_TNLERRORCSUM(1U)
22793
22794#define S_TNLERRORCSUMIP 28
22795#define V_TNLERRORCSUMIP(x) ((x) << S_TNLERRORCSUMIP)
22796#define F_TNLERRORCSUMIP V_TNLERRORCSUMIP(1U)
22797
22798#define S_TNLERRORTCPOPT 25
22799#define V_TNLERRORTCPOPT(x) ((x) << S_TNLERRORTCPOPT)
22800#define F_TNLERRORTCPOPT V_TNLERRORTCPOPT(1U)
22801
22802#define S_TNLERRORPKTLEN 24
22803#define V_TNLERRORPKTLEN(x) ((x) << S_TNLERRORPKTLEN)
22804#define F_TNLERRORPKTLEN V_TNLERRORPKTLEN(1U)
22805
22806#define S_TNLERRORTCPHDRLEN 23
22807#define V_TNLERRORTCPHDRLEN(x) ((x) << S_TNLERRORTCPHDRLEN)
22808#define F_TNLERRORTCPHDRLEN V_TNLERRORTCPHDRLEN(1U)
22809
22810#define S_TNLERRORIPHDRLEN 22
22811#define V_TNLERRORIPHDRLEN(x) ((x) << S_TNLERRORIPHDRLEN)
22812#define F_TNLERRORIPHDRLEN V_TNLERRORIPHDRLEN(1U)
22813
22814#define S_TNLERRORETHHDRLEN 21
22815#define V_TNLERRORETHHDRLEN(x) ((x) << S_TNLERRORETHHDRLEN)
22816#define F_TNLERRORETHHDRLEN V_TNLERRORETHHDRLEN(1U)
22817
22818#define S_TNLERRORATTACK 20
22819#define V_TNLERRORATTACK(x) ((x) << S_TNLERRORATTACK)
22820#define F_TNLERRORATTACK V_TNLERRORATTACK(1U)
22821
22822#define S_TNLERRORFRAG 19
22823#define V_TNLERRORFRAG(x) ((x) << S_TNLERRORFRAG)
22824#define F_TNLERRORFRAG V_TNLERRORFRAG(1U)
22825
22826#define S_TNLERRORIPVER 18
22827#define V_TNLERRORIPVER(x) ((x) << S_TNLERRORIPVER)
22828#define F_TNLERRORIPVER V_TNLERRORIPVER(1U)
22829
22830#define S_TNLERRORMAC 17
22831#define V_TNLERRORMAC(x) ((x) << S_TNLERRORMAC)
22832#define F_TNLERRORMAC V_TNLERRORMAC(1U)
22833
22834#define S_TNLERRORANY 16
22835#define V_TNLERRORANY(x) ((x) << S_TNLERRORANY)
22836#define F_TNLERRORANY V_TNLERRORANY(1U)
22837
22838#define S_DROPERRORPING 14
22839#define V_DROPERRORPING(x) ((x) << S_DROPERRORPING)
22840#define F_DROPERRORPING V_DROPERRORPING(1U)
22841
22842#define S_DROPERRORCSUM 13
22843#define V_DROPERRORCSUM(x) ((x) << S_DROPERRORCSUM)
22844#define F_DROPERRORCSUM V_DROPERRORCSUM(1U)
22845
22846#define S_DROPERRORCSUMIP 12
22847#define V_DROPERRORCSUMIP(x) ((x) << S_DROPERRORCSUMIP)
22848#define F_DROPERRORCSUMIP V_DROPERRORCSUMIP(1U)
22849
22850#define S_DROPERRORTCPOPT 9
22851#define V_DROPERRORTCPOPT(x) ((x) << S_DROPERRORTCPOPT)
22852#define F_DROPERRORTCPOPT V_DROPERRORTCPOPT(1U)
22853
22854#define S_DROPERRORPKTLEN 8
22855#define V_DROPERRORPKTLEN(x) ((x) << S_DROPERRORPKTLEN)
22856#define F_DROPERRORPKTLEN V_DROPERRORPKTLEN(1U)
22857
22858#define S_DROPERRORTCPHDRLEN 7
22859#define V_DROPERRORTCPHDRLEN(x) ((x) << S_DROPERRORTCPHDRLEN)
22860#define F_DROPERRORTCPHDRLEN V_DROPERRORTCPHDRLEN(1U)
22861
22862#define S_DROPERRORIPHDRLEN 6
22863#define V_DROPERRORIPHDRLEN(x) ((x) << S_DROPERRORIPHDRLEN)
22864#define F_DROPERRORIPHDRLEN V_DROPERRORIPHDRLEN(1U)
22865
22866#define S_DROPERRORETHHDRLEN 5
22867#define V_DROPERRORETHHDRLEN(x) ((x) << S_DROPERRORETHHDRLEN)
22868#define F_DROPERRORETHHDRLEN V_DROPERRORETHHDRLEN(1U)
22869
22870#define S_DROPERRORATTACK 4
22871#define V_DROPERRORATTACK(x) ((x) << S_DROPERRORATTACK)
22872#define F_DROPERRORATTACK V_DROPERRORATTACK(1U)
22873
22874#define S_DROPERRORFRAG 3
22875#define V_DROPERRORFRAG(x) ((x) << S_DROPERRORFRAG)
22876#define F_DROPERRORFRAG V_DROPERRORFRAG(1U)
22877
22878#define S_DROPERRORIPVER 2
22879#define V_DROPERRORIPVER(x) ((x) << S_DROPERRORIPVER)
22880#define F_DROPERRORIPVER V_DROPERRORIPVER(1U)
22881
22882#define S_DROPERRORMAC 1
22883#define V_DROPERRORMAC(x) ((x) << S_DROPERRORMAC)
22884#define F_DROPERRORMAC V_DROPERRORMAC(1U)
22885
22886#define S_DROPERRORANY 0
22887#define V_DROPERRORANY(x) ((x) << S_DROPERRORANY)
22888#define F_DROPERRORANY V_DROPERRORANY(1U)
22889
22890#define S_TNLERRORFPMA 31
22891#define V_TNLERRORFPMA(x) ((x) << S_TNLERRORFPMA)
22892#define F_TNLERRORFPMA V_TNLERRORFPMA(1U)
22893
22894#define S_DROPERRORFPMA 15
22895#define V_DROPERRORFPMA(x) ((x) << S_DROPERRORFPMA)
22896#define F_DROPERRORFPMA V_DROPERRORFPMA(1U)
22897
22898#define S_TNLERROROPAQUE 27
22899#define V_TNLERROROPAQUE(x) ((x) << S_TNLERROROPAQUE)
22900#define F_TNLERROROPAQUE V_TNLERROROPAQUE(1U)
22901
22902#define S_TNLERRORIP6OPT 26
22903#define V_TNLERRORIP6OPT(x) ((x) << S_TNLERRORIP6OPT)
22904#define F_TNLERRORIP6OPT V_TNLERRORIP6OPT(1U)
22905
22906#define S_DROPERROROPAQUE 11
22907#define V_DROPERROROPAQUE(x) ((x) << S_DROPERROROPAQUE)
22908#define F_DROPERROROPAQUE V_DROPERROROPAQUE(1U)
22909
22910#define S_DROPERRORIP6OPT 10
22911#define V_DROPERRORIP6OPT(x) ((x) << S_DROPERRORIP6OPT)
22912#define F_DROPERRORIP6OPT V_DROPERRORIP6OPT(1U)
22913
22914#define A_TP_TIMER_RESOLUTION 0x7d90
22915
22916#define S_TIMERRESOLUTION 16
22917#define M_TIMERRESOLUTION 0xffU
22918#define V_TIMERRESOLUTION(x) ((x) << S_TIMERRESOLUTION)
22919#define G_TIMERRESOLUTION(x) (((x) >> S_TIMERRESOLUTION) & M_TIMERRESOLUTION)
22920
22921#define S_TIMESTAMPRESOLUTION 8
22922#define M_TIMESTAMPRESOLUTION 0xffU
22923#define V_TIMESTAMPRESOLUTION(x) ((x) << S_TIMESTAMPRESOLUTION)
22924#define G_TIMESTAMPRESOLUTION(x) (((x) >> S_TIMESTAMPRESOLUTION) & M_TIMESTAMPRESOLUTION)
22925
22926#define S_DELAYEDACKRESOLUTION 0
22927#define M_DELAYEDACKRESOLUTION 0xffU
22928#define V_DELAYEDACKRESOLUTION(x) ((x) << S_DELAYEDACKRESOLUTION)
22929#define G_DELAYEDACKRESOLUTION(x) (((x) >> S_DELAYEDACKRESOLUTION) & M_DELAYEDACKRESOLUTION)
22930
22931#define A_TP_MSL 0x7d94
22932
22933#define S_MSL 0
22934#define M_MSL 0x3fffffffU
22935#define V_MSL(x) ((x) << S_MSL)
22936#define G_MSL(x) (((x) >> S_MSL) & M_MSL)
22937
22938#define A_TP_RXT_MIN 0x7d98
22939
22940#define S_RXTMIN 0
22941#define M_RXTMIN 0x3fffffffU
22942#define V_RXTMIN(x) ((x) << S_RXTMIN)
22943#define G_RXTMIN(x) (((x) >> S_RXTMIN) & M_RXTMIN)
22944
22945#define A_TP_RXT_MAX 0x7d9c
22946
22947#define S_RXTMAX 0
22948#define M_RXTMAX 0x3fffffffU
22949#define V_RXTMAX(x) ((x) << S_RXTMAX)
22950#define G_RXTMAX(x) (((x) >> S_RXTMAX) & M_RXTMAX)
22951
22952#define A_TP_PERS_MIN 0x7da0
22953
22954#define S_PERSMIN 0
22955#define M_PERSMIN 0x3fffffffU
22956#define V_PERSMIN(x) ((x) << S_PERSMIN)
22957#define G_PERSMIN(x) (((x) >> S_PERSMIN) & M_PERSMIN)
22958
22959#define A_TP_PERS_MAX 0x7da4
22960
22961#define S_PERSMAX 0
22962#define M_PERSMAX 0x3fffffffU
22963#define V_PERSMAX(x) ((x) << S_PERSMAX)
22964#define G_PERSMAX(x) (((x) >> S_PERSMAX) & M_PERSMAX)
22965
22966#define A_TP_KEEP_IDLE 0x7da8
22967
22968#define S_KEEPALIVEIDLE 0
22969#define M_KEEPALIVEIDLE 0x3fffffffU
22970#define V_KEEPALIVEIDLE(x) ((x) << S_KEEPALIVEIDLE)
22971#define G_KEEPALIVEIDLE(x) (((x) >> S_KEEPALIVEIDLE) & M_KEEPALIVEIDLE)
22972
22973#define A_TP_KEEP_INTVL 0x7dac
22974
22975#define S_KEEPALIVEINTVL 0
22976#define M_KEEPALIVEINTVL 0x3fffffffU
22977#define V_KEEPALIVEINTVL(x) ((x) << S_KEEPALIVEINTVL)
22978#define G_KEEPALIVEINTVL(x) (((x) >> S_KEEPALIVEINTVL) & M_KEEPALIVEINTVL)
22979
22980#define A_TP_INIT_SRTT 0x7db0
22981
22982#define S_MAXRTT 16
22983#define M_MAXRTT 0xffffU
22984#define V_MAXRTT(x) ((x) << S_MAXRTT)
22985#define G_MAXRTT(x) (((x) >> S_MAXRTT) & M_MAXRTT)
22986
22987#define S_INITSRTT 0
22988#define M_INITSRTT 0xffffU
22989#define V_INITSRTT(x) ((x) << S_INITSRTT)
22990#define G_INITSRTT(x) (((x) >> S_INITSRTT) & M_INITSRTT)
22991
22992#define A_TP_DACK_TIMER 0x7db4
22993
22994#define S_DACKTIME 0
22995#define M_DACKTIME 0xfffU
22996#define V_DACKTIME(x) ((x) << S_DACKTIME)
22997#define G_DACKTIME(x) (((x) >> S_DACKTIME) & M_DACKTIME)
22998
22999#define A_TP_FINWAIT2_TIMER 0x7db8
23000
23001#define S_FINWAIT2TIME 0
23002#define M_FINWAIT2TIME 0x3fffffffU
23003#define V_FINWAIT2TIME(x) ((x) << S_FINWAIT2TIME)
23004#define G_FINWAIT2TIME(x) (((x) >> S_FINWAIT2TIME) & M_FINWAIT2TIME)
23005
23006#define A_TP_FAST_FINWAIT2_TIMER 0x7dbc
23007
23008#define S_FASTFINWAIT2TIME 0
23009#define M_FASTFINWAIT2TIME 0x3fffffffU
23010#define V_FASTFINWAIT2TIME(x) ((x) << S_FASTFINWAIT2TIME)
23011#define G_FASTFINWAIT2TIME(x) (((x) >> S_FASTFINWAIT2TIME) & M_FASTFINWAIT2TIME)
23012
23013#define A_TP_SHIFT_CNT 0x7dc0
23014
23015#define S_SYNSHIFTMAX 24
23016#define M_SYNSHIFTMAX 0xffU
23017#define V_SYNSHIFTMAX(x) ((x) << S_SYNSHIFTMAX)
23018#define G_SYNSHIFTMAX(x) (((x) >> S_SYNSHIFTMAX) & M_SYNSHIFTMAX)
23019
23020#define S_RXTSHIFTMAXR1 20
23021#define M_RXTSHIFTMAXR1 0xfU
23022#define V_RXTSHIFTMAXR1(x) ((x) << S_RXTSHIFTMAXR1)
23023#define G_RXTSHIFTMAXR1(x) (((x) >> S_RXTSHIFTMAXR1) & M_RXTSHIFTMAXR1)
23024
23025#define S_RXTSHIFTMAXR2 16
23026#define M_RXTSHIFTMAXR2 0xfU
23027#define V_RXTSHIFTMAXR2(x) ((x) << S_RXTSHIFTMAXR2)
23028#define G_RXTSHIFTMAXR2(x) (((x) >> S_RXTSHIFTMAXR2) & M_RXTSHIFTMAXR2)
23029
23030#define S_PERSHIFTBACKOFFMAX 12
23031#define M_PERSHIFTBACKOFFMAX 0xfU
23032#define V_PERSHIFTBACKOFFMAX(x) ((x) << S_PERSHIFTBACKOFFMAX)
23033#define G_PERSHIFTBACKOFFMAX(x) (((x) >> S_PERSHIFTBACKOFFMAX) & M_PERSHIFTBACKOFFMAX)
23034
23035#define S_PERSHIFTMAX 8
23036#define M_PERSHIFTMAX 0xfU
23037#define V_PERSHIFTMAX(x) ((x) << S_PERSHIFTMAX)
23038#define G_PERSHIFTMAX(x) (((x) >> S_PERSHIFTMAX) & M_PERSHIFTMAX)
23039
23040#define S_KEEPALIVEMAXR1 4
23041#define M_KEEPALIVEMAXR1 0xfU
23042#define V_KEEPALIVEMAXR1(x) ((x) << S_KEEPALIVEMAXR1)
23043#define G_KEEPALIVEMAXR1(x) (((x) >> S_KEEPALIVEMAXR1) & M_KEEPALIVEMAXR1)
23044
23045#define S_KEEPALIVEMAXR2 0
23046#define M_KEEPALIVEMAXR2 0xfU
23047#define V_KEEPALIVEMAXR2(x) ((x) << S_KEEPALIVEMAXR2)
23048#define G_KEEPALIVEMAXR2(x) (((x) >> S_KEEPALIVEMAXR2) & M_KEEPALIVEMAXR2)
23049
23050#define S_T6_SYNSHIFTMAX 24
23051#define M_T6_SYNSHIFTMAX 0xfU
23052#define V_T6_SYNSHIFTMAX(x) ((x) << S_T6_SYNSHIFTMAX)
23053#define G_T6_SYNSHIFTMAX(x) (((x) >> S_T6_SYNSHIFTMAX) & M_T6_SYNSHIFTMAX)
23054
23055#define A_TP_TM_CONFIG 0x7dc4
23056
23057#define S_CMTIMERMAXNUM 0
23058#define M_CMTIMERMAXNUM 0x7U
23059#define V_CMTIMERMAXNUM(x) ((x) << S_CMTIMERMAXNUM)
23060#define G_CMTIMERMAXNUM(x) (((x) >> S_CMTIMERMAXNUM) & M_CMTIMERMAXNUM)
23061
23062#define A_TP_TIME_LO 0x7dc8
23063#define A_TP_TIME_HI 0x7dcc
23064#define A_TP_PORT_MTU_0 0x7dd0
23065
23066#define S_PORT1MTUVALUE 16
23067#define M_PORT1MTUVALUE 0xffffU
23068#define V_PORT1MTUVALUE(x) ((x) << S_PORT1MTUVALUE)
23069#define G_PORT1MTUVALUE(x) (((x) >> S_PORT1MTUVALUE) & M_PORT1MTUVALUE)
23070
23071#define S_PORT0MTUVALUE 0
23072#define M_PORT0MTUVALUE 0xffffU
23073#define V_PORT0MTUVALUE(x) ((x) << S_PORT0MTUVALUE)
23074#define G_PORT0MTUVALUE(x) (((x) >> S_PORT0MTUVALUE) & M_PORT0MTUVALUE)
23075
23076#define A_TP_PORT_MTU_1 0x7dd4
23077
23078#define S_PORT3MTUVALUE 16
23079#define M_PORT3MTUVALUE 0xffffU
23080#define V_PORT3MTUVALUE(x) ((x) << S_PORT3MTUVALUE)
23081#define G_PORT3MTUVALUE(x) (((x) >> S_PORT3MTUVALUE) & M_PORT3MTUVALUE)
23082
23083#define S_PORT2MTUVALUE 0
23084#define M_PORT2MTUVALUE 0xffffU
23085#define V_PORT2MTUVALUE(x) ((x) << S_PORT2MTUVALUE)
23086#define G_PORT2MTUVALUE(x) (((x) >> S_PORT2MTUVALUE) & M_PORT2MTUVALUE)
23087
23088#define A_TP_PACE_TABLE 0x7dd8
23089#define A_TP_CCTRL_TABLE 0x7ddc
23090
23091#define S_ROWINDEX 16
23092#define M_ROWINDEX 0xffffU
23093#define V_ROWINDEX(x) ((x) << S_ROWINDEX)
23094#define G_ROWINDEX(x) (((x) >> S_ROWINDEX) & M_ROWINDEX)
23095
23096#define S_ROWVALUE 0
23097#define M_ROWVALUE 0xffffU
23098#define V_ROWVALUE(x) ((x) << S_ROWVALUE)
23099#define G_ROWVALUE(x) (((x) >> S_ROWVALUE) & M_ROWVALUE)
23100
23101#define A_TP_MTU_TABLE 0x7de4
23102
23103#define S_MTUINDEX 24
23104#define M_MTUINDEX 0xffU
23105#define V_MTUINDEX(x) ((x) << S_MTUINDEX)
23106#define G_MTUINDEX(x) (((x) >> S_MTUINDEX) & M_MTUINDEX)
23107
23108#define S_MTUWIDTH 16
23109#define M_MTUWIDTH 0xfU
23110#define V_MTUWIDTH(x) ((x) << S_MTUWIDTH)
23111#define G_MTUWIDTH(x) (((x) >> S_MTUWIDTH) & M_MTUWIDTH)
23112
23113#define S_MTUVALUE 0
23114#define M_MTUVALUE 0x3fffU
23115#define V_MTUVALUE(x) ((x) << S_MTUVALUE)
23116#define G_MTUVALUE(x) (((x) >> S_MTUVALUE) & M_MTUVALUE)
23117
23118#define A_TP_ULP_TABLE 0x7de8
23119
23120#define S_ULPTYPE7FIELD 28
23121#define M_ULPTYPE7FIELD 0xfU
23122#define V_ULPTYPE7FIELD(x) ((x) << S_ULPTYPE7FIELD)
23123#define G_ULPTYPE7FIELD(x) (((x) >> S_ULPTYPE7FIELD) & M_ULPTYPE7FIELD)
23124
23125#define S_ULPTYPE6FIELD 24
23126#define M_ULPTYPE6FIELD 0xfU
23127#define V_ULPTYPE6FIELD(x) ((x) << S_ULPTYPE6FIELD)
23128#define G_ULPTYPE6FIELD(x) (((x) >> S_ULPTYPE6FIELD) & M_ULPTYPE6FIELD)
23129
23130#define S_ULPTYPE5FIELD 20
23131#define M_ULPTYPE5FIELD 0xfU
23132#define V_ULPTYPE5FIELD(x) ((x) << S_ULPTYPE5FIELD)
23133#define G_ULPTYPE5FIELD(x) (((x) >> S_ULPTYPE5FIELD) & M_ULPTYPE5FIELD)
23134
23135#define S_ULPTYPE4FIELD 16
23136#define M_ULPTYPE4FIELD 0xfU
23137#define V_ULPTYPE4FIELD(x) ((x) << S_ULPTYPE4FIELD)
23138#define G_ULPTYPE4FIELD(x) (((x) >> S_ULPTYPE4FIELD) & M_ULPTYPE4FIELD)
23139
23140#define S_ULPTYPE3FIELD 12
23141#define M_ULPTYPE3FIELD 0xfU
23142#define V_ULPTYPE3FIELD(x) ((x) << S_ULPTYPE3FIELD)
23143#define G_ULPTYPE3FIELD(x) (((x) >> S_ULPTYPE3FIELD) & M_ULPTYPE3FIELD)
23144
23145#define S_ULPTYPE2FIELD 8
23146#define M_ULPTYPE2FIELD 0xfU
23147#define V_ULPTYPE2FIELD(x) ((x) << S_ULPTYPE2FIELD)
23148#define G_ULPTYPE2FIELD(x) (((x) >> S_ULPTYPE2FIELD) & M_ULPTYPE2FIELD)
23149
23150#define S_ULPTYPE1FIELD 4
23151#define M_ULPTYPE1FIELD 0xfU
23152#define V_ULPTYPE1FIELD(x) ((x) << S_ULPTYPE1FIELD)
23153#define G_ULPTYPE1FIELD(x) (((x) >> S_ULPTYPE1FIELD) & M_ULPTYPE1FIELD)
23154
23155#define S_ULPTYPE0FIELD 0
23156#define M_ULPTYPE0FIELD 0xfU
23157#define V_ULPTYPE0FIELD(x) ((x) << S_ULPTYPE0FIELD)
23158#define G_ULPTYPE0FIELD(x) (((x) >> S_ULPTYPE0FIELD) & M_ULPTYPE0FIELD)
23159
23160#define S_ULPTYPE7LENGTH 31
23161#define V_ULPTYPE7LENGTH(x) ((x) << S_ULPTYPE7LENGTH)
23162#define F_ULPTYPE7LENGTH V_ULPTYPE7LENGTH(1U)
23163
23164#define S_ULPTYPE7OFFSET 28
23165#define M_ULPTYPE7OFFSET 0x7U
23166#define V_ULPTYPE7OFFSET(x) ((x) << S_ULPTYPE7OFFSET)
23167#define G_ULPTYPE7OFFSET(x) (((x) >> S_ULPTYPE7OFFSET) & M_ULPTYPE7OFFSET)
23168
23169#define S_ULPTYPE6LENGTH 27
23170#define V_ULPTYPE6LENGTH(x) ((x) << S_ULPTYPE6LENGTH)
23171#define F_ULPTYPE6LENGTH V_ULPTYPE6LENGTH(1U)
23172
23173#define S_ULPTYPE6OFFSET 24
23174#define M_ULPTYPE6OFFSET 0x7U
23175#define V_ULPTYPE6OFFSET(x) ((x) << S_ULPTYPE6OFFSET)
23176#define G_ULPTYPE6OFFSET(x) (((x) >> S_ULPTYPE6OFFSET) & M_ULPTYPE6OFFSET)
23177
23178#define S_ULPTYPE5LENGTH 23
23179#define V_ULPTYPE5LENGTH(x) ((x) << S_ULPTYPE5LENGTH)
23180#define F_ULPTYPE5LENGTH V_ULPTYPE5LENGTH(1U)
23181
23182#define S_ULPTYPE5OFFSET 20
23183#define M_ULPTYPE5OFFSET 0x7U
23184#define V_ULPTYPE5OFFSET(x) ((x) << S_ULPTYPE5OFFSET)
23185#define G_ULPTYPE5OFFSET(x) (((x) >> S_ULPTYPE5OFFSET) & M_ULPTYPE5OFFSET)
23186
23187#define S_ULPTYPE4LENGTH 19
23188#define V_ULPTYPE4LENGTH(x) ((x) << S_ULPTYPE4LENGTH)
23189#define F_ULPTYPE4LENGTH V_ULPTYPE4LENGTH(1U)
23190
23191#define S_ULPTYPE4OFFSET 16
23192#define M_ULPTYPE4OFFSET 0x7U
23193#define V_ULPTYPE4OFFSET(x) ((x) << S_ULPTYPE4OFFSET)
23194#define G_ULPTYPE4OFFSET(x) (((x) >> S_ULPTYPE4OFFSET) & M_ULPTYPE4OFFSET)
23195
23196#define S_ULPTYPE3LENGTH 15
23197#define V_ULPTYPE3LENGTH(x) ((x) << S_ULPTYPE3LENGTH)
23198#define F_ULPTYPE3LENGTH V_ULPTYPE3LENGTH(1U)
23199
23200#define S_ULPTYPE3OFFSET 12
23201#define M_ULPTYPE3OFFSET 0x7U
23202#define V_ULPTYPE3OFFSET(x) ((x) << S_ULPTYPE3OFFSET)
23203#define G_ULPTYPE3OFFSET(x) (((x) >> S_ULPTYPE3OFFSET) & M_ULPTYPE3OFFSET)
23204
23205#define S_ULPTYPE2LENGTH 11
23206#define V_ULPTYPE2LENGTH(x) ((x) << S_ULPTYPE2LENGTH)
23207#define F_ULPTYPE2LENGTH V_ULPTYPE2LENGTH(1U)
23208
23209#define S_ULPTYPE2OFFSET 8
23210#define M_ULPTYPE2OFFSET 0x7U
23211#define V_ULPTYPE2OFFSET(x) ((x) << S_ULPTYPE2OFFSET)
23212#define G_ULPTYPE2OFFSET(x) (((x) >> S_ULPTYPE2OFFSET) & M_ULPTYPE2OFFSET)
23213
23214#define S_ULPTYPE1LENGTH 7
23215#define V_ULPTYPE1LENGTH(x) ((x) << S_ULPTYPE1LENGTH)
23216#define F_ULPTYPE1LENGTH V_ULPTYPE1LENGTH(1U)
23217
23218#define S_ULPTYPE1OFFSET 4
23219#define M_ULPTYPE1OFFSET 0x7U
23220#define V_ULPTYPE1OFFSET(x) ((x) << S_ULPTYPE1OFFSET)
23221#define G_ULPTYPE1OFFSET(x) (((x) >> S_ULPTYPE1OFFSET) & M_ULPTYPE1OFFSET)
23222
23223#define S_ULPTYPE0LENGTH 3
23224#define V_ULPTYPE0LENGTH(x) ((x) << S_ULPTYPE0LENGTH)
23225#define F_ULPTYPE0LENGTH V_ULPTYPE0LENGTH(1U)
23226
23227#define S_ULPTYPE0OFFSET 0
23228#define M_ULPTYPE0OFFSET 0x7U
23229#define V_ULPTYPE0OFFSET(x) ((x) << S_ULPTYPE0OFFSET)
23230#define G_ULPTYPE0OFFSET(x) (((x) >> S_ULPTYPE0OFFSET) & M_ULPTYPE0OFFSET)
23231
23232#define A_TP_RSS_LKP_TABLE 0x7dec
23233
23234#define S_LKPTBLROWVLD 31
23235#define V_LKPTBLROWVLD(x) ((x) << S_LKPTBLROWVLD)
23236#define F_LKPTBLROWVLD V_LKPTBLROWVLD(1U)
23237
23238#define S_LKPTBLROWIDX 20
23239#define M_LKPTBLROWIDX 0x3ffU
23240#define V_LKPTBLROWIDX(x) ((x) << S_LKPTBLROWIDX)
23241#define G_LKPTBLROWIDX(x) (((x) >> S_LKPTBLROWIDX) & M_LKPTBLROWIDX)
23242
23243#define S_LKPTBLQUEUE1 10
23244#define M_LKPTBLQUEUE1 0x3ffU
23245#define V_LKPTBLQUEUE1(x) ((x) << S_LKPTBLQUEUE1)
23246#define G_LKPTBLQUEUE1(x) (((x) >> S_LKPTBLQUEUE1) & M_LKPTBLQUEUE1)
23247
23248#define S_LKPTBLQUEUE0 0
23249#define M_LKPTBLQUEUE0 0x3ffU
23250#define V_LKPTBLQUEUE0(x) ((x) << S_LKPTBLQUEUE0)
23251#define G_LKPTBLQUEUE0(x) (((x) >> S_LKPTBLQUEUE0) & M_LKPTBLQUEUE0)
23252
23253#define S_T6_LKPTBLROWIDX 20
23254#define M_T6_LKPTBLROWIDX 0x7ffU
23255#define V_T6_LKPTBLROWIDX(x) ((x) << S_T6_LKPTBLROWIDX)
23256#define G_T6_LKPTBLROWIDX(x) (((x) >> S_T6_LKPTBLROWIDX) & M_T6_LKPTBLROWIDX)
23257
23258#define A_TP_RSS_CONFIG 0x7df0
23259
23260#define S_TNL4TUPENIPV6 31
23261#define V_TNL4TUPENIPV6(x) ((x) << S_TNL4TUPENIPV6)
23262#define F_TNL4TUPENIPV6 V_TNL4TUPENIPV6(1U)
23263
23264#define S_TNL2TUPENIPV6 30
23265#define V_TNL2TUPENIPV6(x) ((x) << S_TNL2TUPENIPV6)
23266#define F_TNL2TUPENIPV6 V_TNL2TUPENIPV6(1U)
23267
23268#define S_TNL4TUPENIPV4 29
23269#define V_TNL4TUPENIPV4(x) ((x) << S_TNL4TUPENIPV4)
23270#define F_TNL4TUPENIPV4 V_TNL4TUPENIPV4(1U)
23271
23272#define S_TNL2TUPENIPV4 28
23273#define V_TNL2TUPENIPV4(x) ((x) << S_TNL2TUPENIPV4)
23274#define F_TNL2TUPENIPV4 V_TNL2TUPENIPV4(1U)
23275
23276#define S_TNLTCPSEL 27
23277#define V_TNLTCPSEL(x) ((x) << S_TNLTCPSEL)
23278#define F_TNLTCPSEL V_TNLTCPSEL(1U)
23279
23280#define S_TNLIP6SEL 26
23281#define V_TNLIP6SEL(x) ((x) << S_TNLIP6SEL)
23282#define F_TNLIP6SEL V_TNLIP6SEL(1U)
23283
23284#define S_TNLVRTSEL 25
23285#define V_TNLVRTSEL(x) ((x) << S_TNLVRTSEL)
23286#define F_TNLVRTSEL V_TNLVRTSEL(1U)
23287
23288#define S_TNLMAPEN 24
23289#define V_TNLMAPEN(x) ((x) << S_TNLMAPEN)
23290#define F_TNLMAPEN V_TNLMAPEN(1U)
23291
23292#define S_OFDHASHSAVE 19
23293#define V_OFDHASHSAVE(x) ((x) << S_OFDHASHSAVE)
23294#define F_OFDHASHSAVE V_OFDHASHSAVE(1U)
23295
23296#define S_OFDVRTSEL 18
23297#define V_OFDVRTSEL(x) ((x) << S_OFDVRTSEL)
23298#define F_OFDVRTSEL V_OFDVRTSEL(1U)
23299
23300#define S_OFDMAPEN 17
23301#define V_OFDMAPEN(x) ((x) << S_OFDMAPEN)
23302#define F_OFDMAPEN V_OFDMAPEN(1U)
23303
23304#define S_OFDLKPEN 16
23305#define V_OFDLKPEN(x) ((x) << S_OFDLKPEN)
23306#define F_OFDLKPEN V_OFDLKPEN(1U)
23307
23308#define S_SYN4TUPENIPV6 15
23309#define V_SYN4TUPENIPV6(x) ((x) << S_SYN4TUPENIPV6)
23310#define F_SYN4TUPENIPV6 V_SYN4TUPENIPV6(1U)
23311
23312#define S_SYN2TUPENIPV6 14
23313#define V_SYN2TUPENIPV6(x) ((x) << S_SYN2TUPENIPV6)
23314#define F_SYN2TUPENIPV6 V_SYN2TUPENIPV6(1U)
23315
23316#define S_SYN4TUPENIPV4 13
23317#define V_SYN4TUPENIPV4(x) ((x) << S_SYN4TUPENIPV4)
23318#define F_SYN4TUPENIPV4 V_SYN4TUPENIPV4(1U)
23319
23320#define S_SYN2TUPENIPV4 12
23321#define V_SYN2TUPENIPV4(x) ((x) << S_SYN2TUPENIPV4)
23322#define F_SYN2TUPENIPV4 V_SYN2TUPENIPV4(1U)
23323
23324#define S_SYNIP6SEL 11
23325#define V_SYNIP6SEL(x) ((x) << S_SYNIP6SEL)
23326#define F_SYNIP6SEL V_SYNIP6SEL(1U)
23327
23328#define S_SYNVRTSEL 10
23329#define V_SYNVRTSEL(x) ((x) << S_SYNVRTSEL)
23330#define F_SYNVRTSEL V_SYNVRTSEL(1U)
23331
23332#define S_SYNMAPEN 9
23333#define V_SYNMAPEN(x) ((x) << S_SYNMAPEN)
23334#define F_SYNMAPEN V_SYNMAPEN(1U)
23335
23336#define S_SYNLKPEN 8
23337#define V_SYNLKPEN(x) ((x) << S_SYNLKPEN)
23338#define F_SYNLKPEN V_SYNLKPEN(1U)
23339
23340#define S_CHANNELENABLE 7
23341#define V_CHANNELENABLE(x) ((x) << S_CHANNELENABLE)
23342#define F_CHANNELENABLE V_CHANNELENABLE(1U)
23343
23344#define S_PORTENABLE 6
23345#define V_PORTENABLE(x) ((x) << S_PORTENABLE)
23346#define F_PORTENABLE V_PORTENABLE(1U)
23347
23348#define S_TNLALLLOOKUP 5
23349#define V_TNLALLLOOKUP(x) ((x) << S_TNLALLLOOKUP)
23350#define F_TNLALLLOOKUP V_TNLALLLOOKUP(1U)
23351
23352#define S_VIRTENABLE 4
23353#define V_VIRTENABLE(x) ((x) << S_VIRTENABLE)
23354#define F_VIRTENABLE V_VIRTENABLE(1U)
23355
23356#define S_CONGESTIONENABLE 3
23357#define V_CONGESTIONENABLE(x) ((x) << S_CONGESTIONENABLE)
23358#define F_CONGESTIONENABLE V_CONGESTIONENABLE(1U)
23359
23360#define S_HASHTOEPLITZ 2
23361#define V_HASHTOEPLITZ(x) ((x) << S_HASHTOEPLITZ)
23362#define F_HASHTOEPLITZ V_HASHTOEPLITZ(1U)
23363
23364#define S_UDPENABLE 1
23365#define V_UDPENABLE(x) ((x) << S_UDPENABLE)
23366#define F_UDPENABLE V_UDPENABLE(1U)
23367
23368#define S_DISABLE 0
23369#define V_DISABLE(x) ((x) << S_DISABLE)
23370#define F_DISABLE V_DISABLE(1U)
23371
23372#define S_TNLFCOEMODE 23
23373#define V_TNLFCOEMODE(x) ((x) << S_TNLFCOEMODE)
23374#define F_TNLFCOEMODE V_TNLFCOEMODE(1U)
23375
23376#define S_TNLFCOEEN 21
23377#define V_TNLFCOEEN(x) ((x) << S_TNLFCOEEN)
23378#define F_TNLFCOEEN V_TNLFCOEEN(1U)
23379
23380#define S_HASHXOR 20
23381#define V_HASHXOR(x) ((x) << S_HASHXOR)
23382#define F_HASHXOR V_HASHXOR(1U)
23383
23384#define S_TNLFCOESID 22
23385#define V_TNLFCOESID(x) ((x) << S_TNLFCOESID)
23386#define F_TNLFCOESID V_TNLFCOESID(1U)
23387
23388#define A_TP_RSS_CONFIG_TNL 0x7df4
23389
23390#define S_MASKSIZE 28
23391#define M_MASKSIZE 0xfU
23392#define V_MASKSIZE(x) ((x) << S_MASKSIZE)
23393#define G_MASKSIZE(x) (((x) >> S_MASKSIZE) & M_MASKSIZE)
23394
23395#define S_MASKFILTER 16
23396#define M_MASKFILTER 0x7ffU
23397#define V_MASKFILTER(x) ((x) << S_MASKFILTER)
23398#define G_MASKFILTER(x) (((x) >> S_MASKFILTER) & M_MASKFILTER)
23399
23400#define S_USEWIRECH 0
23401#define V_USEWIRECH(x) ((x) << S_USEWIRECH)
23402#define F_USEWIRECH V_USEWIRECH(1U)
23403
23404#define S_HASHALL 2
23405#define V_HASHALL(x) ((x) << S_HASHALL)
23406#define F_HASHALL V_HASHALL(1U)
23407
23408#define S_HASHETH 1
23409#define V_HASHETH(x) ((x) << S_HASHETH)
23410#define F_HASHETH V_HASHETH(1U)
23411
23412#define A_TP_RSS_CONFIG_OFD 0x7df8
23413
23414#define S_RRCPLMAPEN 20
23415#define V_RRCPLMAPEN(x) ((x) << S_RRCPLMAPEN)
23416#define F_RRCPLMAPEN V_RRCPLMAPEN(1U)
23417
23418#define S_RRCPLQUEWIDTH 16
23419#define M_RRCPLQUEWIDTH 0xfU
23420#define V_RRCPLQUEWIDTH(x) ((x) << S_RRCPLQUEWIDTH)
23421#define G_RRCPLQUEWIDTH(x) (((x) >> S_RRCPLQUEWIDTH) & M_RRCPLQUEWIDTH)
23422
23423#define S_FRMWRQUEMASK 12
23424#define M_FRMWRQUEMASK 0xfU
23425#define V_FRMWRQUEMASK(x) ((x) << S_FRMWRQUEMASK)
23426#define G_FRMWRQUEMASK(x) (((x) >> S_FRMWRQUEMASK) & M_FRMWRQUEMASK)
23427
23428#define A_TP_RSS_CONFIG_SYN 0x7dfc
23429#define A_TP_RSS_CONFIG_VRT 0x7e00
23430
23431#define S_VFRDRG 25
23432#define V_VFRDRG(x) ((x) << S_VFRDRG)
23433#define F_VFRDRG V_VFRDRG(1U)
23434
23435#define S_VFRDEN 24
23436#define V_VFRDEN(x) ((x) << S_VFRDEN)
23437#define F_VFRDEN V_VFRDEN(1U)
23438
23439#define S_VFPERREN 23
23440#define V_VFPERREN(x) ((x) << S_VFPERREN)
23441#define F_VFPERREN V_VFPERREN(1U)
23442
23443#define S_KEYPERREN 22
23444#define V_KEYPERREN(x) ((x) << S_KEYPERREN)
23445#define F_KEYPERREN V_KEYPERREN(1U)
23446
23447#define S_DISABLEVLAN 21
23448#define V_DISABLEVLAN(x) ((x) << S_DISABLEVLAN)
23449#define F_DISABLEVLAN V_DISABLEVLAN(1U)
23450
23451#define S_ENABLEUP0 20
23452#define V_ENABLEUP0(x) ((x) << S_ENABLEUP0)
23453#define F_ENABLEUP0 V_ENABLEUP0(1U)
23454
23455#define S_HASHDELAY 16
23456#define M_HASHDELAY 0xfU
23457#define V_HASHDELAY(x) ((x) << S_HASHDELAY)
23458#define G_HASHDELAY(x) (((x) >> S_HASHDELAY) & M_HASHDELAY)
23459
23460#define S_VFWRADDR 8
23461#define M_VFWRADDR 0x7fU
23462#define V_VFWRADDR(x) ((x) << S_VFWRADDR)
23463#define G_VFWRADDR(x) (((x) >> S_VFWRADDR) & M_VFWRADDR)
23464
23465#define S_KEYMODE 6
23466#define M_KEYMODE 0x3U
23467#define V_KEYMODE(x) ((x) << S_KEYMODE)
23468#define G_KEYMODE(x) (((x) >> S_KEYMODE) & M_KEYMODE)
23469
23470#define S_VFWREN 5
23471#define V_VFWREN(x) ((x) << S_VFWREN)
23472#define F_VFWREN V_VFWREN(1U)
23473
23474#define S_KEYWREN 4
23475#define V_KEYWREN(x) ((x) << S_KEYWREN)
23476#define F_KEYWREN V_KEYWREN(1U)
23477
23478#define S_KEYWRADDR 0
23479#define M_KEYWRADDR 0xfU
23480#define V_KEYWRADDR(x) ((x) << S_KEYWRADDR)
23481#define G_KEYWRADDR(x) (((x) >> S_KEYWRADDR) & M_KEYWRADDR)
23482
23483#define S_VFVLANEN 21
23484#define V_VFVLANEN(x) ((x) << S_VFVLANEN)
23485#define F_VFVLANEN V_VFVLANEN(1U)
23486
23487#define S_VFFWEN 20
23488#define V_VFFWEN(x) ((x) << S_VFFWEN)
23489#define F_VFFWEN V_VFFWEN(1U)
23490
23491#define S_KEYWRADDRX 30
23492#define M_KEYWRADDRX 0x3U
23493#define V_KEYWRADDRX(x) ((x) << S_KEYWRADDRX)
23494#define G_KEYWRADDRX(x) (((x) >> S_KEYWRADDRX) & M_KEYWRADDRX)
23495
23496#define S_KEYEXTEND 26
23497#define V_KEYEXTEND(x) ((x) << S_KEYEXTEND)
23498#define F_KEYEXTEND V_KEYEXTEND(1U)
23499
23500#define S_T6_VFWRADDR 8
23501#define M_T6_VFWRADDR 0xffU
23502#define V_T6_VFWRADDR(x) ((x) << S_T6_VFWRADDR)
23503#define G_T6_VFWRADDR(x) (((x) >> S_T6_VFWRADDR) & M_T6_VFWRADDR)
23504
23505#define A_TP_RSS_CONFIG_CNG 0x7e04
23506
23507#define S_CHNCOUNT3 31
23508#define V_CHNCOUNT3(x) ((x) << S_CHNCOUNT3)
23509#define F_CHNCOUNT3 V_CHNCOUNT3(1U)
23510
23511#define S_CHNCOUNT2 30
23512#define V_CHNCOUNT2(x) ((x) << S_CHNCOUNT2)
23513#define F_CHNCOUNT2 V_CHNCOUNT2(1U)
23514
23515#define S_CHNCOUNT1 29
23516#define V_CHNCOUNT1(x) ((x) << S_CHNCOUNT1)
23517#define F_CHNCOUNT1 V_CHNCOUNT1(1U)
23518
23519#define S_CHNCOUNT0 28
23520#define V_CHNCOUNT0(x) ((x) << S_CHNCOUNT0)
23521#define F_CHNCOUNT0 V_CHNCOUNT0(1U)
23522
23523#define S_CHNUNDFLOW3 27
23524#define V_CHNUNDFLOW3(x) ((x) << S_CHNUNDFLOW3)
23525#define F_CHNUNDFLOW3 V_CHNUNDFLOW3(1U)
23526
23527#define S_CHNUNDFLOW2 26
23528#define V_CHNUNDFLOW2(x) ((x) << S_CHNUNDFLOW2)
23529#define F_CHNUNDFLOW2 V_CHNUNDFLOW2(1U)
23530
23531#define S_CHNUNDFLOW1 25
23532#define V_CHNUNDFLOW1(x) ((x) << S_CHNUNDFLOW1)
23533#define F_CHNUNDFLOW1 V_CHNUNDFLOW1(1U)
23534
23535#define S_CHNUNDFLOW0 24
23536#define V_CHNUNDFLOW0(x) ((x) << S_CHNUNDFLOW0)
23537#define F_CHNUNDFLOW0 V_CHNUNDFLOW0(1U)
23538
23539#define S_CHNOVRFLOW3 23
23540#define V_CHNOVRFLOW3(x) ((x) << S_CHNOVRFLOW3)
23541#define F_CHNOVRFLOW3 V_CHNOVRFLOW3(1U)
23542
23543#define S_CHNOVRFLOW2 22
23544#define V_CHNOVRFLOW2(x) ((x) << S_CHNOVRFLOW2)
23545#define F_CHNOVRFLOW2 V_CHNOVRFLOW2(1U)
23546
23547#define S_CHNOVRFLOW1 21
23548#define V_CHNOVRFLOW1(x) ((x) << S_CHNOVRFLOW1)
23549#define F_CHNOVRFLOW1 V_CHNOVRFLOW1(1U)
23550
23551#define S_CHNOVRFLOW0 20
23552#define V_CHNOVRFLOW0(x) ((x) << S_CHNOVRFLOW0)
23553#define F_CHNOVRFLOW0 V_CHNOVRFLOW0(1U)
23554
23555#define S_RSTCHN3 19
23556#define V_RSTCHN3(x) ((x) << S_RSTCHN3)
23557#define F_RSTCHN3 V_RSTCHN3(1U)
23558
23559#define S_RSTCHN2 18
23560#define V_RSTCHN2(x) ((x) << S_RSTCHN2)
23561#define F_RSTCHN2 V_RSTCHN2(1U)
23562
23563#define S_RSTCHN1 17
23564#define V_RSTCHN1(x) ((x) << S_RSTCHN1)
23565#define F_RSTCHN1 V_RSTCHN1(1U)
23566
23567#define S_RSTCHN0 16
23568#define V_RSTCHN0(x) ((x) << S_RSTCHN0)
23569#define F_RSTCHN0 V_RSTCHN0(1U)
23570
23571#define S_UPDVLD 15
23572#define V_UPDVLD(x) ((x) << S_UPDVLD)
23573#define F_UPDVLD V_UPDVLD(1U)
23574
23575#define S_XOFF 14
23576#define V_XOFF(x) ((x) << S_XOFF)
23577#define F_XOFF V_XOFF(1U)
23578
23579#define S_UPDCHN3 13
23580#define V_UPDCHN3(x) ((x) << S_UPDCHN3)
23581#define F_UPDCHN3 V_UPDCHN3(1U)
23582
23583#define S_UPDCHN2 12
23584#define V_UPDCHN2(x) ((x) << S_UPDCHN2)
23585#define F_UPDCHN2 V_UPDCHN2(1U)
23586
23587#define S_UPDCHN1 11
23588#define V_UPDCHN1(x) ((x) << S_UPDCHN1)
23589#define F_UPDCHN1 V_UPDCHN1(1U)
23590
23591#define S_UPDCHN0 10
23592#define V_UPDCHN0(x) ((x) << S_UPDCHN0)
23593#define F_UPDCHN0 V_UPDCHN0(1U)
23594
23595#define S_QUEUE 0
23596#define M_QUEUE 0x3ffU
23597#define V_QUEUE(x) ((x) << S_QUEUE)
23598#define G_QUEUE(x) (((x) >> S_QUEUE) & M_QUEUE)
23599
23600#define A_TP_LA_TABLE_0 0x7e10
23601
23602#define S_VIRTPORT1TABLE 16
23603#define M_VIRTPORT1TABLE 0xffffU
23604#define V_VIRTPORT1TABLE(x) ((x) << S_VIRTPORT1TABLE)
23605#define G_VIRTPORT1TABLE(x) (((x) >> S_VIRTPORT1TABLE) & M_VIRTPORT1TABLE)
23606
23607#define S_VIRTPORT0TABLE 0
23608#define M_VIRTPORT0TABLE 0xffffU
23609#define V_VIRTPORT0TABLE(x) ((x) << S_VIRTPORT0TABLE)
23610#define G_VIRTPORT0TABLE(x) (((x) >> S_VIRTPORT0TABLE) & M_VIRTPORT0TABLE)
23611
23612#define A_TP_LA_TABLE_1 0x7e14
23613
23614#define S_VIRTPORT3TABLE 16
23615#define M_VIRTPORT3TABLE 0xffffU
23616#define V_VIRTPORT3TABLE(x) ((x) << S_VIRTPORT3TABLE)
23617#define G_VIRTPORT3TABLE(x) (((x) >> S_VIRTPORT3TABLE) & M_VIRTPORT3TABLE)
23618
23619#define S_VIRTPORT2TABLE 0
23620#define M_VIRTPORT2TABLE 0xffffU
23621#define V_VIRTPORT2TABLE(x) ((x) << S_VIRTPORT2TABLE)
23622#define G_VIRTPORT2TABLE(x) (((x) >> S_VIRTPORT2TABLE) & M_VIRTPORT2TABLE)
23623
23624#define A_TP_TM_PIO_ADDR 0x7e18
23625#define A_TP_TM_PIO_DATA 0x7e1c
23626#define A_TP_MOD_CONFIG 0x7e24
23627
23628#define S_RXCHANNELWEIGHT1 24
23629#define M_RXCHANNELWEIGHT1 0xffU
23630#define V_RXCHANNELWEIGHT1(x) ((x) << S_RXCHANNELWEIGHT1)
23631#define G_RXCHANNELWEIGHT1(x) (((x) >> S_RXCHANNELWEIGHT1) & M_RXCHANNELWEIGHT1)
23632
23633#define S_RXCHANNELWEIGHT0 16
23634#define M_RXCHANNELWEIGHT0 0xffU
23635#define V_RXCHANNELWEIGHT0(x) ((x) << S_RXCHANNELWEIGHT0)
23636#define G_RXCHANNELWEIGHT0(x) (((x) >> S_RXCHANNELWEIGHT0) & M_RXCHANNELWEIGHT0)
23637
23638#define S_TIMERMODE 8
23639#define M_TIMERMODE 0xffU
23640#define V_TIMERMODE(x) ((x) << S_TIMERMODE)
23641#define G_TIMERMODE(x) (((x) >> S_TIMERMODE) & M_TIMERMODE)
23642
23643#define S_TXCHANNELXOFFEN 0
23644#define M_TXCHANNELXOFFEN 0xfU
23645#define V_TXCHANNELXOFFEN(x) ((x) << S_TXCHANNELXOFFEN)
23646#define G_TXCHANNELXOFFEN(x) (((x) >> S_TXCHANNELXOFFEN) & M_TXCHANNELXOFFEN)
23647
23648#define A_TP_TX_MOD_QUEUE_REQ_MAP 0x7e28
23649
23650#define S_RX_MOD_WEIGHT 24
23651#define M_RX_MOD_WEIGHT 0xffU
23652#define V_RX_MOD_WEIGHT(x) ((x) << S_RX_MOD_WEIGHT)
23653#define G_RX_MOD_WEIGHT(x) (((x) >> S_RX_MOD_WEIGHT) & M_RX_MOD_WEIGHT)
23654
23655#define S_TX_MOD_WEIGHT 16
23656#define M_TX_MOD_WEIGHT 0xffU
23657#define V_TX_MOD_WEIGHT(x) ((x) << S_TX_MOD_WEIGHT)
23658#define G_TX_MOD_WEIGHT(x) (((x) >> S_TX_MOD_WEIGHT) & M_TX_MOD_WEIGHT)
23659
23660#define S_TX_MOD_QUEUE_REQ_MAP 0
23661#define M_TX_MOD_QUEUE_REQ_MAP 0xffffU
23662#define V_TX_MOD_QUEUE_REQ_MAP(x) ((x) << S_TX_MOD_QUEUE_REQ_MAP)
23663#define G_TX_MOD_QUEUE_REQ_MAP(x) (((x) >> S_TX_MOD_QUEUE_REQ_MAP) & M_TX_MOD_QUEUE_REQ_MAP)
23664
23665#define A_TP_TX_MOD_QUEUE_WEIGHT1 0x7e2c
23666
23667#define S_TX_MODQ_WEIGHT7 24
23668#define M_TX_MODQ_WEIGHT7 0xffU
23669#define V_TX_MODQ_WEIGHT7(x) ((x) << S_TX_MODQ_WEIGHT7)
23670#define G_TX_MODQ_WEIGHT7(x) (((x) >> S_TX_MODQ_WEIGHT7) & M_TX_MODQ_WEIGHT7)
23671
23672#define S_TX_MODQ_WEIGHT6 16
23673#define M_TX_MODQ_WEIGHT6 0xffU
23674#define V_TX_MODQ_WEIGHT6(x) ((x) << S_TX_MODQ_WEIGHT6)
23675#define G_TX_MODQ_WEIGHT6(x) (((x) >> S_TX_MODQ_WEIGHT6) & M_TX_MODQ_WEIGHT6)
23676
23677#define S_TX_MODQ_WEIGHT5 8
23678#define M_TX_MODQ_WEIGHT5 0xffU
23679#define V_TX_MODQ_WEIGHT5(x) ((x) << S_TX_MODQ_WEIGHT5)
23680#define G_TX_MODQ_WEIGHT5(x) (((x) >> S_TX_MODQ_WEIGHT5) & M_TX_MODQ_WEIGHT5)
23681
23682#define S_TX_MODQ_WEIGHT4 0
23683#define M_TX_MODQ_WEIGHT4 0xffU
23684#define V_TX_MODQ_WEIGHT4(x) ((x) << S_TX_MODQ_WEIGHT4)
23685#define G_TX_MODQ_WEIGHT4(x) (((x) >> S_TX_MODQ_WEIGHT4) & M_TX_MODQ_WEIGHT4)
23686
23687#define A_TP_TX_MOD_QUEUE_WEIGHT0 0x7e30
23688
23689#define S_TX_MODQ_WEIGHT3 24
23690#define M_TX_MODQ_WEIGHT3 0xffU
23691#define V_TX_MODQ_WEIGHT3(x) ((x) << S_TX_MODQ_WEIGHT3)
23692#define G_TX_MODQ_WEIGHT3(x) (((x) >> S_TX_MODQ_WEIGHT3) & M_TX_MODQ_WEIGHT3)
23693
23694#define S_TX_MODQ_WEIGHT2 16
23695#define M_TX_MODQ_WEIGHT2 0xffU
23696#define V_TX_MODQ_WEIGHT2(x) ((x) << S_TX_MODQ_WEIGHT2)
23697#define G_TX_MODQ_WEIGHT2(x) (((x) >> S_TX_MODQ_WEIGHT2) & M_TX_MODQ_WEIGHT2)
23698
23699#define S_TX_MODQ_WEIGHT1 8
23700#define M_TX_MODQ_WEIGHT1 0xffU
23701#define V_TX_MODQ_WEIGHT1(x) ((x) << S_TX_MODQ_WEIGHT1)
23702#define G_TX_MODQ_WEIGHT1(x) (((x) >> S_TX_MODQ_WEIGHT1) & M_TX_MODQ_WEIGHT1)
23703
23704#define S_TX_MODQ_WEIGHT0 0
23705#define M_TX_MODQ_WEIGHT0 0xffU
23706#define V_TX_MODQ_WEIGHT0(x) ((x) << S_TX_MODQ_WEIGHT0)
23707#define G_TX_MODQ_WEIGHT0(x) (((x) >> S_TX_MODQ_WEIGHT0) & M_TX_MODQ_WEIGHT0)
23708
23709#define A_TP_TX_MOD_CHANNEL_WEIGHT 0x7e34
23710#define A_TP_MOD_RATE_LIMIT 0x7e38
23711
23712#define S_RX_MOD_RATE_LIMIT_INC 24
23713#define M_RX_MOD_RATE_LIMIT_INC 0xffU
23714#define V_RX_MOD_RATE_LIMIT_INC(x) ((x) << S_RX_MOD_RATE_LIMIT_INC)
23715#define G_RX_MOD_RATE_LIMIT_INC(x) (((x) >> S_RX_MOD_RATE_LIMIT_INC) & M_RX_MOD_RATE_LIMIT_INC)
23716
23717#define S_RX_MOD_RATE_LIMIT_TICK 16
23718#define M_RX_MOD_RATE_LIMIT_TICK 0xffU
23719#define V_RX_MOD_RATE_LIMIT_TICK(x) ((x) << S_RX_MOD_RATE_LIMIT_TICK)
23720#define G_RX_MOD_RATE_LIMIT_TICK(x) (((x) >> S_RX_MOD_RATE_LIMIT_TICK) & M_RX_MOD_RATE_LIMIT_TICK)
23721
23722#define S_TX_MOD_RATE_LIMIT_INC 8
23723#define M_TX_MOD_RATE_LIMIT_INC 0xffU
23724#define V_TX_MOD_RATE_LIMIT_INC(x) ((x) << S_TX_MOD_RATE_LIMIT_INC)
23725#define G_TX_MOD_RATE_LIMIT_INC(x) (((x) >> S_TX_MOD_RATE_LIMIT_INC) & M_TX_MOD_RATE_LIMIT_INC)
23726
23727#define S_TX_MOD_RATE_LIMIT_TICK 0
23728#define M_TX_MOD_RATE_LIMIT_TICK 0xffU
23729#define V_TX_MOD_RATE_LIMIT_TICK(x) ((x) << S_TX_MOD_RATE_LIMIT_TICK)
23730#define G_TX_MOD_RATE_LIMIT_TICK(x) (((x) >> S_TX_MOD_RATE_LIMIT_TICK) & M_TX_MOD_RATE_LIMIT_TICK)
23731
23732#define A_TP_PIO_ADDR 0x7e40
23733#define A_TP_PIO_DATA 0x7e44
23734#define A_TP_RESET 0x7e4c
23735
23736#define S_FLSTINITENABLE 1
23737#define V_FLSTINITENABLE(x) ((x) << S_FLSTINITENABLE)
23738#define F_FLSTINITENABLE V_FLSTINITENABLE(1U)
23739
23740#define S_TPRESET 0
23741#define V_TPRESET(x) ((x) << S_TPRESET)
23742#define F_TPRESET V_TPRESET(1U)
23743
23744#define A_TP_MIB_INDEX 0x7e50
23745#define A_TP_MIB_DATA 0x7e54
23746#define A_TP_SYNC_TIME_HI 0x7e58
23747#define A_TP_SYNC_TIME_LO 0x7e5c
23748#define A_TP_CMM_MM_RX_FLST_BASE 0x7e60
23749#define A_TP_CMM_MM_TX_FLST_BASE 0x7e64
23750#define A_TP_CMM_MM_PS_FLST_BASE 0x7e68
23751#define A_TP_CMM_MM_MAX_PSTRUCT 0x7e6c
23752
23753#define S_CMMAXPSTRUCT 0
23754#define M_CMMAXPSTRUCT 0x1fffffU
23755#define V_CMMAXPSTRUCT(x) ((x) << S_CMMAXPSTRUCT)
23756#define G_CMMAXPSTRUCT(x) (((x) >> S_CMMAXPSTRUCT) & M_CMMAXPSTRUCT)
23757
23758#define A_TP_INT_ENABLE 0x7e70
23759
23760#define S_FLMTXFLSTEMPTY 30
23761#define V_FLMTXFLSTEMPTY(x) ((x) << S_FLMTXFLSTEMPTY)
23762#define F_FLMTXFLSTEMPTY V_FLMTXFLSTEMPTY(1U)
23763
23764#define S_RSSLKPPERR 29
23765#define V_RSSLKPPERR(x) ((x) << S_RSSLKPPERR)
23766#define F_RSSLKPPERR V_RSSLKPPERR(1U)
23767
23768#define S_FLMPERRSET 28
23769#define V_FLMPERRSET(x) ((x) << S_FLMPERRSET)
23770#define F_FLMPERRSET V_FLMPERRSET(1U)
23771
23772#define S_PROTOCOLSRAMPERR 27
23773#define V_PROTOCOLSRAMPERR(x) ((x) << S_PROTOCOLSRAMPERR)
23774#define F_PROTOCOLSRAMPERR V_PROTOCOLSRAMPERR(1U)
23775
23776#define S_ARPLUTPERR 26
23777#define V_ARPLUTPERR(x) ((x) << S_ARPLUTPERR)
23778#define F_ARPLUTPERR V_ARPLUTPERR(1U)
23779
23780#define S_CMRCFOPPERR 25
23781#define V_CMRCFOPPERR(x) ((x) << S_CMRCFOPPERR)
23782#define F_CMRCFOPPERR V_CMRCFOPPERR(1U)
23783
23784#define S_CMCACHEPERR 24
23785#define V_CMCACHEPERR(x) ((x) << S_CMCACHEPERR)
23786#define F_CMCACHEPERR V_CMCACHEPERR(1U)
23787
23788#define S_CMRCFDATAPERR 23
23789#define V_CMRCFDATAPERR(x) ((x) << S_CMRCFDATAPERR)
23790#define F_CMRCFDATAPERR V_CMRCFDATAPERR(1U)
23791
23792#define S_DBL2TLUTPERR 22
23793#define V_DBL2TLUTPERR(x) ((x) << S_DBL2TLUTPERR)
23794#define F_DBL2TLUTPERR V_DBL2TLUTPERR(1U)
23795
23796#define S_DBTXTIDPERR 21
23797#define V_DBTXTIDPERR(x) ((x) << S_DBTXTIDPERR)
23798#define F_DBTXTIDPERR V_DBTXTIDPERR(1U)
23799
23800#define S_DBEXTPERR 20
23801#define V_DBEXTPERR(x) ((x) << S_DBEXTPERR)
23802#define F_DBEXTPERR V_DBEXTPERR(1U)
23803
23804#define S_DBOPPERR 19
23805#define V_DBOPPERR(x) ((x) << S_DBOPPERR)
23806#define F_DBOPPERR V_DBOPPERR(1U)
23807
23808#define S_TMCACHEPERR 18
23809#define V_TMCACHEPERR(x) ((x) << S_TMCACHEPERR)
23810#define F_TMCACHEPERR V_TMCACHEPERR(1U)
23811
23812#define S_ETPOUTCPLFIFOPERR 17
23813#define V_ETPOUTCPLFIFOPERR(x) ((x) << S_ETPOUTCPLFIFOPERR)
23814#define F_ETPOUTCPLFIFOPERR V_ETPOUTCPLFIFOPERR(1U)
23815
23816#define S_ETPOUTTCPFIFOPERR 16
23817#define V_ETPOUTTCPFIFOPERR(x) ((x) << S_ETPOUTTCPFIFOPERR)
23818#define F_ETPOUTTCPFIFOPERR V_ETPOUTTCPFIFOPERR(1U)
23819
23820#define S_ETPOUTIPFIFOPERR 15
23821#define V_ETPOUTIPFIFOPERR(x) ((x) << S_ETPOUTIPFIFOPERR)
23822#define F_ETPOUTIPFIFOPERR V_ETPOUTIPFIFOPERR(1U)
23823
23824#define S_ETPOUTETHFIFOPERR 14
23825#define V_ETPOUTETHFIFOPERR(x) ((x) << S_ETPOUTETHFIFOPERR)
23826#define F_ETPOUTETHFIFOPERR V_ETPOUTETHFIFOPERR(1U)
23827
23828#define S_ETPINCPLFIFOPERR 13
23829#define V_ETPINCPLFIFOPERR(x) ((x) << S_ETPINCPLFIFOPERR)
23830#define F_ETPINCPLFIFOPERR V_ETPINCPLFIFOPERR(1U)
23831
23832#define S_ETPINTCPOPTFIFOPERR 12
23833#define V_ETPINTCPOPTFIFOPERR(x) ((x) << S_ETPINTCPOPTFIFOPERR)
23834#define F_ETPINTCPOPTFIFOPERR V_ETPINTCPOPTFIFOPERR(1U)
23835
23836#define S_ETPINTCPFIFOPERR 11
23837#define V_ETPINTCPFIFOPERR(x) ((x) << S_ETPINTCPFIFOPERR)
23838#define F_ETPINTCPFIFOPERR V_ETPINTCPFIFOPERR(1U)
23839
23840#define S_ETPINIPFIFOPERR 10
23841#define V_ETPINIPFIFOPERR(x) ((x) << S_ETPINIPFIFOPERR)
23842#define F_ETPINIPFIFOPERR V_ETPINIPFIFOPERR(1U)
23843
23844#define S_ETPINETHFIFOPERR 9
23845#define V_ETPINETHFIFOPERR(x) ((x) << S_ETPINETHFIFOPERR)
23846#define F_ETPINETHFIFOPERR V_ETPINETHFIFOPERR(1U)
23847
23848#define S_CTPOUTCPLFIFOPERR 8
23849#define V_CTPOUTCPLFIFOPERR(x) ((x) << S_CTPOUTCPLFIFOPERR)
23850#define F_CTPOUTCPLFIFOPERR V_CTPOUTCPLFIFOPERR(1U)
23851
23852#define S_CTPOUTTCPFIFOPERR 7
23853#define V_CTPOUTTCPFIFOPERR(x) ((x) << S_CTPOUTTCPFIFOPERR)
23854#define F_CTPOUTTCPFIFOPERR V_CTPOUTTCPFIFOPERR(1U)
23855
23856#define S_CTPOUTIPFIFOPERR 6
23857#define V_CTPOUTIPFIFOPERR(x) ((x) << S_CTPOUTIPFIFOPERR)
23858#define F_CTPOUTIPFIFOPERR V_CTPOUTIPFIFOPERR(1U)
23859
23860#define S_CTPOUTETHFIFOPERR 5
23861#define V_CTPOUTETHFIFOPERR(x) ((x) << S_CTPOUTETHFIFOPERR)
23862#define F_CTPOUTETHFIFOPERR V_CTPOUTETHFIFOPERR(1U)
23863
23864#define S_CTPINCPLFIFOPERR 4
23865#define V_CTPINCPLFIFOPERR(x) ((x) << S_CTPINCPLFIFOPERR)
23866#define F_CTPINCPLFIFOPERR V_CTPINCPLFIFOPERR(1U)
23867
23868#define S_CTPINTCPOPFIFOPERR 3
23869#define V_CTPINTCPOPFIFOPERR(x) ((x) << S_CTPINTCPOPFIFOPERR)
23870#define F_CTPINTCPOPFIFOPERR V_CTPINTCPOPFIFOPERR(1U)
23871
23872#define S_PDUFBKFIFOPERR 2
23873#define V_PDUFBKFIFOPERR(x) ((x) << S_PDUFBKFIFOPERR)
23874#define F_PDUFBKFIFOPERR V_PDUFBKFIFOPERR(1U)
23875
23876#define S_CMOPEXTFIFOPERR 1
23877#define V_CMOPEXTFIFOPERR(x) ((x) << S_CMOPEXTFIFOPERR)
23878#define F_CMOPEXTFIFOPERR V_CMOPEXTFIFOPERR(1U)
23879
23880#define S_DELINVFIFOPERR 0
23881#define V_DELINVFIFOPERR(x) ((x) << S_DELINVFIFOPERR)
23882#define F_DELINVFIFOPERR V_DELINVFIFOPERR(1U)
23883
23884#define S_CTPOUTPLDFIFOPERR 7
23885#define V_CTPOUTPLDFIFOPERR(x) ((x) << S_CTPOUTPLDFIFOPERR)
23886#define F_CTPOUTPLDFIFOPERR V_CTPOUTPLDFIFOPERR(1U)
23887
23888#define S_SRQTABLEPERR 1
23889#define V_SRQTABLEPERR(x) ((x) << S_SRQTABLEPERR)
23890#define F_SRQTABLEPERR V_SRQTABLEPERR(1U)
23891
23892#define A_TP_INT_CAUSE 0x7e74
23893#define A_TP_PER_ENABLE 0x7e78
23894#define A_TP_FLM_FREE_PS_CNT 0x7e80
23895
23896#define S_FREEPSTRUCTCOUNT 0
23897#define M_FREEPSTRUCTCOUNT 0x1fffffU
23898#define V_FREEPSTRUCTCOUNT(x) ((x) << S_FREEPSTRUCTCOUNT)
23899#define G_FREEPSTRUCTCOUNT(x) (((x) >> S_FREEPSTRUCTCOUNT) & M_FREEPSTRUCTCOUNT)
23900
23901#define A_TP_FLM_FREE_RX_CNT 0x7e84
23902
23903#define S_FREERXPAGECHN 28
23904#define V_FREERXPAGECHN(x) ((x) << S_FREERXPAGECHN)
23905#define F_FREERXPAGECHN V_FREERXPAGECHN(1U)
23906
23907#define S_FREERXPAGECOUNT 0
23908#define M_FREERXPAGECOUNT 0x1fffffU
23909#define V_FREERXPAGECOUNT(x) ((x) << S_FREERXPAGECOUNT)
23910#define G_FREERXPAGECOUNT(x) (((x) >> S_FREERXPAGECOUNT) & M_FREERXPAGECOUNT)
23911
23912#define A_TP_FLM_FREE_TX_CNT 0x7e88
23913
23914#define S_FREETXPAGECHN 28
23915#define M_FREETXPAGECHN 0x3U
23916#define V_FREETXPAGECHN(x) ((x) << S_FREETXPAGECHN)
23917#define G_FREETXPAGECHN(x) (((x) >> S_FREETXPAGECHN) & M_FREETXPAGECHN)
23918
23919#define S_FREETXPAGECOUNT 0
23920#define M_FREETXPAGECOUNT 0x1fffffU
23921#define V_FREETXPAGECOUNT(x) ((x) << S_FREETXPAGECOUNT)
23922#define G_FREETXPAGECOUNT(x) (((x) >> S_FREETXPAGECOUNT) & M_FREETXPAGECOUNT)
23923
23924#define A_TP_TM_HEAP_PUSH_CNT 0x7e8c
23925#define A_TP_TM_HEAP_POP_CNT 0x7e90
23926#define A_TP_TM_DACK_PUSH_CNT 0x7e94
23927#define A_TP_TM_DACK_POP_CNT 0x7e98
23928#define A_TP_TM_MOD_PUSH_CNT 0x7e9c
23929#define A_TP_MOD_POP_CNT 0x7ea0
23930#define A_TP_TIMER_SEPARATOR 0x7ea4
23931
23932#define S_TIMERSEPARATOR 16
23933#define M_TIMERSEPARATOR 0xffffU
23934#define V_TIMERSEPARATOR(x) ((x) << S_TIMERSEPARATOR)
23935#define G_TIMERSEPARATOR(x) (((x) >> S_TIMERSEPARATOR) & M_TIMERSEPARATOR)
23936
23937#define S_DISABLETIMEFREEZE 0
23938#define V_DISABLETIMEFREEZE(x) ((x) << S_DISABLETIMEFREEZE)
23939#define F_DISABLETIMEFREEZE V_DISABLETIMEFREEZE(1U)
23940
23941#define A_TP_STAMP_TIME 0x7ea8
23942#define A_TP_DEBUG_FLAGS 0x7eac
23943
23944#define S_RXTIMERDACKFIRST 26
23945#define V_RXTIMERDACKFIRST(x) ((x) << S_RXTIMERDACKFIRST)
23946#define F_RXTIMERDACKFIRST V_RXTIMERDACKFIRST(1U)
23947
23948#define S_RXTIMERDACK 25
23949#define V_RXTIMERDACK(x) ((x) << S_RXTIMERDACK)
23950#define F_RXTIMERDACK V_RXTIMERDACK(1U)
23951
23952#define S_RXTIMERHEARTBEAT 24
23953#define V_RXTIMERHEARTBEAT(x) ((x) << S_RXTIMERHEARTBEAT)
23954#define F_RXTIMERHEARTBEAT V_RXTIMERHEARTBEAT(1U)
23955
23956#define S_RXPAWSDROP 23
23957#define V_RXPAWSDROP(x) ((x) << S_RXPAWSDROP)
23958#define F_RXPAWSDROP V_RXPAWSDROP(1U)
23959
23960#define S_RXURGDATADROP 22
23961#define V_RXURGDATADROP(x) ((x) << S_RXURGDATADROP)
23962#define F_RXURGDATADROP V_RXURGDATADROP(1U)
23963
23964#define S_RXFUTUREDATA 21
23965#define V_RXFUTUREDATA(x) ((x) << S_RXFUTUREDATA)
23966#define F_RXFUTUREDATA V_RXFUTUREDATA(1U)
23967
23968#define S_RXRCVRXMDATA 20
23969#define V_RXRCVRXMDATA(x) ((x) << S_RXRCVRXMDATA)
23970#define F_RXRCVRXMDATA V_RXRCVRXMDATA(1U)
23971
23972#define S_RXRCVOOODATAFIN 19
23973#define V_RXRCVOOODATAFIN(x) ((x) << S_RXRCVOOODATAFIN)
23974#define F_RXRCVOOODATAFIN V_RXRCVOOODATAFIN(1U)
23975
23976#define S_RXRCVOOODATA 18
23977#define V_RXRCVOOODATA(x) ((x) << S_RXRCVOOODATA)
23978#define F_RXRCVOOODATA V_RXRCVOOODATA(1U)
23979
23980#define S_RXRCVWNDZERO 17
23981#define V_RXRCVWNDZERO(x) ((x) << S_RXRCVWNDZERO)
23982#define F_RXRCVWNDZERO V_RXRCVWNDZERO(1U)
23983
23984#define S_RXRCVWNDLTMSS 16
23985#define V_RXRCVWNDLTMSS(x) ((x) << S_RXRCVWNDLTMSS)
23986#define F_RXRCVWNDLTMSS V_RXRCVWNDLTMSS(1U)
23987
23988#define S_TXDUPACKINC 11
23989#define V_TXDUPACKINC(x) ((x) << S_TXDUPACKINC)
23990#define F_TXDUPACKINC V_TXDUPACKINC(1U)
23991
23992#define S_TXRXMURG 10
23993#define V_TXRXMURG(x) ((x) << S_TXRXMURG)
23994#define F_TXRXMURG V_TXRXMURG(1U)
23995
23996#define S_TXRXMFIN 9
23997#define V_TXRXMFIN(x) ((x) << S_TXRXMFIN)
23998#define F_TXRXMFIN V_TXRXMFIN(1U)
23999
24000#define S_TXRXMSYN 8
24001#define V_TXRXMSYN(x) ((x) << S_TXRXMSYN)
24002#define F_TXRXMSYN V_TXRXMSYN(1U)
24003
24004#define S_TXRXMNEWRENO 7
24005#define V_TXRXMNEWRENO(x) ((x) << S_TXRXMNEWRENO)
24006#define F_TXRXMNEWRENO V_TXRXMNEWRENO(1U)
24007
24008#define S_TXRXMFAST 6
24009#define V_TXRXMFAST(x) ((x) << S_TXRXMFAST)
24010#define F_TXRXMFAST V_TXRXMFAST(1U)
24011
24012#define S_TXRXMTIMER 5
24013#define V_TXRXMTIMER(x) ((x) << S_TXRXMTIMER)
24014#define F_TXRXMTIMER V_TXRXMTIMER(1U)
24015
24016#define S_TXRXMTIMERKEEPALIVE 4
24017#define V_TXRXMTIMERKEEPALIVE(x) ((x) << S_TXRXMTIMERKEEPALIVE)
24018#define F_TXRXMTIMERKEEPALIVE V_TXRXMTIMERKEEPALIVE(1U)
24019
24020#define S_TXRXMTIMERPERSIST 3
24021#define V_TXRXMTIMERPERSIST(x) ((x) << S_TXRXMTIMERPERSIST)
24022#define F_TXRXMTIMERPERSIST V_TXRXMTIMERPERSIST(1U)
24023
24024#define S_TXRCVADVSHRUNK 2
24025#define V_TXRCVADVSHRUNK(x) ((x) << S_TXRCVADVSHRUNK)
24026#define F_TXRCVADVSHRUNK V_TXRCVADVSHRUNK(1U)
24027
24028#define S_TXRCVADVZERO 1
24029#define V_TXRCVADVZERO(x) ((x) << S_TXRCVADVZERO)
24030#define F_TXRCVADVZERO V_TXRCVADVZERO(1U)
24031
24032#define S_TXRCVADVLTMSS 0
24033#define V_TXRCVADVLTMSS(x) ((x) << S_TXRCVADVLTMSS)
24034#define F_TXRCVADVLTMSS V_TXRCVADVLTMSS(1U)
24035
24036#define S_RXTIMERCOMPBUFFER 27
24037#define V_RXTIMERCOMPBUFFER(x) ((x) << S_RXTIMERCOMPBUFFER)
24038#define F_RXTIMERCOMPBUFFER V_RXTIMERCOMPBUFFER(1U)
24039
24040#define S_TXDFRFAST 13
24041#define V_TXDFRFAST(x) ((x) << S_TXDFRFAST)
24042#define F_TXDFRFAST V_TXDFRFAST(1U)
24043
24044#define S_TXRXMMISC 12
24045#define V_TXRXMMISC(x) ((x) << S_TXRXMMISC)
24046#define F_TXRXMMISC V_TXRXMMISC(1U)
24047
24048#define A_TP_RX_SCHED 0x7eb0
24049
24050#define S_RXCOMMITRESET1 31
24051#define V_RXCOMMITRESET1(x) ((x) << S_RXCOMMITRESET1)
24052#define F_RXCOMMITRESET1 V_RXCOMMITRESET1(1U)
24053
24054#define S_RXCOMMITRESET0 30
24055#define V_RXCOMMITRESET0(x) ((x) << S_RXCOMMITRESET0)
24056#define F_RXCOMMITRESET0 V_RXCOMMITRESET0(1U)
24057
24058#define S_RXFORCECONG1 29
24059#define V_RXFORCECONG1(x) ((x) << S_RXFORCECONG1)
24060#define F_RXFORCECONG1 V_RXFORCECONG1(1U)
24061
24062#define S_RXFORCECONG0 28
24063#define V_RXFORCECONG0(x) ((x) << S_RXFORCECONG0)
24064#define F_RXFORCECONG0 V_RXFORCECONG0(1U)
24065
24066#define S_ENABLELPBKFULL1 26
24067#define M_ENABLELPBKFULL1 0x3U
24068#define V_ENABLELPBKFULL1(x) ((x) << S_ENABLELPBKFULL1)
24069#define G_ENABLELPBKFULL1(x) (((x) >> S_ENABLELPBKFULL1) & M_ENABLELPBKFULL1)
24070
24071#define S_ENABLELPBKFULL0 24
24072#define M_ENABLELPBKFULL0 0x3U
24073#define V_ENABLELPBKFULL0(x) ((x) << S_ENABLELPBKFULL0)
24074#define G_ENABLELPBKFULL0(x) (((x) >> S_ENABLELPBKFULL0) & M_ENABLELPBKFULL0)
24075
24076#define S_ENABLEFIFOFULL1 22
24077#define M_ENABLEFIFOFULL1 0x3U
24078#define V_ENABLEFIFOFULL1(x) ((x) << S_ENABLEFIFOFULL1)
24079#define G_ENABLEFIFOFULL1(x) (((x) >> S_ENABLEFIFOFULL1) & M_ENABLEFIFOFULL1)
24080
24081#define S_ENABLEPCMDFULL1 20
24082#define M_ENABLEPCMDFULL1 0x3U
24083#define V_ENABLEPCMDFULL1(x) ((x) << S_ENABLEPCMDFULL1)
24084#define G_ENABLEPCMDFULL1(x) (((x) >> S_ENABLEPCMDFULL1) & M_ENABLEPCMDFULL1)
24085
24086#define S_ENABLEHDRFULL1 18
24087#define M_ENABLEHDRFULL1 0x3U
24088#define V_ENABLEHDRFULL1(x) ((x) << S_ENABLEHDRFULL1)
24089#define G_ENABLEHDRFULL1(x) (((x) >> S_ENABLEHDRFULL1) & M_ENABLEHDRFULL1)
24090
24091#define S_ENABLEFIFOFULL0 16
24092#define M_ENABLEFIFOFULL0 0x3U
24093#define V_ENABLEFIFOFULL0(x) ((x) << S_ENABLEFIFOFULL0)
24094#define G_ENABLEFIFOFULL0(x) (((x) >> S_ENABLEFIFOFULL0) & M_ENABLEFIFOFULL0)
24095
24096#define S_ENABLEPCMDFULL0 14
24097#define M_ENABLEPCMDFULL0 0x3U
24098#define V_ENABLEPCMDFULL0(x) ((x) << S_ENABLEPCMDFULL0)
24099#define G_ENABLEPCMDFULL0(x) (((x) >> S_ENABLEPCMDFULL0) & M_ENABLEPCMDFULL0)
24100
24101#define S_ENABLEHDRFULL0 12
24102#define M_ENABLEHDRFULL0 0x3U
24103#define V_ENABLEHDRFULL0(x) ((x) << S_ENABLEHDRFULL0)
24104#define G_ENABLEHDRFULL0(x) (((x) >> S_ENABLEHDRFULL0) & M_ENABLEHDRFULL0)
24105
24106#define S_COMMITLIMIT1 6
24107#define M_COMMITLIMIT1 0x3fU
24108#define V_COMMITLIMIT1(x) ((x) << S_COMMITLIMIT1)
24109#define G_COMMITLIMIT1(x) (((x) >> S_COMMITLIMIT1) & M_COMMITLIMIT1)
24110
24111#define S_COMMITLIMIT0 0
24112#define M_COMMITLIMIT0 0x3fU
24113#define V_COMMITLIMIT0(x) ((x) << S_COMMITLIMIT0)
24114#define G_COMMITLIMIT0(x) (((x) >> S_COMMITLIMIT0) & M_COMMITLIMIT0)
24115
24116#define A_TP_TX_SCHED 0x7eb4
24117
24118#define S_COMMITRESET3 31
24119#define V_COMMITRESET3(x) ((x) << S_COMMITRESET3)
24120#define F_COMMITRESET3 V_COMMITRESET3(1U)
24121
24122#define S_COMMITRESET2 30
24123#define V_COMMITRESET2(x) ((x) << S_COMMITRESET2)
24124#define F_COMMITRESET2 V_COMMITRESET2(1U)
24125
24126#define S_COMMITRESET1 29
24127#define V_COMMITRESET1(x) ((x) << S_COMMITRESET1)
24128#define F_COMMITRESET1 V_COMMITRESET1(1U)
24129
24130#define S_COMMITRESET0 28
24131#define V_COMMITRESET0(x) ((x) << S_COMMITRESET0)
24132#define F_COMMITRESET0 V_COMMITRESET0(1U)
24133
24134#define S_FORCECONG3 27
24135#define V_FORCECONG3(x) ((x) << S_FORCECONG3)
24136#define F_FORCECONG3 V_FORCECONG3(1U)
24137
24138#define S_FORCECONG2 26
24139#define V_FORCECONG2(x) ((x) << S_FORCECONG2)
24140#define F_FORCECONG2 V_FORCECONG2(1U)
24141
24142#define S_FORCECONG1 25
24143#define V_FORCECONG1(x) ((x) << S_FORCECONG1)
24144#define F_FORCECONG1 V_FORCECONG1(1U)
24145
24146#define S_FORCECONG0 24
24147#define V_FORCECONG0(x) ((x) << S_FORCECONG0)
24148#define F_FORCECONG0 V_FORCECONG0(1U)
24149
24150#define S_COMMITLIMIT3 18
24151#define M_COMMITLIMIT3 0x3fU
24152#define V_COMMITLIMIT3(x) ((x) << S_COMMITLIMIT3)
24153#define G_COMMITLIMIT3(x) (((x) >> S_COMMITLIMIT3) & M_COMMITLIMIT3)
24154
24155#define S_COMMITLIMIT2 12
24156#define M_COMMITLIMIT2 0x3fU
24157#define V_COMMITLIMIT2(x) ((x) << S_COMMITLIMIT2)
24158#define G_COMMITLIMIT2(x) (((x) >> S_COMMITLIMIT2) & M_COMMITLIMIT2)
24159
24160#define A_TP_FX_SCHED 0x7eb8
24161
24162#define S_TXCHNXOFF3 19
24163#define V_TXCHNXOFF3(x) ((x) << S_TXCHNXOFF3)
24164#define F_TXCHNXOFF3 V_TXCHNXOFF3(1U)
24165
24166#define S_TXCHNXOFF2 18
24167#define V_TXCHNXOFF2(x) ((x) << S_TXCHNXOFF2)
24168#define F_TXCHNXOFF2 V_TXCHNXOFF2(1U)
24169
24170#define S_TXCHNXOFF1 17
24171#define V_TXCHNXOFF1(x) ((x) << S_TXCHNXOFF1)
24172#define F_TXCHNXOFF1 V_TXCHNXOFF1(1U)
24173
24174#define S_TXCHNXOFF0 16
24175#define V_TXCHNXOFF0(x) ((x) << S_TXCHNXOFF0)
24176#define F_TXCHNXOFF0 V_TXCHNXOFF0(1U)
24177
24178#define S_TXMODXOFF7 15
24179#define V_TXMODXOFF7(x) ((x) << S_TXMODXOFF7)
24180#define F_TXMODXOFF7 V_TXMODXOFF7(1U)
24181
24182#define S_TXMODXOFF6 14
24183#define V_TXMODXOFF6(x) ((x) << S_TXMODXOFF6)
24184#define F_TXMODXOFF6 V_TXMODXOFF6(1U)
24185
24186#define S_TXMODXOFF5 13
24187#define V_TXMODXOFF5(x) ((x) << S_TXMODXOFF5)
24188#define F_TXMODXOFF5 V_TXMODXOFF5(1U)
24189
24190#define S_TXMODXOFF4 12
24191#define V_TXMODXOFF4(x) ((x) << S_TXMODXOFF4)
24192#define F_TXMODXOFF4 V_TXMODXOFF4(1U)
24193
24194#define S_TXMODXOFF3 11
24195#define V_TXMODXOFF3(x) ((x) << S_TXMODXOFF3)
24196#define F_TXMODXOFF3 V_TXMODXOFF3(1U)
24197
24198#define S_TXMODXOFF2 10
24199#define V_TXMODXOFF2(x) ((x) << S_TXMODXOFF2)
24200#define F_TXMODXOFF2 V_TXMODXOFF2(1U)
24201
24202#define S_TXMODXOFF1 9
24203#define V_TXMODXOFF1(x) ((x) << S_TXMODXOFF1)
24204#define F_TXMODXOFF1 V_TXMODXOFF1(1U)
24205
24206#define S_TXMODXOFF0 8
24207#define V_TXMODXOFF0(x) ((x) << S_TXMODXOFF0)
24208#define F_TXMODXOFF0 V_TXMODXOFF0(1U)
24209
24210#define S_RXCHNXOFF3 7
24211#define V_RXCHNXOFF3(x) ((x) << S_RXCHNXOFF3)
24212#define F_RXCHNXOFF3 V_RXCHNXOFF3(1U)
24213
24214#define S_RXCHNXOFF2 6
24215#define V_RXCHNXOFF2(x) ((x) << S_RXCHNXOFF2)
24216#define F_RXCHNXOFF2 V_RXCHNXOFF2(1U)
24217
24218#define S_RXCHNXOFF1 5
24219#define V_RXCHNXOFF1(x) ((x) << S_RXCHNXOFF1)
24220#define F_RXCHNXOFF1 V_RXCHNXOFF1(1U)
24221
24222#define S_RXCHNXOFF0 4
24223#define V_RXCHNXOFF0(x) ((x) << S_RXCHNXOFF0)
24224#define F_RXCHNXOFF0 V_RXCHNXOFF0(1U)
24225
24226#define S_RXMODXOFF1 1
24227#define V_RXMODXOFF1(x) ((x) << S_RXMODXOFF1)
24228#define F_RXMODXOFF1 V_RXMODXOFF1(1U)
24229
24230#define S_RXMODXOFF0 0
24231#define V_RXMODXOFF0(x) ((x) << S_RXMODXOFF0)
24232#define F_RXMODXOFF0 V_RXMODXOFF0(1U)
24233
24234#define A_TP_TX_ORATE 0x7ebc
24235
24236#define S_OFDRATE3 24
24237#define M_OFDRATE3 0xffU
24238#define V_OFDRATE3(x) ((x) << S_OFDRATE3)
24239#define G_OFDRATE3(x) (((x) >> S_OFDRATE3) & M_OFDRATE3)
24240
24241#define S_OFDRATE2 16
24242#define M_OFDRATE2 0xffU
24243#define V_OFDRATE2(x) ((x) << S_OFDRATE2)
24244#define G_OFDRATE2(x) (((x) >> S_OFDRATE2) & M_OFDRATE2)
24245
24246#define S_OFDRATE1 8
24247#define M_OFDRATE1 0xffU
24248#define V_OFDRATE1(x) ((x) << S_OFDRATE1)
24249#define G_OFDRATE1(x) (((x) >> S_OFDRATE1) & M_OFDRATE1)
24250
24251#define S_OFDRATE0 0
24252#define M_OFDRATE0 0xffU
24253#define V_OFDRATE0(x) ((x) << S_OFDRATE0)
24254#define G_OFDRATE0(x) (((x) >> S_OFDRATE0) & M_OFDRATE0)
24255
24256#define A_TP_IX_SCHED0 0x7ec0
24257#define A_TP_IX_SCHED1 0x7ec4
24258#define A_TP_IX_SCHED2 0x7ec8
24259#define A_TP_IX_SCHED3 0x7ecc
24260#define A_TP_TX_TRATE 0x7ed0
24261
24262#define S_TNLRATE3 24
24263#define M_TNLRATE3 0xffU
24264#define V_TNLRATE3(x) ((x) << S_TNLRATE3)
24265#define G_TNLRATE3(x) (((x) >> S_TNLRATE3) & M_TNLRATE3)
24266
24267#define S_TNLRATE2 16
24268#define M_TNLRATE2 0xffU
24269#define V_TNLRATE2(x) ((x) << S_TNLRATE2)
24270#define G_TNLRATE2(x) (((x) >> S_TNLRATE2) & M_TNLRATE2)
24271
24272#define S_TNLRATE1 8
24273#define M_TNLRATE1 0xffU
24274#define V_TNLRATE1(x) ((x) << S_TNLRATE1)
24275#define G_TNLRATE1(x) (((x) >> S_TNLRATE1) & M_TNLRATE1)
24276
24277#define S_TNLRATE0 0
24278#define M_TNLRATE0 0xffU
24279#define V_TNLRATE0(x) ((x) << S_TNLRATE0)
24280#define G_TNLRATE0(x) (((x) >> S_TNLRATE0) & M_TNLRATE0)
24281
24282#define A_TP_DBG_LA_CONFIG 0x7ed4
24283
24284#define S_DBGLAOPCENABLE 24
24285#define M_DBGLAOPCENABLE 0xffU
24286#define V_DBGLAOPCENABLE(x) ((x) << S_DBGLAOPCENABLE)
24287#define G_DBGLAOPCENABLE(x) (((x) >> S_DBGLAOPCENABLE) & M_DBGLAOPCENABLE)
24288
24289#define S_DBGLAWHLF 23
24290#define V_DBGLAWHLF(x) ((x) << S_DBGLAWHLF)
24291#define F_DBGLAWHLF V_DBGLAWHLF(1U)
24292
24293#define S_DBGLAWPTR 16
24294#define M_DBGLAWPTR 0x7fU
24295#define V_DBGLAWPTR(x) ((x) << S_DBGLAWPTR)
24296#define G_DBGLAWPTR(x) (((x) >> S_DBGLAWPTR) & M_DBGLAWPTR)
24297
24298#define S_DBGLAMODE 14
24299#define M_DBGLAMODE 0x3U
24300#define V_DBGLAMODE(x) ((x) << S_DBGLAMODE)
24301#define G_DBGLAMODE(x) (((x) >> S_DBGLAMODE) & M_DBGLAMODE)
24302
24303#define S_DBGLAFATALFREEZE 13
24304#define V_DBGLAFATALFREEZE(x) ((x) << S_DBGLAFATALFREEZE)
24305#define F_DBGLAFATALFREEZE V_DBGLAFATALFREEZE(1U)
24306
24307#define S_DBGLAENABLE 12
24308#define V_DBGLAENABLE(x) ((x) << S_DBGLAENABLE)
24309#define F_DBGLAENABLE V_DBGLAENABLE(1U)
24310
24311#define S_DBGLARPTR 0
24312#define M_DBGLARPTR 0x7fU
24313#define V_DBGLARPTR(x) ((x) << S_DBGLARPTR)
24314#define G_DBGLARPTR(x) (((x) >> S_DBGLARPTR) & M_DBGLARPTR)
24315
24316#define A_TP_DBG_LA_DATAL 0x7ed8
24317#define A_TP_DBG_LA_DATAH 0x7edc
24318#define A_TP_PROTOCOL_CNTRL 0x7ee8
24319
24320#define S_WRITEENABLE 31
24321#define V_WRITEENABLE(x) ((x) << S_WRITEENABLE)
24322#define F_WRITEENABLE V_WRITEENABLE(1U)
24323
24324#define S_TCAMENABLE 10
24325#define V_TCAMENABLE(x) ((x) << S_TCAMENABLE)
24326#define F_TCAMENABLE V_TCAMENABLE(1U)
24327
24328#define S_BLOCKSELECT 8
24329#define M_BLOCKSELECT 0x3U
24330#define V_BLOCKSELECT(x) ((x) << S_BLOCKSELECT)
24331#define G_BLOCKSELECT(x) (((x) >> S_BLOCKSELECT) & M_BLOCKSELECT)
24332
24333#define S_LINEADDRESS 1
24334#define M_LINEADDRESS 0x7fU
24335#define V_LINEADDRESS(x) ((x) << S_LINEADDRESS)
24336#define G_LINEADDRESS(x) (((x) >> S_LINEADDRESS) & M_LINEADDRESS)
24337
24338#define S_REQUESTDONE 0
24339#define V_REQUESTDONE(x) ((x) << S_REQUESTDONE)
24340#define F_REQUESTDONE V_REQUESTDONE(1U)
24341
24342#define A_TP_PROTOCOL_DATA0 0x7eec
24343#define A_TP_PROTOCOL_DATA1 0x7ef0
24344#define A_TP_PROTOCOL_DATA2 0x7ef4
24345#define A_TP_PROTOCOL_DATA3 0x7ef8
24346#define A_TP_PROTOCOL_DATA4 0x7efc
24347
24348#define S_PROTOCOLDATAFIELD 0
24349#define M_PROTOCOLDATAFIELD 0xfU
24350#define V_PROTOCOLDATAFIELD(x) ((x) << S_PROTOCOLDATAFIELD)
24351#define G_PROTOCOLDATAFIELD(x) (((x) >> S_PROTOCOLDATAFIELD) & M_PROTOCOLDATAFIELD)
24352
24353#define A_TP_TX_MOD_Q7_Q6_TIMER_SEPARATOR 0x0
24354
24355#define S_TXTIMERSEPQ7 16
24356#define M_TXTIMERSEPQ7 0xffffU
24357#define V_TXTIMERSEPQ7(x) ((x) << S_TXTIMERSEPQ7)
24358#define G_TXTIMERSEPQ7(x) (((x) >> S_TXTIMERSEPQ7) & M_TXTIMERSEPQ7)
24359
24360#define S_TXTIMERSEPQ6 0
24361#define M_TXTIMERSEPQ6 0xffffU
24362#define V_TXTIMERSEPQ6(x) ((x) << S_TXTIMERSEPQ6)
24363#define G_TXTIMERSEPQ6(x) (((x) >> S_TXTIMERSEPQ6) & M_TXTIMERSEPQ6)
24364
24365#define A_TP_TX_MOD_Q5_Q4_TIMER_SEPARATOR 0x1
24366
24367#define S_TXTIMERSEPQ5 16
24368#define M_TXTIMERSEPQ5 0xffffU
24369#define V_TXTIMERSEPQ5(x) ((x) << S_TXTIMERSEPQ5)
24370#define G_TXTIMERSEPQ5(x) (((x) >> S_TXTIMERSEPQ5) & M_TXTIMERSEPQ5)
24371
24372#define S_TXTIMERSEPQ4 0
24373#define M_TXTIMERSEPQ4 0xffffU
24374#define V_TXTIMERSEPQ4(x) ((x) << S_TXTIMERSEPQ4)
24375#define G_TXTIMERSEPQ4(x) (((x) >> S_TXTIMERSEPQ4) & M_TXTIMERSEPQ4)
24376
24377#define A_TP_TX_MOD_Q3_Q2_TIMER_SEPARATOR 0x2
24378
24379#define S_TXTIMERSEPQ3 16
24380#define M_TXTIMERSEPQ3 0xffffU
24381#define V_TXTIMERSEPQ3(x) ((x) << S_TXTIMERSEPQ3)
24382#define G_TXTIMERSEPQ3(x) (((x) >> S_TXTIMERSEPQ3) & M_TXTIMERSEPQ3)
24383
24384#define S_TXTIMERSEPQ2 0
24385#define M_TXTIMERSEPQ2 0xffffU
24386#define V_TXTIMERSEPQ2(x) ((x) << S_TXTIMERSEPQ2)
24387#define G_TXTIMERSEPQ2(x) (((x) >> S_TXTIMERSEPQ2) & M_TXTIMERSEPQ2)
24388
24389#define A_TP_TX_MOD_Q1_Q0_TIMER_SEPARATOR 0x3
24390
24391#define S_TXTIMERSEPQ1 16
24392#define M_TXTIMERSEPQ1 0xffffU
24393#define V_TXTIMERSEPQ1(x) ((x) << S_TXTIMERSEPQ1)
24394#define G_TXTIMERSEPQ1(x) (((x) >> S_TXTIMERSEPQ1) & M_TXTIMERSEPQ1)
24395
24396#define S_TXTIMERSEPQ0 0
24397#define M_TXTIMERSEPQ0 0xffffU
24398#define V_TXTIMERSEPQ0(x) ((x) << S_TXTIMERSEPQ0)
24399#define G_TXTIMERSEPQ0(x) (((x) >> S_TXTIMERSEPQ0) & M_TXTIMERSEPQ0)
24400
24401#define A_TP_RX_MOD_Q1_Q0_TIMER_SEPARATOR 0x4
24402
24403#define S_RXTIMERSEPQ1 16
24404#define M_RXTIMERSEPQ1 0xffffU
24405#define V_RXTIMERSEPQ1(x) ((x) << S_RXTIMERSEPQ1)
24406#define G_RXTIMERSEPQ1(x) (((x) >> S_RXTIMERSEPQ1) & M_RXTIMERSEPQ1)
24407
24408#define S_RXTIMERSEPQ0 0
24409#define M_RXTIMERSEPQ0 0xffffU
24410#define V_RXTIMERSEPQ0(x) ((x) << S_RXTIMERSEPQ0)
24411#define G_RXTIMERSEPQ0(x) (((x) >> S_RXTIMERSEPQ0) & M_RXTIMERSEPQ0)
24412
24413#define A_TP_TX_MOD_Q7_Q6_RATE_LIMIT 0x5
24414
24415#define S_TXRATEINCQ7 24
24416#define M_TXRATEINCQ7 0xffU
24417#define V_TXRATEINCQ7(x) ((x) << S_TXRATEINCQ7)
24418#define G_TXRATEINCQ7(x) (((x) >> S_TXRATEINCQ7) & M_TXRATEINCQ7)
24419
24420#define S_TXRATETCKQ7 16
24421#define M_TXRATETCKQ7 0xffU
24422#define V_TXRATETCKQ7(x) ((x) << S_TXRATETCKQ7)
24423#define G_TXRATETCKQ7(x) (((x) >> S_TXRATETCKQ7) & M_TXRATETCKQ7)
24424
24425#define S_TXRATEINCQ6 8
24426#define M_TXRATEINCQ6 0xffU
24427#define V_TXRATEINCQ6(x) ((x) << S_TXRATEINCQ6)
24428#define G_TXRATEINCQ6(x) (((x) >> S_TXRATEINCQ6) & M_TXRATEINCQ6)
24429
24430#define S_TXRATETCKQ6 0
24431#define M_TXRATETCKQ6 0xffU
24432#define V_TXRATETCKQ6(x) ((x) << S_TXRATETCKQ6)
24433#define G_TXRATETCKQ6(x) (((x) >> S_TXRATETCKQ6) & M_TXRATETCKQ6)
24434
24435#define A_TP_TX_MOD_Q5_Q4_RATE_LIMIT 0x6
24436
24437#define S_TXRATEINCQ5 24
24438#define M_TXRATEINCQ5 0xffU
24439#define V_TXRATEINCQ5(x) ((x) << S_TXRATEINCQ5)
24440#define G_TXRATEINCQ5(x) (((x) >> S_TXRATEINCQ5) & M_TXRATEINCQ5)
24441
24442#define S_TXRATETCKQ5 16
24443#define M_TXRATETCKQ5 0xffU
24444#define V_TXRATETCKQ5(x) ((x) << S_TXRATETCKQ5)
24445#define G_TXRATETCKQ5(x) (((x) >> S_TXRATETCKQ5) & M_TXRATETCKQ5)
24446
24447#define S_TXRATEINCQ4 8
24448#define M_TXRATEINCQ4 0xffU
24449#define V_TXRATEINCQ4(x) ((x) << S_TXRATEINCQ4)
24450#define G_TXRATEINCQ4(x) (((x) >> S_TXRATEINCQ4) & M_TXRATEINCQ4)
24451
24452#define S_TXRATETCKQ4 0
24453#define M_TXRATETCKQ4 0xffU
24454#define V_TXRATETCKQ4(x) ((x) << S_TXRATETCKQ4)
24455#define G_TXRATETCKQ4(x) (((x) >> S_TXRATETCKQ4) & M_TXRATETCKQ4)
24456
24457#define A_TP_TX_MOD_Q3_Q2_RATE_LIMIT 0x7
24458
24459#define S_TXRATEINCQ3 24
24460#define M_TXRATEINCQ3 0xffU
24461#define V_TXRATEINCQ3(x) ((x) << S_TXRATEINCQ3)
24462#define G_TXRATEINCQ3(x) (((x) >> S_TXRATEINCQ3) & M_TXRATEINCQ3)
24463
24464#define S_TXRATETCKQ3 16
24465#define M_TXRATETCKQ3 0xffU
24466#define V_TXRATETCKQ3(x) ((x) << S_TXRATETCKQ3)
24467#define G_TXRATETCKQ3(x) (((x) >> S_TXRATETCKQ3) & M_TXRATETCKQ3)
24468
24469#define S_TXRATEINCQ2 8
24470#define M_TXRATEINCQ2 0xffU
24471#define V_TXRATEINCQ2(x) ((x) << S_TXRATEINCQ2)
24472#define G_TXRATEINCQ2(x) (((x) >> S_TXRATEINCQ2) & M_TXRATEINCQ2)
24473
24474#define S_TXRATETCKQ2 0
24475#define M_TXRATETCKQ2 0xffU
24476#define V_TXRATETCKQ2(x) ((x) << S_TXRATETCKQ2)
24477#define G_TXRATETCKQ2(x) (((x) >> S_TXRATETCKQ2) & M_TXRATETCKQ2)
24478
24479#define A_TP_TX_MOD_Q1_Q0_RATE_LIMIT 0x8
24480
24481#define S_TXRATEINCQ1 24
24482#define M_TXRATEINCQ1 0xffU
24483#define V_TXRATEINCQ1(x) ((x) << S_TXRATEINCQ1)
24484#define G_TXRATEINCQ1(x) (((x) >> S_TXRATEINCQ1) & M_TXRATEINCQ1)
24485
24486#define S_TXRATETCKQ1 16
24487#define M_TXRATETCKQ1 0xffU
24488#define V_TXRATETCKQ1(x) ((x) << S_TXRATETCKQ1)
24489#define G_TXRATETCKQ1(x) (((x) >> S_TXRATETCKQ1) & M_TXRATETCKQ1)
24490
24491#define S_TXRATEINCQ0 8
24492#define M_TXRATEINCQ0 0xffU
24493#define V_TXRATEINCQ0(x) ((x) << S_TXRATEINCQ0)
24494#define G_TXRATEINCQ0(x) (((x) >> S_TXRATEINCQ0) & M_TXRATEINCQ0)
24495
24496#define S_TXRATETCKQ0 0
24497#define M_TXRATETCKQ0 0xffU
24498#define V_TXRATETCKQ0(x) ((x) << S_TXRATETCKQ0)
24499#define G_TXRATETCKQ0(x) (((x) >> S_TXRATETCKQ0) & M_TXRATETCKQ0)
24500
24501#define A_TP_RX_MOD_Q1_Q0_RATE_LIMIT 0x9
24502
24503#define S_RXRATEINCQ1 24
24504#define M_RXRATEINCQ1 0xffU
24505#define V_RXRATEINCQ1(x) ((x) << S_RXRATEINCQ1)
24506#define G_RXRATEINCQ1(x) (((x) >> S_RXRATEINCQ1) & M_RXRATEINCQ1)
24507
24508#define S_RXRATETCKQ1 16
24509#define M_RXRATETCKQ1 0xffU
24510#define V_RXRATETCKQ1(x) ((x) << S_RXRATETCKQ1)
24511#define G_RXRATETCKQ1(x) (((x) >> S_RXRATETCKQ1) & M_RXRATETCKQ1)
24512
24513#define S_RXRATEINCQ0 8
24514#define M_RXRATEINCQ0 0xffU
24515#define V_RXRATEINCQ0(x) ((x) << S_RXRATEINCQ0)
24516#define G_RXRATEINCQ0(x) (((x) >> S_RXRATEINCQ0) & M_RXRATEINCQ0)
24517
24518#define S_RXRATETCKQ0 0
24519#define M_RXRATETCKQ0 0xffU
24520#define V_RXRATETCKQ0(x) ((x) << S_RXRATETCKQ0)
24521#define G_RXRATETCKQ0(x) (((x) >> S_RXRATETCKQ0) & M_RXRATETCKQ0)
24522
24523#define A_TP_TX_MOD_C3_C2_RATE_LIMIT 0xa
24524#define A_TP_TX_MOD_C1_C0_RATE_LIMIT 0xb
24525#define A_TP_RX_SCHED_MAP 0x20
24526
24527#define S_RXMAPCHANNEL3 24
24528#define M_RXMAPCHANNEL3 0xffU
24529#define V_RXMAPCHANNEL3(x) ((x) << S_RXMAPCHANNEL3)
24530#define G_RXMAPCHANNEL3(x) (((x) >> S_RXMAPCHANNEL3) & M_RXMAPCHANNEL3)
24531
24532#define S_RXMAPCHANNEL2 16
24533#define M_RXMAPCHANNEL2 0xffU
24534#define V_RXMAPCHANNEL2(x) ((x) << S_RXMAPCHANNEL2)
24535#define G_RXMAPCHANNEL2(x) (((x) >> S_RXMAPCHANNEL2) & M_RXMAPCHANNEL2)
24536
24537#define S_RXMAPCHANNEL1 8
24538#define M_RXMAPCHANNEL1 0xffU
24539#define V_RXMAPCHANNEL1(x) ((x) << S_RXMAPCHANNEL1)
24540#define G_RXMAPCHANNEL1(x) (((x) >> S_RXMAPCHANNEL1) & M_RXMAPCHANNEL1)
24541
24542#define S_RXMAPCHANNEL0 0
24543#define M_RXMAPCHANNEL0 0xffU
24544#define V_RXMAPCHANNEL0(x) ((x) << S_RXMAPCHANNEL0)
24545#define G_RXMAPCHANNEL0(x) (((x) >> S_RXMAPCHANNEL0) & M_RXMAPCHANNEL0)
24546
24547#define A_TP_RX_SCHED_SGE 0x21
24548
24549#define S_RXSGEMOD1 12
24550#define M_RXSGEMOD1 0xfU
24551#define V_RXSGEMOD1(x) ((x) << S_RXSGEMOD1)
24552#define G_RXSGEMOD1(x) (((x) >> S_RXSGEMOD1) & M_RXSGEMOD1)
24553
24554#define S_RXSGEMOD0 8
24555#define M_RXSGEMOD0 0xfU
24556#define V_RXSGEMOD0(x) ((x) << S_RXSGEMOD0)
24557#define G_RXSGEMOD0(x) (((x) >> S_RXSGEMOD0) & M_RXSGEMOD0)
24558
24559#define S_RXSGECHANNEL3 3
24560#define V_RXSGECHANNEL3(x) ((x) << S_RXSGECHANNEL3)
24561#define F_RXSGECHANNEL3 V_RXSGECHANNEL3(1U)
24562
24563#define S_RXSGECHANNEL2 2
24564#define V_RXSGECHANNEL2(x) ((x) << S_RXSGECHANNEL2)
24565#define F_RXSGECHANNEL2 V_RXSGECHANNEL2(1U)
24566
24567#define S_RXSGECHANNEL1 1
24568#define V_RXSGECHANNEL1(x) ((x) << S_RXSGECHANNEL1)
24569#define F_RXSGECHANNEL1 V_RXSGECHANNEL1(1U)
24570
24571#define S_RXSGECHANNEL0 0
24572#define V_RXSGECHANNEL0(x) ((x) << S_RXSGECHANNEL0)
24573#define F_RXSGECHANNEL0 V_RXSGECHANNEL0(1U)
24574
24575#define A_TP_TX_SCHED_MAP 0x22
24576
24577#define S_TXMAPCHANNEL3 12
24578#define M_TXMAPCHANNEL3 0xfU
24579#define V_TXMAPCHANNEL3(x) ((x) << S_TXMAPCHANNEL3)
24580#define G_TXMAPCHANNEL3(x) (((x) >> S_TXMAPCHANNEL3) & M_TXMAPCHANNEL3)
24581
24582#define S_TXMAPCHANNEL2 8
24583#define M_TXMAPCHANNEL2 0xfU
24584#define V_TXMAPCHANNEL2(x) ((x) << S_TXMAPCHANNEL2)
24585#define G_TXMAPCHANNEL2(x) (((x) >> S_TXMAPCHANNEL2) & M_TXMAPCHANNEL2)
24586
24587#define S_TXMAPCHANNEL1 4
24588#define M_TXMAPCHANNEL1 0xfU
24589#define V_TXMAPCHANNEL1(x) ((x) << S_TXMAPCHANNEL1)
24590#define G_TXMAPCHANNEL1(x) (((x) >> S_TXMAPCHANNEL1) & M_TXMAPCHANNEL1)
24591
24592#define S_TXMAPCHANNEL0 0
24593#define M_TXMAPCHANNEL0 0xfU
24594#define V_TXMAPCHANNEL0(x) ((x) << S_TXMAPCHANNEL0)
24595#define G_TXMAPCHANNEL0(x) (((x) >> S_TXMAPCHANNEL0) & M_TXMAPCHANNEL0)
24596
24597#define S_TXLPKCHANNEL1 17
24598#define V_TXLPKCHANNEL1(x) ((x) << S_TXLPKCHANNEL1)
24599#define F_TXLPKCHANNEL1 V_TXLPKCHANNEL1(1U)
24600
24601#define S_TXLPKCHANNEL0 16
24602#define V_TXLPKCHANNEL0(x) ((x) << S_TXLPKCHANNEL0)
24603#define F_TXLPKCHANNEL0 V_TXLPKCHANNEL0(1U)
24604
24605#define A_TP_TX_SCHED_HDR 0x23
24606
24607#define S_TXMAPHDRCHANNEL7 28
24608#define M_TXMAPHDRCHANNEL7 0xfU
24609#define V_TXMAPHDRCHANNEL7(x) ((x) << S_TXMAPHDRCHANNEL7)
24610#define G_TXMAPHDRCHANNEL7(x) (((x) >> S_TXMAPHDRCHANNEL7) & M_TXMAPHDRCHANNEL7)
24611
24612#define S_TXMAPHDRCHANNEL6 24
24613#define M_TXMAPHDRCHANNEL6 0xfU
24614#define V_TXMAPHDRCHANNEL6(x) ((x) << S_TXMAPHDRCHANNEL6)
24615#define G_TXMAPHDRCHANNEL6(x) (((x) >> S_TXMAPHDRCHANNEL6) & M_TXMAPHDRCHANNEL6)
24616
24617#define S_TXMAPHDRCHANNEL5 20
24618#define M_TXMAPHDRCHANNEL5 0xfU
24619#define V_TXMAPHDRCHANNEL5(x) ((x) << S_TXMAPHDRCHANNEL5)
24620#define G_TXMAPHDRCHANNEL5(x) (((x) >> S_TXMAPHDRCHANNEL5) & M_TXMAPHDRCHANNEL5)
24621
24622#define S_TXMAPHDRCHANNEL4 16
24623#define M_TXMAPHDRCHANNEL4 0xfU
24624#define V_TXMAPHDRCHANNEL4(x) ((x) << S_TXMAPHDRCHANNEL4)
24625#define G_TXMAPHDRCHANNEL4(x) (((x) >> S_TXMAPHDRCHANNEL4) & M_TXMAPHDRCHANNEL4)
24626
24627#define S_TXMAPHDRCHANNEL3 12
24628#define M_TXMAPHDRCHANNEL3 0xfU
24629#define V_TXMAPHDRCHANNEL3(x) ((x) << S_TXMAPHDRCHANNEL3)
24630#define G_TXMAPHDRCHANNEL3(x) (((x) >> S_TXMAPHDRCHANNEL3) & M_TXMAPHDRCHANNEL3)
24631
24632#define S_TXMAPHDRCHANNEL2 8
24633#define M_TXMAPHDRCHANNEL2 0xfU
24634#define V_TXMAPHDRCHANNEL2(x) ((x) << S_TXMAPHDRCHANNEL2)
24635#define G_TXMAPHDRCHANNEL2(x) (((x) >> S_TXMAPHDRCHANNEL2) & M_TXMAPHDRCHANNEL2)
24636
24637#define S_TXMAPHDRCHANNEL1 4
24638#define M_TXMAPHDRCHANNEL1 0xfU
24639#define V_TXMAPHDRCHANNEL1(x) ((x) << S_TXMAPHDRCHANNEL1)
24640#define G_TXMAPHDRCHANNEL1(x) (((x) >> S_TXMAPHDRCHANNEL1) & M_TXMAPHDRCHANNEL1)
24641
24642#define S_TXMAPHDRCHANNEL0 0
24643#define M_TXMAPHDRCHANNEL0 0xfU
24644#define V_TXMAPHDRCHANNEL0(x) ((x) << S_TXMAPHDRCHANNEL0)
24645#define G_TXMAPHDRCHANNEL0(x) (((x) >> S_TXMAPHDRCHANNEL0) & M_TXMAPHDRCHANNEL0)
24646
24647#define A_TP_TX_SCHED_FIFO 0x24
24648
24649#define S_TXMAPFIFOCHANNEL7 28
24650#define M_TXMAPFIFOCHANNEL7 0xfU
24651#define V_TXMAPFIFOCHANNEL7(x) ((x) << S_TXMAPFIFOCHANNEL7)
24652#define G_TXMAPFIFOCHANNEL7(x) (((x) >> S_TXMAPFIFOCHANNEL7) & M_TXMAPFIFOCHANNEL7)
24653
24654#define S_TXMAPFIFOCHANNEL6 24
24655#define M_TXMAPFIFOCHANNEL6 0xfU
24656#define V_TXMAPFIFOCHANNEL6(x) ((x) << S_TXMAPFIFOCHANNEL6)
24657#define G_TXMAPFIFOCHANNEL6(x) (((x) >> S_TXMAPFIFOCHANNEL6) & M_TXMAPFIFOCHANNEL6)
24658
24659#define S_TXMAPFIFOCHANNEL5 20
24660#define M_TXMAPFIFOCHANNEL5 0xfU
24661#define V_TXMAPFIFOCHANNEL5(x) ((x) << S_TXMAPFIFOCHANNEL5)
24662#define G_TXMAPFIFOCHANNEL5(x) (((x) >> S_TXMAPFIFOCHANNEL5) & M_TXMAPFIFOCHANNEL5)
24663
24664#define S_TXMAPFIFOCHANNEL4 16
24665#define M_TXMAPFIFOCHANNEL4 0xfU
24666#define V_TXMAPFIFOCHANNEL4(x) ((x) << S_TXMAPFIFOCHANNEL4)
24667#define G_TXMAPFIFOCHANNEL4(x) (((x) >> S_TXMAPFIFOCHANNEL4) & M_TXMAPFIFOCHANNEL4)
24668
24669#define S_TXMAPFIFOCHANNEL3 12
24670#define M_TXMAPFIFOCHANNEL3 0xfU
24671#define V_TXMAPFIFOCHANNEL3(x) ((x) << S_TXMAPFIFOCHANNEL3)
24672#define G_TXMAPFIFOCHANNEL3(x) (((x) >> S_TXMAPFIFOCHANNEL3) & M_TXMAPFIFOCHANNEL3)
24673
24674#define S_TXMAPFIFOCHANNEL2 8
24675#define M_TXMAPFIFOCHANNEL2 0xfU
24676#define V_TXMAPFIFOCHANNEL2(x) ((x) << S_TXMAPFIFOCHANNEL2)
24677#define G_TXMAPFIFOCHANNEL2(x) (((x) >> S_TXMAPFIFOCHANNEL2) & M_TXMAPFIFOCHANNEL2)
24678
24679#define S_TXMAPFIFOCHANNEL1 4
24680#define M_TXMAPFIFOCHANNEL1 0xfU
24681#define V_TXMAPFIFOCHANNEL1(x) ((x) << S_TXMAPFIFOCHANNEL1)
24682#define G_TXMAPFIFOCHANNEL1(x) (((x) >> S_TXMAPFIFOCHANNEL1) & M_TXMAPFIFOCHANNEL1)
24683
24684#define S_TXMAPFIFOCHANNEL0 0
24685#define M_TXMAPFIFOCHANNEL0 0xfU
24686#define V_TXMAPFIFOCHANNEL0(x) ((x) << S_TXMAPFIFOCHANNEL0)
24687#define G_TXMAPFIFOCHANNEL0(x) (((x) >> S_TXMAPFIFOCHANNEL0) & M_TXMAPFIFOCHANNEL0)
24688
24689#define A_TP_TX_SCHED_PCMD 0x25
24690
24691#define S_TXMAPPCMDCHANNEL7 28
24692#define M_TXMAPPCMDCHANNEL7 0xfU
24693#define V_TXMAPPCMDCHANNEL7(x) ((x) << S_TXMAPPCMDCHANNEL7)
24694#define G_TXMAPPCMDCHANNEL7(x) (((x) >> S_TXMAPPCMDCHANNEL7) & M_TXMAPPCMDCHANNEL7)
24695
24696#define S_TXMAPPCMDCHANNEL6 24
24697#define M_TXMAPPCMDCHANNEL6 0xfU
24698#define V_TXMAPPCMDCHANNEL6(x) ((x) << S_TXMAPPCMDCHANNEL6)
24699#define G_TXMAPPCMDCHANNEL6(x) (((x) >> S_TXMAPPCMDCHANNEL6) & M_TXMAPPCMDCHANNEL6)
24700
24701#define S_TXMAPPCMDCHANNEL5 20
24702#define M_TXMAPPCMDCHANNEL5 0xfU
24703#define V_TXMAPPCMDCHANNEL5(x) ((x) << S_TXMAPPCMDCHANNEL5)
24704#define G_TXMAPPCMDCHANNEL5(x) (((x) >> S_TXMAPPCMDCHANNEL5) & M_TXMAPPCMDCHANNEL5)
24705
24706#define S_TXMAPPCMDCHANNEL4 16
24707#define M_TXMAPPCMDCHANNEL4 0xfU
24708#define V_TXMAPPCMDCHANNEL4(x) ((x) << S_TXMAPPCMDCHANNEL4)
24709#define G_TXMAPPCMDCHANNEL4(x) (((x) >> S_TXMAPPCMDCHANNEL4) & M_TXMAPPCMDCHANNEL4)
24710
24711#define S_TXMAPPCMDCHANNEL3 12
24712#define M_TXMAPPCMDCHANNEL3 0xfU
24713#define V_TXMAPPCMDCHANNEL3(x) ((x) << S_TXMAPPCMDCHANNEL3)
24714#define G_TXMAPPCMDCHANNEL3(x) (((x) >> S_TXMAPPCMDCHANNEL3) & M_TXMAPPCMDCHANNEL3)
24715
24716#define S_TXMAPPCMDCHANNEL2 8
24717#define M_TXMAPPCMDCHANNEL2 0xfU
24718#define V_TXMAPPCMDCHANNEL2(x) ((x) << S_TXMAPPCMDCHANNEL2)
24719#define G_TXMAPPCMDCHANNEL2(x) (((x) >> S_TXMAPPCMDCHANNEL2) & M_TXMAPPCMDCHANNEL2)
24720
24721#define S_TXMAPPCMDCHANNEL1 4
24722#define M_TXMAPPCMDCHANNEL1 0xfU
24723#define V_TXMAPPCMDCHANNEL1(x) ((x) << S_TXMAPPCMDCHANNEL1)
24724#define G_TXMAPPCMDCHANNEL1(x) (((x) >> S_TXMAPPCMDCHANNEL1) & M_TXMAPPCMDCHANNEL1)
24725
24726#define S_TXMAPPCMDCHANNEL0 0
24727#define M_TXMAPPCMDCHANNEL0 0xfU
24728#define V_TXMAPPCMDCHANNEL0(x) ((x) << S_TXMAPPCMDCHANNEL0)
24729#define G_TXMAPPCMDCHANNEL0(x) (((x) >> S_TXMAPPCMDCHANNEL0) & M_TXMAPPCMDCHANNEL0)
24730
24731#define A_TP_TX_SCHED_LPBK 0x26
24732
24733#define S_TXMAPLPBKCHANNEL7 28
24734#define M_TXMAPLPBKCHANNEL7 0xfU
24735#define V_TXMAPLPBKCHANNEL7(x) ((x) << S_TXMAPLPBKCHANNEL7)
24736#define G_TXMAPLPBKCHANNEL7(x) (((x) >> S_TXMAPLPBKCHANNEL7) & M_TXMAPLPBKCHANNEL7)
24737
24738#define S_TXMAPLPBKCHANNEL6 24
24739#define M_TXMAPLPBKCHANNEL6 0xfU
24740#define V_TXMAPLPBKCHANNEL6(x) ((x) << S_TXMAPLPBKCHANNEL6)
24741#define G_TXMAPLPBKCHANNEL6(x) (((x) >> S_TXMAPLPBKCHANNEL6) & M_TXMAPLPBKCHANNEL6)
24742
24743#define S_TXMAPLPBKCHANNEL5 20
24744#define M_TXMAPLPBKCHANNEL5 0xfU
24745#define V_TXMAPLPBKCHANNEL5(x) ((x) << S_TXMAPLPBKCHANNEL5)
24746#define G_TXMAPLPBKCHANNEL5(x) (((x) >> S_TXMAPLPBKCHANNEL5) & M_TXMAPLPBKCHANNEL5)
24747
24748#define S_TXMAPLPBKCHANNEL4 16
24749#define M_TXMAPLPBKCHANNEL4 0xfU
24750#define V_TXMAPLPBKCHANNEL4(x) ((x) << S_TXMAPLPBKCHANNEL4)
24751#define G_TXMAPLPBKCHANNEL4(x) (((x) >> S_TXMAPLPBKCHANNEL4) & M_TXMAPLPBKCHANNEL4)
24752
24753#define S_TXMAPLPBKCHANNEL3 12
24754#define M_TXMAPLPBKCHANNEL3 0xfU
24755#define V_TXMAPLPBKCHANNEL3(x) ((x) << S_TXMAPLPBKCHANNEL3)
24756#define G_TXMAPLPBKCHANNEL3(x) (((x) >> S_TXMAPLPBKCHANNEL3) & M_TXMAPLPBKCHANNEL3)
24757
24758#define S_TXMAPLPBKCHANNEL2 8
24759#define M_TXMAPLPBKCHANNEL2 0xfU
24760#define V_TXMAPLPBKCHANNEL2(x) ((x) << S_TXMAPLPBKCHANNEL2)
24761#define G_TXMAPLPBKCHANNEL2(x) (((x) >> S_TXMAPLPBKCHANNEL2) & M_TXMAPLPBKCHANNEL2)
24762
24763#define S_TXMAPLPBKCHANNEL1 4
24764#define M_TXMAPLPBKCHANNEL1 0xfU
24765#define V_TXMAPLPBKCHANNEL1(x) ((x) << S_TXMAPLPBKCHANNEL1)
24766#define G_TXMAPLPBKCHANNEL1(x) (((x) >> S_TXMAPLPBKCHANNEL1) & M_TXMAPLPBKCHANNEL1)
24767
24768#define S_TXMAPLPBKCHANNEL0 0
24769#define M_TXMAPLPBKCHANNEL0 0xfU
24770#define V_TXMAPLPBKCHANNEL0(x) ((x) << S_TXMAPLPBKCHANNEL0)
24771#define G_TXMAPLPBKCHANNEL0(x) (((x) >> S_TXMAPLPBKCHANNEL0) & M_TXMAPLPBKCHANNEL0)
24772
24773#define A_TP_CHANNEL_MAP 0x27
24774
24775#define S_RXMAPCHANNELELN 16
24776#define M_RXMAPCHANNELELN 0xfU
24777#define V_RXMAPCHANNELELN(x) ((x) << S_RXMAPCHANNELELN)
24778#define G_RXMAPCHANNELELN(x) (((x) >> S_RXMAPCHANNELELN) & M_RXMAPCHANNELELN)
24779
24780#define S_RXMAPE2LCHANNEL3 14
24781#define M_RXMAPE2LCHANNEL3 0x3U
24782#define V_RXMAPE2LCHANNEL3(x) ((x) << S_RXMAPE2LCHANNEL3)
24783#define G_RXMAPE2LCHANNEL3(x) (((x) >> S_RXMAPE2LCHANNEL3) & M_RXMAPE2LCHANNEL3)
24784
24785#define S_RXMAPE2LCHANNEL2 12
24786#define M_RXMAPE2LCHANNEL2 0x3U
24787#define V_RXMAPE2LCHANNEL2(x) ((x) << S_RXMAPE2LCHANNEL2)
24788#define G_RXMAPE2LCHANNEL2(x) (((x) >> S_RXMAPE2LCHANNEL2) & M_RXMAPE2LCHANNEL2)
24789
24790#define S_RXMAPE2LCHANNEL1 10
24791#define M_RXMAPE2LCHANNEL1 0x3U
24792#define V_RXMAPE2LCHANNEL1(x) ((x) << S_RXMAPE2LCHANNEL1)
24793#define G_RXMAPE2LCHANNEL1(x) (((x) >> S_RXMAPE2LCHANNEL1) & M_RXMAPE2LCHANNEL1)
24794
24795#define S_RXMAPE2LCHANNEL0 8
24796#define M_RXMAPE2LCHANNEL0 0x3U
24797#define V_RXMAPE2LCHANNEL0(x) ((x) << S_RXMAPE2LCHANNEL0)
24798#define G_RXMAPE2LCHANNEL0(x) (((x) >> S_RXMAPE2LCHANNEL0) & M_RXMAPE2LCHANNEL0)
24799
24800#define S_RXMAPC2CCHANNEL3 7
24801#define V_RXMAPC2CCHANNEL3(x) ((x) << S_RXMAPC2CCHANNEL3)
24802#define F_RXMAPC2CCHANNEL3 V_RXMAPC2CCHANNEL3(1U)
24803
24804#define S_RXMAPC2CCHANNEL2 6
24805#define V_RXMAPC2CCHANNEL2(x) ((x) << S_RXMAPC2CCHANNEL2)
24806#define F_RXMAPC2CCHANNEL2 V_RXMAPC2CCHANNEL2(1U)
24807
24808#define S_RXMAPC2CCHANNEL1 5
24809#define V_RXMAPC2CCHANNEL1(x) ((x) << S_RXMAPC2CCHANNEL1)
24810#define F_RXMAPC2CCHANNEL1 V_RXMAPC2CCHANNEL1(1U)
24811
24812#define S_RXMAPC2CCHANNEL0 4
24813#define V_RXMAPC2CCHANNEL0(x) ((x) << S_RXMAPC2CCHANNEL0)
24814#define F_RXMAPC2CCHANNEL0 V_RXMAPC2CCHANNEL0(1U)
24815
24816#define S_RXMAPE2CCHANNEL3 3
24817#define V_RXMAPE2CCHANNEL3(x) ((x) << S_RXMAPE2CCHANNEL3)
24818#define F_RXMAPE2CCHANNEL3 V_RXMAPE2CCHANNEL3(1U)
24819
24820#define S_RXMAPE2CCHANNEL2 2
24821#define V_RXMAPE2CCHANNEL2(x) ((x) << S_RXMAPE2CCHANNEL2)
24822#define F_RXMAPE2CCHANNEL2 V_RXMAPE2CCHANNEL2(1U)
24823
24824#define S_RXMAPE2CCHANNEL1 1
24825#define V_RXMAPE2CCHANNEL1(x) ((x) << S_RXMAPE2CCHANNEL1)
24826#define F_RXMAPE2CCHANNEL1 V_RXMAPE2CCHANNEL1(1U)
24827
24828#define S_RXMAPE2CCHANNEL0 0
24829#define V_RXMAPE2CCHANNEL0(x) ((x) << S_RXMAPE2CCHANNEL0)
24830#define F_RXMAPE2CCHANNEL0 V_RXMAPE2CCHANNEL0(1U)
24831
24832#define A_TP_RX_LPBK 0x28
24833#define A_TP_TX_LPBK 0x29
24834#define A_TP_TX_SCHED_PPP 0x2a
24835
24836#define S_TXPPPENPORT3 24
24837#define M_TXPPPENPORT3 0xffU
24838#define V_TXPPPENPORT3(x) ((x) << S_TXPPPENPORT3)
24839#define G_TXPPPENPORT3(x) (((x) >> S_TXPPPENPORT3) & M_TXPPPENPORT3)
24840
24841#define S_TXPPPENPORT2 16
24842#define M_TXPPPENPORT2 0xffU
24843#define V_TXPPPENPORT2(x) ((x) << S_TXPPPENPORT2)
24844#define G_TXPPPENPORT2(x) (((x) >> S_TXPPPENPORT2) & M_TXPPPENPORT2)
24845
24846#define S_TXPPPENPORT1 8
24847#define M_TXPPPENPORT1 0xffU
24848#define V_TXPPPENPORT1(x) ((x) << S_TXPPPENPORT1)
24849#define G_TXPPPENPORT1(x) (((x) >> S_TXPPPENPORT1) & M_TXPPPENPORT1)
24850
24851#define S_TXPPPENPORT0 0
24852#define M_TXPPPENPORT0 0xffU
24853#define V_TXPPPENPORT0(x) ((x) << S_TXPPPENPORT0)
24854#define G_TXPPPENPORT0(x) (((x) >> S_TXPPPENPORT0) & M_TXPPPENPORT0)
24855
24856#define A_TP_RX_SCHED_FIFO 0x2b
24857
24858#define S_COMMITLIMIT1H 24
24859#define M_COMMITLIMIT1H 0xffU
24860#define V_COMMITLIMIT1H(x) ((x) << S_COMMITLIMIT1H)
24861#define G_COMMITLIMIT1H(x) (((x) >> S_COMMITLIMIT1H) & M_COMMITLIMIT1H)
24862
24863#define S_COMMITLIMIT1L 16
24864#define M_COMMITLIMIT1L 0xffU
24865#define V_COMMITLIMIT1L(x) ((x) << S_COMMITLIMIT1L)
24866#define G_COMMITLIMIT1L(x) (((x) >> S_COMMITLIMIT1L) & M_COMMITLIMIT1L)
24867
24868#define S_COMMITLIMIT0H 8
24869#define M_COMMITLIMIT0H 0xffU
24870#define V_COMMITLIMIT0H(x) ((x) << S_COMMITLIMIT0H)
24871#define G_COMMITLIMIT0H(x) (((x) >> S_COMMITLIMIT0H) & M_COMMITLIMIT0H)
24872
24873#define S_COMMITLIMIT0L 0
24874#define M_COMMITLIMIT0L 0xffU
24875#define V_COMMITLIMIT0L(x) ((x) << S_COMMITLIMIT0L)
24876#define G_COMMITLIMIT0L(x) (((x) >> S_COMMITLIMIT0L) & M_COMMITLIMIT0L)
24877
24878#define A_TP_IPMI_CFG1 0x2e
24879
24880#define S_VLANENABLE 31
24881#define V_VLANENABLE(x) ((x) << S_VLANENABLE)
24882#define F_VLANENABLE V_VLANENABLE(1U)
24883
24884#define S_PRIMARYPORTENABLE 30
24885#define V_PRIMARYPORTENABLE(x) ((x) << S_PRIMARYPORTENABLE)
24886#define F_PRIMARYPORTENABLE V_PRIMARYPORTENABLE(1U)
24887
24888#define S_SECUREPORTENABLE 29
24889#define V_SECUREPORTENABLE(x) ((x) << S_SECUREPORTENABLE)
24890#define F_SECUREPORTENABLE V_SECUREPORTENABLE(1U)
24891
24892#define S_ARPENABLE 28
24893#define V_ARPENABLE(x) ((x) << S_ARPENABLE)
24894#define F_ARPENABLE V_ARPENABLE(1U)
24895
24896#define S_IPMI_VLAN 0
24897#define M_IPMI_VLAN 0xffffU
24898#define V_IPMI_VLAN(x) ((x) << S_IPMI_VLAN)
24899#define G_IPMI_VLAN(x) (((x) >> S_IPMI_VLAN) & M_IPMI_VLAN)
24900
24901#define A_TP_IPMI_CFG2 0x2f
24902
24903#define S_SECUREPORT 16
24904#define M_SECUREPORT 0xffffU
24905#define V_SECUREPORT(x) ((x) << S_SECUREPORT)
24906#define G_SECUREPORT(x) (((x) >> S_SECUREPORT) & M_SECUREPORT)
24907
24908#define S_PRIMARYPORT 0
24909#define M_PRIMARYPORT 0xffffU
24910#define V_PRIMARYPORT(x) ((x) << S_PRIMARYPORT)
24911#define G_PRIMARYPORT(x) (((x) >> S_PRIMARYPORT) & M_PRIMARYPORT)
24912
24913#define A_TP_RSS_PF0_CONFIG 0x30
24914
24915#define S_MAPENABLE 31
24916#define V_MAPENABLE(x) ((x) << S_MAPENABLE)
24917#define F_MAPENABLE V_MAPENABLE(1U)
24918
24919#define S_CHNENABLE 30
24920#define V_CHNENABLE(x) ((x) << S_CHNENABLE)
24921#define F_CHNENABLE V_CHNENABLE(1U)
24922
24923#define S_PRTENABLE 29
24924#define V_PRTENABLE(x) ((x) << S_PRTENABLE)
24925#define F_PRTENABLE V_PRTENABLE(1U)
24926
24927#define S_UDPFOURTUPEN 28
24928#define V_UDPFOURTUPEN(x) ((x) << S_UDPFOURTUPEN)
24929#define F_UDPFOURTUPEN V_UDPFOURTUPEN(1U)
24930
24931#define S_IP6FOURTUPEN 27
24932#define V_IP6FOURTUPEN(x) ((x) << S_IP6FOURTUPEN)
24933#define F_IP6FOURTUPEN V_IP6FOURTUPEN(1U)
24934
24935#define S_IP6TWOTUPEN 26
24936#define V_IP6TWOTUPEN(x) ((x) << S_IP6TWOTUPEN)
24937#define F_IP6TWOTUPEN V_IP6TWOTUPEN(1U)
24938
24939#define S_IP4FOURTUPEN 25
24940#define V_IP4FOURTUPEN(x) ((x) << S_IP4FOURTUPEN)
24941#define F_IP4FOURTUPEN V_IP4FOURTUPEN(1U)
24942
24943#define S_IP4TWOTUPEN 24
24944#define V_IP4TWOTUPEN(x) ((x) << S_IP4TWOTUPEN)
24945#define F_IP4TWOTUPEN V_IP4TWOTUPEN(1U)
24946
24947#define S_IVFWIDTH 20
24948#define M_IVFWIDTH 0xfU
24949#define V_IVFWIDTH(x) ((x) << S_IVFWIDTH)
24950#define G_IVFWIDTH(x) (((x) >> S_IVFWIDTH) & M_IVFWIDTH)
24951
24952#define S_CH1DEFAULTQUEUE 10
24953#define M_CH1DEFAULTQUEUE 0x3ffU
24954#define V_CH1DEFAULTQUEUE(x) ((x) << S_CH1DEFAULTQUEUE)
24955#define G_CH1DEFAULTQUEUE(x) (((x) >> S_CH1DEFAULTQUEUE) & M_CH1DEFAULTQUEUE)
24956
24957#define S_CH0DEFAULTQUEUE 0
24958#define M_CH0DEFAULTQUEUE 0x3ffU
24959#define V_CH0DEFAULTQUEUE(x) ((x) << S_CH0DEFAULTQUEUE)
24960#define G_CH0DEFAULTQUEUE(x) (((x) >> S_CH0DEFAULTQUEUE) & M_CH0DEFAULTQUEUE)
24961
24962#define S_PRIENABLE 30
24963#define V_PRIENABLE(x) ((x) << S_PRIENABLE)
24964#define F_PRIENABLE V_PRIENABLE(1U)
24965
24966#define S_T6_CHNENABLE 29
24967#define V_T6_CHNENABLE(x) ((x) << S_T6_CHNENABLE)
24968#define F_T6_CHNENABLE V_T6_CHNENABLE(1U)
24969
24970#define A_TP_RSS_PF1_CONFIG 0x31
24971
24972#define S_T6_CHNENABLE 29
24973#define V_T6_CHNENABLE(x) ((x) << S_T6_CHNENABLE)
24974#define F_T6_CHNENABLE V_T6_CHNENABLE(1U)
24975
24976#define A_TP_RSS_PF2_CONFIG 0x32
24977
24978#define S_T6_CHNENABLE 29
24979#define V_T6_CHNENABLE(x) ((x) << S_T6_CHNENABLE)
24980#define F_T6_CHNENABLE V_T6_CHNENABLE(1U)
24981
24982#define A_TP_RSS_PF3_CONFIG 0x33
24983
24984#define S_T6_CHNENABLE 29
24985#define V_T6_CHNENABLE(x) ((x) << S_T6_CHNENABLE)
24986#define F_T6_CHNENABLE V_T6_CHNENABLE(1U)
24987
24988#define A_TP_RSS_PF4_CONFIG 0x34
24989
24990#define S_T6_CHNENABLE 29
24991#define V_T6_CHNENABLE(x) ((x) << S_T6_CHNENABLE)
24992#define F_T6_CHNENABLE V_T6_CHNENABLE(1U)
24993
24994#define A_TP_RSS_PF5_CONFIG 0x35
24995
24996#define S_T6_CHNENABLE 29
24997#define V_T6_CHNENABLE(x) ((x) << S_T6_CHNENABLE)
24998#define F_T6_CHNENABLE V_T6_CHNENABLE(1U)
24999
25000#define A_TP_RSS_PF6_CONFIG 0x36
25001
25002#define S_T6_CHNENABLE 29
25003#define V_T6_CHNENABLE(x) ((x) << S_T6_CHNENABLE)
25004#define F_T6_CHNENABLE V_T6_CHNENABLE(1U)
25005
25006#define A_TP_RSS_PF7_CONFIG 0x37
25007
25008#define S_T6_CHNENABLE 29
25009#define V_T6_CHNENABLE(x) ((x) << S_T6_CHNENABLE)
25010#define F_T6_CHNENABLE V_T6_CHNENABLE(1U)
25011
25012#define A_TP_RSS_PF_MAP 0x38
25013
25014#define S_LKPIDXSIZE 24
25015#define M_LKPIDXSIZE 0x3U
25016#define V_LKPIDXSIZE(x) ((x) << S_LKPIDXSIZE)
25017#define G_LKPIDXSIZE(x) (((x) >> S_LKPIDXSIZE) & M_LKPIDXSIZE)
25018
25019#define S_PF7LKPIDX 21
25020#define M_PF7LKPIDX 0x7U
25021#define V_PF7LKPIDX(x) ((x) << S_PF7LKPIDX)
25022#define G_PF7LKPIDX(x) (((x) >> S_PF7LKPIDX) & M_PF7LKPIDX)
25023
25024#define S_PF6LKPIDX 18
25025#define M_PF6LKPIDX 0x7U
25026#define V_PF6LKPIDX(x) ((x) << S_PF6LKPIDX)
25027#define G_PF6LKPIDX(x) (((x) >> S_PF6LKPIDX) & M_PF6LKPIDX)
25028
25029#define S_PF5LKPIDX 15
25030#define M_PF5LKPIDX 0x7U
25031#define V_PF5LKPIDX(x) ((x) << S_PF5LKPIDX)
25032#define G_PF5LKPIDX(x) (((x) >> S_PF5LKPIDX) & M_PF5LKPIDX)
25033
25034#define S_PF4LKPIDX 12
25035#define M_PF4LKPIDX 0x7U
25036#define V_PF4LKPIDX(x) ((x) << S_PF4LKPIDX)
25037#define G_PF4LKPIDX(x) (((x) >> S_PF4LKPIDX) & M_PF4LKPIDX)
25038
25039#define S_PF3LKPIDX 9
25040#define M_PF3LKPIDX 0x7U
25041#define V_PF3LKPIDX(x) ((x) << S_PF3LKPIDX)
25042#define G_PF3LKPIDX(x) (((x) >> S_PF3LKPIDX) & M_PF3LKPIDX)
25043
25044#define S_PF2LKPIDX 6
25045#define M_PF2LKPIDX 0x7U
25046#define V_PF2LKPIDX(x) ((x) << S_PF2LKPIDX)
25047#define G_PF2LKPIDX(x) (((x) >> S_PF2LKPIDX) & M_PF2LKPIDX)
25048
25049#define S_PF1LKPIDX 3
25050#define M_PF1LKPIDX 0x7U
25051#define V_PF1LKPIDX(x) ((x) << S_PF1LKPIDX)
25052#define G_PF1LKPIDX(x) (((x) >> S_PF1LKPIDX) & M_PF1LKPIDX)
25053
25054#define S_PF0LKPIDX 0
25055#define M_PF0LKPIDX 0x7U
25056#define V_PF0LKPIDX(x) ((x) << S_PF0LKPIDX)
25057#define G_PF0LKPIDX(x) (((x) >> S_PF0LKPIDX) & M_PF0LKPIDX)
25058
25059#define A_TP_RSS_PF_MSK 0x39
25060
25061#define S_PF7MSKSIZE 28
25062#define M_PF7MSKSIZE 0xfU
25063#define V_PF7MSKSIZE(x) ((x) << S_PF7MSKSIZE)
25064#define G_PF7MSKSIZE(x) (((x) >> S_PF7MSKSIZE) & M_PF7MSKSIZE)
25065
25066#define S_PF6MSKSIZE 24
25067#define M_PF6MSKSIZE 0xfU
25068#define V_PF6MSKSIZE(x) ((x) << S_PF6MSKSIZE)
25069#define G_PF6MSKSIZE(x) (((x) >> S_PF6MSKSIZE) & M_PF6MSKSIZE)
25070
25071#define S_PF5MSKSIZE 20
25072#define M_PF5MSKSIZE 0xfU
25073#define V_PF5MSKSIZE(x) ((x) << S_PF5MSKSIZE)
25074#define G_PF5MSKSIZE(x) (((x) >> S_PF5MSKSIZE) & M_PF5MSKSIZE)
25075
25076#define S_PF4MSKSIZE 16
25077#define M_PF4MSKSIZE 0xfU
25078#define V_PF4MSKSIZE(x) ((x) << S_PF4MSKSIZE)
25079#define G_PF4MSKSIZE(x) (((x) >> S_PF4MSKSIZE) & M_PF4MSKSIZE)
25080
25081#define S_PF3MSKSIZE 12
25082#define M_PF3MSKSIZE 0xfU
25083#define V_PF3MSKSIZE(x) ((x) << S_PF3MSKSIZE)
25084#define G_PF3MSKSIZE(x) (((x) >> S_PF3MSKSIZE) & M_PF3MSKSIZE)
25085
25086#define S_PF2MSKSIZE 8
25087#define M_PF2MSKSIZE 0xfU
25088#define V_PF2MSKSIZE(x) ((x) << S_PF2MSKSIZE)
25089#define G_PF2MSKSIZE(x) (((x) >> S_PF2MSKSIZE) & M_PF2MSKSIZE)
25090
25091#define S_PF1MSKSIZE 4
25092#define M_PF1MSKSIZE 0xfU
25093#define V_PF1MSKSIZE(x) ((x) << S_PF1MSKSIZE)
25094#define G_PF1MSKSIZE(x) (((x) >> S_PF1MSKSIZE) & M_PF1MSKSIZE)
25095
25096#define S_PF0MSKSIZE 0
25097#define M_PF0MSKSIZE 0xfU
25098#define V_PF0MSKSIZE(x) ((x) << S_PF0MSKSIZE)
25099#define G_PF0MSKSIZE(x) (((x) >> S_PF0MSKSIZE) & M_PF0MSKSIZE)
25100
25101#define A_TP_RSS_VFL_CONFIG 0x3a
25102#define A_TP_RSS_VFH_CONFIG 0x3b
25103
25104#define S_ENABLEUDPHASH 31
25105#define V_ENABLEUDPHASH(x) ((x) << S_ENABLEUDPHASH)
25106#define F_ENABLEUDPHASH V_ENABLEUDPHASH(1U)
25107
25108#define S_VFUPEN 30
25109#define V_VFUPEN(x) ((x) << S_VFUPEN)
25110#define F_VFUPEN V_VFUPEN(1U)
25111
25112#define S_VFVLNEX 28
25113#define V_VFVLNEX(x) ((x) << S_VFVLNEX)
25114#define F_VFVLNEX V_VFVLNEX(1U)
25115
25116#define S_VFPRTEN 27
25117#define V_VFPRTEN(x) ((x) << S_VFPRTEN)
25118#define F_VFPRTEN V_VFPRTEN(1U)
25119
25120#define S_VFCHNEN 26
25121#define V_VFCHNEN(x) ((x) << S_VFCHNEN)
25122#define F_VFCHNEN V_VFCHNEN(1U)
25123
25124#define S_DEFAULTQUEUE 16
25125#define M_DEFAULTQUEUE 0x3ffU
25126#define V_DEFAULTQUEUE(x) ((x) << S_DEFAULTQUEUE)
25127#define G_DEFAULTQUEUE(x) (((x) >> S_DEFAULTQUEUE) & M_DEFAULTQUEUE)
25128
25129#define S_VFLKPIDX 8
25130#define M_VFLKPIDX 0xffU
25131#define V_VFLKPIDX(x) ((x) << S_VFLKPIDX)
25132#define G_VFLKPIDX(x) (((x) >> S_VFLKPIDX) & M_VFLKPIDX)
25133
25134#define S_VFIP6FOURTUPEN 7
25135#define V_VFIP6FOURTUPEN(x) ((x) << S_VFIP6FOURTUPEN)
25136#define F_VFIP6FOURTUPEN V_VFIP6FOURTUPEN(1U)
25137
25138#define S_VFIP6TWOTUPEN 6
25139#define V_VFIP6TWOTUPEN(x) ((x) << S_VFIP6TWOTUPEN)
25140#define F_VFIP6TWOTUPEN V_VFIP6TWOTUPEN(1U)
25141
25142#define S_VFIP4FOURTUPEN 5
25143#define V_VFIP4FOURTUPEN(x) ((x) << S_VFIP4FOURTUPEN)
25144#define F_VFIP4FOURTUPEN V_VFIP4FOURTUPEN(1U)
25145
25146#define S_VFIP4TWOTUPEN 4
25147#define V_VFIP4TWOTUPEN(x) ((x) << S_VFIP4TWOTUPEN)
25148#define F_VFIP4TWOTUPEN V_VFIP4TWOTUPEN(1U)
25149
25150#define S_KEYINDEX 0
25151#define M_KEYINDEX 0xfU
25152#define V_KEYINDEX(x) ((x) << S_KEYINDEX)
25153#define G_KEYINDEX(x) (((x) >> S_KEYINDEX) & M_KEYINDEX)
25154
25155#define A_TP_RSS_SECRET_KEY0 0x40
25156#define A_TP_RSS_SECRET_KEY1 0x41
25157#define A_TP_RSS_SECRET_KEY2 0x42
25158#define A_TP_RSS_SECRET_KEY3 0x43
25159#define A_TP_RSS_SECRET_KEY4 0x44
25160#define A_TP_RSS_SECRET_KEY5 0x45
25161#define A_TP_RSS_SECRET_KEY6 0x46
25162#define A_TP_RSS_SECRET_KEY7 0x47
25163#define A_TP_RSS_SECRET_KEY8 0x48
25164#define A_TP_RSS_SECRET_KEY9 0x49
25165#define A_TP_ETHER_TYPE_VL 0x50
25166
25167#define S_CQFCTYPE 16
25168#define M_CQFCTYPE 0xffffU
25169#define V_CQFCTYPE(x) ((x) << S_CQFCTYPE)
25170#define G_CQFCTYPE(x) (((x) >> S_CQFCTYPE) & M_CQFCTYPE)
25171
25172#define S_VLANTYPE 0
25173#define M_VLANTYPE 0xffffU
25174#define V_VLANTYPE(x) ((x) << S_VLANTYPE)
25175#define G_VLANTYPE(x) (((x) >> S_VLANTYPE) & M_VLANTYPE)
25176
25177#define A_TP_ETHER_TYPE_IP 0x51
25178
25179#define S_IPV6TYPE 16
25180#define M_IPV6TYPE 0xffffU
25181#define V_IPV6TYPE(x) ((x) << S_IPV6TYPE)
25182#define G_IPV6TYPE(x) (((x) >> S_IPV6TYPE) & M_IPV6TYPE)
25183
25184#define S_IPV4TYPE 0
25185#define M_IPV4TYPE 0xffffU
25186#define V_IPV4TYPE(x) ((x) << S_IPV4TYPE)
25187#define G_IPV4TYPE(x) (((x) >> S_IPV4TYPE) & M_IPV4TYPE)
25188
25189#define A_TP_ETHER_TYPE_FW 0x52
25190
25191#define S_ETHTYPE1 16
25192#define M_ETHTYPE1 0xffffU
25193#define V_ETHTYPE1(x) ((x) << S_ETHTYPE1)
25194#define G_ETHTYPE1(x) (((x) >> S_ETHTYPE1) & M_ETHTYPE1)
25195
25196#define S_ETHTYPE0 0
25197#define M_ETHTYPE0 0xffffU
25198#define V_ETHTYPE0(x) ((x) << S_ETHTYPE0)
25199#define G_ETHTYPE0(x) (((x) >> S_ETHTYPE0) & M_ETHTYPE0)
25200
25201#define A_TP_VXLAN_HEADER 0x53
25202
25203#define S_VXLANPORT 0
25204#define M_VXLANPORT 0xffffU
25205#define V_VXLANPORT(x) ((x) << S_VXLANPORT)
25206#define G_VXLANPORT(x) (((x) >> S_VXLANPORT) & M_VXLANPORT)
25207
25208#define A_TP_CORE_POWER 0x54
25209
25210#define S_SLEEPRDYVNT 12
25211#define V_SLEEPRDYVNT(x) ((x) << S_SLEEPRDYVNT)
25212#define F_SLEEPRDYVNT V_SLEEPRDYVNT(1U)
25213
25214#define S_SLEEPRDYTBL 11
25215#define V_SLEEPRDYTBL(x) ((x) << S_SLEEPRDYTBL)
25216#define F_SLEEPRDYTBL V_SLEEPRDYTBL(1U)
25217
25218#define S_SLEEPRDYMIB 10
25219#define V_SLEEPRDYMIB(x) ((x) << S_SLEEPRDYMIB)
25220#define F_SLEEPRDYMIB V_SLEEPRDYMIB(1U)
25221
25222#define S_SLEEPRDYARP 9
25223#define V_SLEEPRDYARP(x) ((x) << S_SLEEPRDYARP)
25224#define F_SLEEPRDYARP V_SLEEPRDYARP(1U)
25225
25226#define S_SLEEPRDYRSS 8
25227#define V_SLEEPRDYRSS(x) ((x) << S_SLEEPRDYRSS)
25228#define F_SLEEPRDYRSS V_SLEEPRDYRSS(1U)
25229
25230#define S_SLEEPREQVNT 4
25231#define V_SLEEPREQVNT(x) ((x) << S_SLEEPREQVNT)
25232#define F_SLEEPREQVNT V_SLEEPREQVNT(1U)
25233
25234#define S_SLEEPREQTBL 3
25235#define V_SLEEPREQTBL(x) ((x) << S_SLEEPREQTBL)
25236#define F_SLEEPREQTBL V_SLEEPREQTBL(1U)
25237
25238#define S_SLEEPREQMIB 2
25239#define V_SLEEPREQMIB(x) ((x) << S_SLEEPREQMIB)
25240#define F_SLEEPREQMIB V_SLEEPREQMIB(1U)
25241
25242#define S_SLEEPREQARP 1
25243#define V_SLEEPREQARP(x) ((x) << S_SLEEPREQARP)
25244#define F_SLEEPREQARP V_SLEEPREQARP(1U)
25245
25246#define S_SLEEPREQRSS 0
25247#define V_SLEEPREQRSS(x) ((x) << S_SLEEPREQRSS)
25248#define F_SLEEPREQRSS V_SLEEPREQRSS(1U)
25249
25250#define A_TP_CORE_RDMA 0x55
25251
25252#define S_IMMEDIATEOP 20
25253#define M_IMMEDIATEOP 0xfU
25254#define V_IMMEDIATEOP(x) ((x) << S_IMMEDIATEOP)
25255#define G_IMMEDIATEOP(x) (((x) >> S_IMMEDIATEOP) & M_IMMEDIATEOP)
25256
25257#define S_IMMEDIATESE 16
25258#define M_IMMEDIATESE 0xfU
25259#define V_IMMEDIATESE(x) ((x) << S_IMMEDIATESE)
25260#define G_IMMEDIATESE(x) (((x) >> S_IMMEDIATESE) & M_IMMEDIATESE)
25261
25262#define S_ATOMICREQOP 12
25263#define M_ATOMICREQOP 0xfU
25264#define V_ATOMICREQOP(x) ((x) << S_ATOMICREQOP)
25265#define G_ATOMICREQOP(x) (((x) >> S_ATOMICREQOP) & M_ATOMICREQOP)
25266
25267#define S_ATOMICRSPOP 8
25268#define M_ATOMICRSPOP 0xfU
25269#define V_ATOMICRSPOP(x) ((x) << S_ATOMICRSPOP)
25270#define G_ATOMICRSPOP(x) (((x) >> S_ATOMICRSPOP) & M_ATOMICRSPOP)
25271
25272#define S_IMMEDIASEEN 1
25273#define V_IMMEDIASEEN(x) ((x) << S_IMMEDIASEEN)
25274#define F_IMMEDIASEEN V_IMMEDIASEEN(1U)
25275
25276#define S_IMMEDIATEEN 0
25277#define V_IMMEDIATEEN(x) ((x) << S_IMMEDIATEEN)
25278#define F_IMMEDIATEEN V_IMMEDIATEEN(1U)
25279
25280#define S_SHAREDRQEN 31
25281#define V_SHAREDRQEN(x) ((x) << S_SHAREDRQEN)
25282#define F_SHAREDRQEN V_SHAREDRQEN(1U)
25283
25284#define S_SHAREDXRC 30
25285#define V_SHAREDXRC(x) ((x) << S_SHAREDXRC)
25286#define F_SHAREDXRC V_SHAREDXRC(1U)
25287
25288#define A_TP_FRAG_CONFIG 0x56
25289
25290#define S_TLSMODE 16
25291#define M_TLSMODE 0x3U
25292#define V_TLSMODE(x) ((x) << S_TLSMODE)
25293#define G_TLSMODE(x) (((x) >> S_TLSMODE) & M_TLSMODE)
25294
25295#define S_USERMODE 14
25296#define M_USERMODE 0x3U
25297#define V_USERMODE(x) ((x) << S_USERMODE)
25298#define G_USERMODE(x) (((x) >> S_USERMODE) & M_USERMODE)
25299
25300#define S_FCOEMODE 12
25301#define M_FCOEMODE 0x3U
25302#define V_FCOEMODE(x) ((x) << S_FCOEMODE)
25303#define G_FCOEMODE(x) (((x) >> S_FCOEMODE) & M_FCOEMODE)
25304
25305#define S_IANDPMODE 10
25306#define M_IANDPMODE 0x3U
25307#define V_IANDPMODE(x) ((x) << S_IANDPMODE)
25308#define G_IANDPMODE(x) (((x) >> S_IANDPMODE) & M_IANDPMODE)
25309
25310#define S_RDDPMODE 8
25311#define M_RDDPMODE 0x3U
25312#define V_RDDPMODE(x) ((x) << S_RDDPMODE)
25313#define G_RDDPMODE(x) (((x) >> S_RDDPMODE) & M_RDDPMODE)
25314
25315#define S_IWARPMODE 6
25316#define M_IWARPMODE 0x3U
25317#define V_IWARPMODE(x) ((x) << S_IWARPMODE)
25318#define G_IWARPMODE(x) (((x) >> S_IWARPMODE) & M_IWARPMODE)
25319
25320#define S_ISCSIMODE 4
25321#define M_ISCSIMODE 0x3U
25322#define V_ISCSIMODE(x) ((x) << S_ISCSIMODE)
25323#define G_ISCSIMODE(x) (((x) >> S_ISCSIMODE) & M_ISCSIMODE)
25324
25325#define S_DDPMODE 2
25326#define M_DDPMODE 0x3U
25327#define V_DDPMODE(x) ((x) << S_DDPMODE)
25328#define G_DDPMODE(x) (((x) >> S_DDPMODE) & M_DDPMODE)
25329
25330#define S_PASSMODE 0
25331#define M_PASSMODE 0x3U
25332#define V_PASSMODE(x) ((x) << S_PASSMODE)
25333#define G_PASSMODE(x) (((x) >> S_PASSMODE) & M_PASSMODE)
25334
25335#define A_TP_CMM_CONFIG 0x57
25336
25337#define S_WRCNTIDLE 16
25338#define M_WRCNTIDLE 0xffffU
25339#define V_WRCNTIDLE(x) ((x) << S_WRCNTIDLE)
25340#define G_WRCNTIDLE(x) (((x) >> S_WRCNTIDLE) & M_WRCNTIDLE)
25341
25342#define S_RDTHRESHOLD 8
25343#define M_RDTHRESHOLD 0x3fU
25344#define V_RDTHRESHOLD(x) ((x) << S_RDTHRESHOLD)
25345#define G_RDTHRESHOLD(x) (((x) >> S_RDTHRESHOLD) & M_RDTHRESHOLD)
25346
25347#define S_WRTHRLEVEL2 7
25348#define V_WRTHRLEVEL2(x) ((x) << S_WRTHRLEVEL2)
25349#define F_WRTHRLEVEL2 V_WRTHRLEVEL2(1U)
25350
25351#define S_WRTHRLEVEL1 6
25352#define V_WRTHRLEVEL1(x) ((x) << S_WRTHRLEVEL1)
25353#define F_WRTHRLEVEL1 V_WRTHRLEVEL1(1U)
25354
25355#define S_WRTHRTHRESHEN 5
25356#define V_WRTHRTHRESHEN(x) ((x) << S_WRTHRTHRESHEN)
25357#define F_WRTHRTHRESHEN V_WRTHRTHRESHEN(1U)
25358
25359#define S_WRTHRTHRESH 0
25360#define M_WRTHRTHRESH 0x1fU
25361#define V_WRTHRTHRESH(x) ((x) << S_WRTHRTHRESH)
25362#define G_WRTHRTHRESH(x) (((x) >> S_WRTHRTHRESH) & M_WRTHRTHRESH)
25363
25364#define A_TP_VXLAN_CONFIG 0x58
25365
25366#define S_VXLANFLAGS 16
25367#define M_VXLANFLAGS 0xffffU
25368#define V_VXLANFLAGS(x) ((x) << S_VXLANFLAGS)
25369#define G_VXLANFLAGS(x) (((x) >> S_VXLANFLAGS) & M_VXLANFLAGS)
25370
25371#define S_VXLANTYPE 0
25372#define M_VXLANTYPE 0xffffU
25373#define V_VXLANTYPE(x) ((x) << S_VXLANTYPE)
25374#define G_VXLANTYPE(x) (((x) >> S_VXLANTYPE) & M_VXLANTYPE)
25375
25376#define A_TP_NVGRE_CONFIG 0x59
25377
25378#define S_GREFLAGS 16
25379#define M_GREFLAGS 0xffffU
25380#define V_GREFLAGS(x) ((x) << S_GREFLAGS)
25381#define G_GREFLAGS(x) (((x) >> S_GREFLAGS) & M_GREFLAGS)
25382
25383#define S_GRETYPE 0
25384#define M_GRETYPE 0xffffU
25385#define V_GRETYPE(x) ((x) << S_GRETYPE)
25386#define G_GRETYPE(x) (((x) >> S_GRETYPE) & M_GRETYPE)
25387
25388#define A_TP_DBG_CLEAR 0x60
25389#define A_TP_DBG_CORE_HDR0 0x61
25390
25391#define S_E_TCP_OP_SRDY 16
25392#define V_E_TCP_OP_SRDY(x) ((x) << S_E_TCP_OP_SRDY)
25393#define F_E_TCP_OP_SRDY V_E_TCP_OP_SRDY(1U)
25394
25395#define S_E_PLD_TXZEROP_SRDY 15
25396#define V_E_PLD_TXZEROP_SRDY(x) ((x) << S_E_PLD_TXZEROP_SRDY)
25397#define F_E_PLD_TXZEROP_SRDY V_E_PLD_TXZEROP_SRDY(1U)
25398
25399#define S_E_PLD_RX_SRDY 14
25400#define V_E_PLD_RX_SRDY(x) ((x) << S_E_PLD_RX_SRDY)
25401#define F_E_PLD_RX_SRDY V_E_PLD_RX_SRDY(1U)
25402
25403#define S_E_RX_ERROR_SRDY 13
25404#define V_E_RX_ERROR_SRDY(x) ((x) << S_E_RX_ERROR_SRDY)
25405#define F_E_RX_ERROR_SRDY V_E_RX_ERROR_SRDY(1U)
25406
25407#define S_E_RX_ISS_SRDY 12
25408#define V_E_RX_ISS_SRDY(x) ((x) << S_E_RX_ISS_SRDY)
25409#define F_E_RX_ISS_SRDY V_E_RX_ISS_SRDY(1U)
25410
25411#define S_C_TCP_OP_SRDY 11
25412#define V_C_TCP_OP_SRDY(x) ((x) << S_C_TCP_OP_SRDY)
25413#define F_C_TCP_OP_SRDY V_C_TCP_OP_SRDY(1U)
25414
25415#define S_C_PLD_TXZEROP_SRDY 10
25416#define V_C_PLD_TXZEROP_SRDY(x) ((x) << S_C_PLD_TXZEROP_SRDY)
25417#define F_C_PLD_TXZEROP_SRDY V_C_PLD_TXZEROP_SRDY(1U)
25418
25419#define S_C_PLD_RX_SRDY 9
25420#define V_C_PLD_RX_SRDY(x) ((x) << S_C_PLD_RX_SRDY)
25421#define F_C_PLD_RX_SRDY V_C_PLD_RX_SRDY(1U)
25422
25423#define S_C_RX_ERROR_SRDY 8
25424#define V_C_RX_ERROR_SRDY(x) ((x) << S_C_RX_ERROR_SRDY)
25425#define F_C_RX_ERROR_SRDY V_C_RX_ERROR_SRDY(1U)
25426
25427#define S_C_RX_ISS_SRDY 7
25428#define V_C_RX_ISS_SRDY(x) ((x) << S_C_RX_ISS_SRDY)
25429#define F_C_RX_ISS_SRDY V_C_RX_ISS_SRDY(1U)
25430
25431#define S_E_CPL5_TXVALID 6
25432#define V_E_CPL5_TXVALID(x) ((x) << S_E_CPL5_TXVALID)
25433#define F_E_CPL5_TXVALID V_E_CPL5_TXVALID(1U)
25434
25435#define S_E_ETH_TXVALID 5
25436#define V_E_ETH_TXVALID(x) ((x) << S_E_ETH_TXVALID)
25437#define F_E_ETH_TXVALID V_E_ETH_TXVALID(1U)
25438
25439#define S_E_IP_TXVALID 4
25440#define V_E_IP_TXVALID(x) ((x) << S_E_IP_TXVALID)
25441#define F_E_IP_TXVALID V_E_IP_TXVALID(1U)
25442
25443#define S_E_TCP_TXVALID 3
25444#define V_E_TCP_TXVALID(x) ((x) << S_E_TCP_TXVALID)
25445#define F_E_TCP_TXVALID V_E_TCP_TXVALID(1U)
25446
25447#define S_C_CPL5_RXVALID 2
25448#define V_C_CPL5_RXVALID(x) ((x) << S_C_CPL5_RXVALID)
25449#define F_C_CPL5_RXVALID V_C_CPL5_RXVALID(1U)
25450
25451#define S_C_CPL5_TXVALID 1
25452#define V_C_CPL5_TXVALID(x) ((x) << S_C_CPL5_TXVALID)
25453#define F_C_CPL5_TXVALID V_C_CPL5_TXVALID(1U)
25454
25455#define S_E_TCP_OPT_RXVALID 0
25456#define V_E_TCP_OPT_RXVALID(x) ((x) << S_E_TCP_OPT_RXVALID)
25457#define F_E_TCP_OPT_RXVALID V_E_TCP_OPT_RXVALID(1U)
25458
25459#define A_TP_DBG_CORE_HDR1 0x62
25460
25461#define S_E_CPL5_TXFULL 6
25462#define V_E_CPL5_TXFULL(x) ((x) << S_E_CPL5_TXFULL)
25463#define F_E_CPL5_TXFULL V_E_CPL5_TXFULL(1U)
25464
25465#define S_E_ETH_TXFULL 5
25466#define V_E_ETH_TXFULL(x) ((x) << S_E_ETH_TXFULL)
25467#define F_E_ETH_TXFULL V_E_ETH_TXFULL(1U)
25468
25469#define S_E_IP_TXFULL 4
25470#define V_E_IP_TXFULL(x) ((x) << S_E_IP_TXFULL)
25471#define F_E_IP_TXFULL V_E_IP_TXFULL(1U)
25472
25473#define S_E_TCP_TXFULL 3
25474#define V_E_TCP_TXFULL(x) ((x) << S_E_TCP_TXFULL)
25475#define F_E_TCP_TXFULL V_E_TCP_TXFULL(1U)
25476
25477#define S_C_CPL5_RXFULL 2
25478#define V_C_CPL5_RXFULL(x) ((x) << S_C_CPL5_RXFULL)
25479#define F_C_CPL5_RXFULL V_C_CPL5_RXFULL(1U)
25480
25481#define S_C_CPL5_TXFULL 1
25482#define V_C_CPL5_TXFULL(x) ((x) << S_C_CPL5_TXFULL)
25483#define F_C_CPL5_TXFULL V_C_CPL5_TXFULL(1U)
25484
25485#define S_E_TCP_OPT_RXFULL 0
25486#define V_E_TCP_OPT_RXFULL(x) ((x) << S_E_TCP_OPT_RXFULL)
25487#define F_E_TCP_OPT_RXFULL V_E_TCP_OPT_RXFULL(1U)
25488
25489#define A_TP_DBG_CORE_FATAL 0x63
25490
25491#define S_EMSGFATAL 31
25492#define V_EMSGFATAL(x) ((x) << S_EMSGFATAL)
25493#define F_EMSGFATAL V_EMSGFATAL(1U)
25494
25495#define S_CMSGFATAL 30
25496#define V_CMSGFATAL(x) ((x) << S_CMSGFATAL)
25497#define F_CMSGFATAL V_CMSGFATAL(1U)
25498
25499#define S_PAWSFATAL 29
25500#define V_PAWSFATAL(x) ((x) << S_PAWSFATAL)
25501#define F_PAWSFATAL V_PAWSFATAL(1U)
25502
25503#define S_SRAMFATAL 28
25504#define V_SRAMFATAL(x) ((x) << S_SRAMFATAL)
25505#define F_SRAMFATAL V_SRAMFATAL(1U)
25506
25507#define S_CPCMDCONG 24
25508#define M_CPCMDCONG 0xfU
25509#define V_CPCMDCONG(x) ((x) << S_CPCMDCONG)
25510#define G_CPCMDCONG(x) (((x) >> S_CPCMDCONG) & M_CPCMDCONG)
25511
25512#define S_EPCMDCONG 22
25513#define M_EPCMDCONG 0x3U
25514#define V_EPCMDCONG(x) ((x) << S_EPCMDCONG)
25515#define G_EPCMDCONG(x) (((x) >> S_EPCMDCONG) & M_EPCMDCONG)
25516
25517#define S_CPCMDLENFATAL 21
25518#define V_CPCMDLENFATAL(x) ((x) << S_CPCMDLENFATAL)
25519#define F_CPCMDLENFATAL V_CPCMDLENFATAL(1U)
25520
25521#define S_EPCMDLENFATAL 20
25522#define V_EPCMDLENFATAL(x) ((x) << S_EPCMDLENFATAL)
25523#define F_EPCMDLENFATAL V_EPCMDLENFATAL(1U)
25524
25525#define S_CPCMDVALID 16
25526#define M_CPCMDVALID 0xfU
25527#define V_CPCMDVALID(x) ((x) << S_CPCMDVALID)
25528#define G_CPCMDVALID(x) (((x) >> S_CPCMDVALID) & M_CPCMDVALID)
25529
25530#define S_CPCMDAFULL 12
25531#define M_CPCMDAFULL 0xfU
25532#define V_CPCMDAFULL(x) ((x) << S_CPCMDAFULL)
25533#define G_CPCMDAFULL(x) (((x) >> S_CPCMDAFULL) & M_CPCMDAFULL)
25534
25535#define S_EPCMDVALID 10
25536#define M_EPCMDVALID 0x3U
25537#define V_EPCMDVALID(x) ((x) << S_EPCMDVALID)
25538#define G_EPCMDVALID(x) (((x) >> S_EPCMDVALID) & M_EPCMDVALID)
25539
25540#define S_EPCMDAFULL 8
25541#define M_EPCMDAFULL 0x3U
25542#define V_EPCMDAFULL(x) ((x) << S_EPCMDAFULL)
25543#define G_EPCMDAFULL(x) (((x) >> S_EPCMDAFULL) & M_EPCMDAFULL)
25544
25545#define S_CPCMDEOIFATAL 7
25546#define V_CPCMDEOIFATAL(x) ((x) << S_CPCMDEOIFATAL)
25547#define F_CPCMDEOIFATAL V_CPCMDEOIFATAL(1U)
25548
25549#define S_CMDBRQFATAL 4
25550#define V_CMDBRQFATAL(x) ((x) << S_CMDBRQFATAL)
25551#define F_CMDBRQFATAL V_CMDBRQFATAL(1U)
25552
25553#define S_CNONZEROPPOPCNT 2
25554#define M_CNONZEROPPOPCNT 0x3U
25555#define V_CNONZEROPPOPCNT(x) ((x) << S_CNONZEROPPOPCNT)
25556#define G_CNONZEROPPOPCNT(x) (((x) >> S_CNONZEROPPOPCNT) & M_CNONZEROPPOPCNT)
25557
25558#define S_CPCMDEOICNT 0
25559#define M_CPCMDEOICNT 0x3U
25560#define V_CPCMDEOICNT(x) ((x) << S_CPCMDEOICNT)
25561#define G_CPCMDEOICNT(x) (((x) >> S_CPCMDEOICNT) & M_CPCMDEOICNT)
25562
25563#define S_CPCMDTTLFATAL 6
25564#define V_CPCMDTTLFATAL(x) ((x) << S_CPCMDTTLFATAL)
25565#define F_CPCMDTTLFATAL V_CPCMDTTLFATAL(1U)
25566
25567#define S_CDATACHNFATAL 5
25568#define V_CDATACHNFATAL(x) ((x) << S_CDATACHNFATAL)
25569#define F_CDATACHNFATAL V_CDATACHNFATAL(1U)
25570
25571#define A_TP_DBG_CORE_OUT 0x64
25572
25573#define S_CCPLENC 26
25574#define V_CCPLENC(x) ((x) << S_CCPLENC)
25575#define F_CCPLENC V_CCPLENC(1U)
25576
25577#define S_CWRCPLPKT 25
25578#define V_CWRCPLPKT(x) ((x) << S_CWRCPLPKT)
25579#define F_CWRCPLPKT V_CWRCPLPKT(1U)
25580
25581#define S_CWRETHPKT 24
25582#define V_CWRETHPKT(x) ((x) << S_CWRETHPKT)
25583#define F_CWRETHPKT V_CWRETHPKT(1U)
25584
25585#define S_CWRIPPKT 23
25586#define V_CWRIPPKT(x) ((x) << S_CWRIPPKT)
25587#define F_CWRIPPKT V_CWRIPPKT(1U)
25588
25589#define S_CWRTCPPKT 22
25590#define V_CWRTCPPKT(x) ((x) << S_CWRTCPPKT)
25591#define F_CWRTCPPKT V_CWRTCPPKT(1U)
25592
25593#define S_CWRZEROP 21
25594#define V_CWRZEROP(x) ((x) << S_CWRZEROP)
25595#define F_CWRZEROP V_CWRZEROP(1U)
25596
25597#define S_CCPLTXFULL 20
25598#define V_CCPLTXFULL(x) ((x) << S_CCPLTXFULL)
25599#define F_CCPLTXFULL V_CCPLTXFULL(1U)
25600
25601#define S_CETHTXFULL 19
25602#define V_CETHTXFULL(x) ((x) << S_CETHTXFULL)
25603#define F_CETHTXFULL V_CETHTXFULL(1U)
25604
25605#define S_CIPTXFULL 18
25606#define V_CIPTXFULL(x) ((x) << S_CIPTXFULL)
25607#define F_CIPTXFULL V_CIPTXFULL(1U)
25608
25609#define S_CTCPTXFULL 17
25610#define V_CTCPTXFULL(x) ((x) << S_CTCPTXFULL)
25611#define F_CTCPTXFULL V_CTCPTXFULL(1U)
25612
25613#define S_CPLDTXZEROPDRDY 16
25614#define V_CPLDTXZEROPDRDY(x) ((x) << S_CPLDTXZEROPDRDY)
25615#define F_CPLDTXZEROPDRDY V_CPLDTXZEROPDRDY(1U)
25616
25617#define S_ECPLENC 10
25618#define V_ECPLENC(x) ((x) << S_ECPLENC)
25619#define F_ECPLENC V_ECPLENC(1U)
25620
25621#define S_EWRCPLPKT 9
25622#define V_EWRCPLPKT(x) ((x) << S_EWRCPLPKT)
25623#define F_EWRCPLPKT V_EWRCPLPKT(1U)
25624
25625#define S_EWRETHPKT 8
25626#define V_EWRETHPKT(x) ((x) << S_EWRETHPKT)
25627#define F_EWRETHPKT V_EWRETHPKT(1U)
25628
25629#define S_EWRIPPKT 7
25630#define V_EWRIPPKT(x) ((x) << S_EWRIPPKT)
25631#define F_EWRIPPKT V_EWRIPPKT(1U)
25632
25633#define S_EWRTCPPKT 6
25634#define V_EWRTCPPKT(x) ((x) << S_EWRTCPPKT)
25635#define F_EWRTCPPKT V_EWRTCPPKT(1U)
25636
25637#define S_EWRZEROP 5
25638#define V_EWRZEROP(x) ((x) << S_EWRZEROP)
25639#define F_EWRZEROP V_EWRZEROP(1U)
25640
25641#define S_ECPLTXFULL 4
25642#define V_ECPLTXFULL(x) ((x) << S_ECPLTXFULL)
25643#define F_ECPLTXFULL V_ECPLTXFULL(1U)
25644
25645#define S_EETHTXFULL 3
25646#define V_EETHTXFULL(x) ((x) << S_EETHTXFULL)
25647#define F_EETHTXFULL V_EETHTXFULL(1U)
25648
25649#define S_EIPTXFULL 2
25650#define V_EIPTXFULL(x) ((x) << S_EIPTXFULL)
25651#define F_EIPTXFULL V_EIPTXFULL(1U)
25652
25653#define S_ETCPTXFULL 1
25654#define V_ETCPTXFULL(x) ((x) << S_ETCPTXFULL)
25655#define F_ETCPTXFULL V_ETCPTXFULL(1U)
25656
25657#define S_EPLDTXZEROPDRDY 0
25658#define V_EPLDTXZEROPDRDY(x) ((x) << S_EPLDTXZEROPDRDY)
25659#define F_EPLDTXZEROPDRDY V_EPLDTXZEROPDRDY(1U)
25660
25661#define S_CRXBUSYOUT 31
25662#define V_CRXBUSYOUT(x) ((x) << S_CRXBUSYOUT)
25663#define F_CRXBUSYOUT V_CRXBUSYOUT(1U)
25664
25665#define S_CTXBUSYOUT 30
25666#define V_CTXBUSYOUT(x) ((x) << S_CTXBUSYOUT)
25667#define F_CTXBUSYOUT V_CTXBUSYOUT(1U)
25668
25669#define S_CRDCPLPKT 29
25670#define V_CRDCPLPKT(x) ((x) << S_CRDCPLPKT)
25671#define F_CRDCPLPKT V_CRDCPLPKT(1U)
25672
25673#define S_CRDTCPPKT 28
25674#define V_CRDTCPPKT(x) ((x) << S_CRDTCPPKT)
25675#define F_CRDTCPPKT V_CRDTCPPKT(1U)
25676
25677#define S_CNEWMSG 27
25678#define V_CNEWMSG(x) ((x) << S_CNEWMSG)
25679#define F_CNEWMSG V_CNEWMSG(1U)
25680
25681#define S_ERXBUSYOUT 15
25682#define V_ERXBUSYOUT(x) ((x) << S_ERXBUSYOUT)
25683#define F_ERXBUSYOUT V_ERXBUSYOUT(1U)
25684
25685#define S_ETXBUSYOUT 14
25686#define V_ETXBUSYOUT(x) ((x) << S_ETXBUSYOUT)
25687#define F_ETXBUSYOUT V_ETXBUSYOUT(1U)
25688
25689#define S_ERDCPLPKT 13
25690#define V_ERDCPLPKT(x) ((x) << S_ERDCPLPKT)
25691#define F_ERDCPLPKT V_ERDCPLPKT(1U)
25692
25693#define S_ERDTCPPKT 12
25694#define V_ERDTCPPKT(x) ((x) << S_ERDTCPPKT)
25695#define F_ERDTCPPKT V_ERDTCPPKT(1U)
25696
25697#define S_ENEWMSG 11
25698#define V_ENEWMSG(x) ((x) << S_ENEWMSG)
25699#define F_ENEWMSG V_ENEWMSG(1U)
25700
25701#define A_TP_DBG_CORE_TID 0x65
25702
25703#define S_LINENUMBER 24
25704#define M_LINENUMBER 0x7fU
25705#define V_LINENUMBER(x) ((x) << S_LINENUMBER)
25706#define G_LINENUMBER(x) (((x) >> S_LINENUMBER) & M_LINENUMBER)
25707
25708#define S_SPURIOUSMSG 23
25709#define V_SPURIOUSMSG(x) ((x) << S_SPURIOUSMSG)
25710#define F_SPURIOUSMSG V_SPURIOUSMSG(1U)
25711
25712#define S_SYNLEARNED 20
25713#define V_SYNLEARNED(x) ((x) << S_SYNLEARNED)
25714#define F_SYNLEARNED V_SYNLEARNED(1U)
25715
25716#define S_TIDVALUE 0
25717#define M_TIDVALUE 0xfffffU
25718#define V_TIDVALUE(x) ((x) << S_TIDVALUE)
25719#define G_TIDVALUE(x) (((x) >> S_TIDVALUE) & M_TIDVALUE)
25720
25721#define S_SRC 21
25722#define M_SRC 0x3U
25723#define V_SRC(x) ((x) << S_SRC)
25724#define G_SRC(x) (((x) >> S_SRC) & M_SRC)
25725
25726#define A_TP_DBG_ENG_RES0 0x66
25727
25728#define S_RESOURCESREADY 31
25729#define V_RESOURCESREADY(x) ((x) << S_RESOURCESREADY)
25730#define F_RESOURCESREADY V_RESOURCESREADY(1U)
25731
25732#define S_RCFOPCODEOUTSRDY 30
25733#define V_RCFOPCODEOUTSRDY(x) ((x) << S_RCFOPCODEOUTSRDY)
25734#define F_RCFOPCODEOUTSRDY V_RCFOPCODEOUTSRDY(1U)
25735
25736#define S_RCFDATAOUTSRDY 29
25737#define V_RCFDATAOUTSRDY(x) ((x) << S_RCFDATAOUTSRDY)
25738#define F_RCFDATAOUTSRDY V_RCFDATAOUTSRDY(1U)
25739
25740#define S_FLUSHINPUTMSG 28
25741#define V_FLUSHINPUTMSG(x) ((x) << S_FLUSHINPUTMSG)
25742#define F_FLUSHINPUTMSG V_FLUSHINPUTMSG(1U)
25743
25744#define S_RCFOPSRCOUT 26
25745#define M_RCFOPSRCOUT 0x3U
25746#define V_RCFOPSRCOUT(x) ((x) << S_RCFOPSRCOUT)
25747#define G_RCFOPSRCOUT(x) (((x) >> S_RCFOPSRCOUT) & M_RCFOPSRCOUT)
25748
25749#define S_C_MSG 25
25750#define V_C_MSG(x) ((x) << S_C_MSG)
25751#define F_C_MSG V_C_MSG(1U)
25752
25753#define S_E_MSG 24
25754#define V_E_MSG(x) ((x) << S_E_MSG)
25755#define F_E_MSG V_E_MSG(1U)
25756
25757#define S_RCFOPCODEOUT 20
25758#define M_RCFOPCODEOUT 0xfU
25759#define V_RCFOPCODEOUT(x) ((x) << S_RCFOPCODEOUT)
25760#define G_RCFOPCODEOUT(x) (((x) >> S_RCFOPCODEOUT) & M_RCFOPCODEOUT)
25761
25762#define S_EFFRCFOPCODEOUT 16
25763#define M_EFFRCFOPCODEOUT 0xfU
25764#define V_EFFRCFOPCODEOUT(x) ((x) << S_EFFRCFOPCODEOUT)
25765#define G_EFFRCFOPCODEOUT(x) (((x) >> S_EFFRCFOPCODEOUT) & M_EFFRCFOPCODEOUT)
25766
25767#define S_SEENRESOURCESREADY 15
25768#define V_SEENRESOURCESREADY(x) ((x) << S_SEENRESOURCESREADY)
25769#define F_SEENRESOURCESREADY V_SEENRESOURCESREADY(1U)
25770
25771#define S_RESOURCESREADYCOPY 14
25772#define V_RESOURCESREADYCOPY(x) ((x) << S_RESOURCESREADYCOPY)
25773#define F_RESOURCESREADYCOPY V_RESOURCESREADYCOPY(1U)
25774
25775#define S_OPCODEWAITSFORDATA 13
25776#define V_OPCODEWAITSFORDATA(x) ((x) << S_OPCODEWAITSFORDATA)
25777#define F_OPCODEWAITSFORDATA V_OPCODEWAITSFORDATA(1U)
25778
25779#define S_CPLDRXSRDY 12
25780#define V_CPLDRXSRDY(x) ((x) << S_CPLDRXSRDY)
25781#define F_CPLDRXSRDY V_CPLDRXSRDY(1U)
25782
25783#define S_CPLDRXZEROPSRDY 11
25784#define V_CPLDRXZEROPSRDY(x) ((x) << S_CPLDRXZEROPSRDY)
25785#define F_CPLDRXZEROPSRDY V_CPLDRXZEROPSRDY(1U)
25786
25787#define S_EPLDRXZEROPSRDY 10
25788#define V_EPLDRXZEROPSRDY(x) ((x) << S_EPLDRXZEROPSRDY)
25789#define F_EPLDRXZEROPSRDY V_EPLDRXZEROPSRDY(1U)
25790
25791#define S_ERXERRORSRDY 9
25792#define V_ERXERRORSRDY(x) ((x) << S_ERXERRORSRDY)
25793#define F_ERXERRORSRDY V_ERXERRORSRDY(1U)
25794
25795#define S_EPLDRXSRDY 8
25796#define V_EPLDRXSRDY(x) ((x) << S_EPLDRXSRDY)
25797#define F_EPLDRXSRDY V_EPLDRXSRDY(1U)
25798
25799#define S_CRXBUSY 7
25800#define V_CRXBUSY(x) ((x) << S_CRXBUSY)
25801#define F_CRXBUSY V_CRXBUSY(1U)
25802
25803#define S_ERXBUSY 6
25804#define V_ERXBUSY(x) ((x) << S_ERXBUSY)
25805#define F_ERXBUSY V_ERXBUSY(1U)
25806
25807#define S_TIMERINSERTBUSY 5
25808#define V_TIMERINSERTBUSY(x) ((x) << S_TIMERINSERTBUSY)
25809#define F_TIMERINSERTBUSY V_TIMERINSERTBUSY(1U)
25810
25811#define S_WCFBUSY 4
25812#define V_WCFBUSY(x) ((x) << S_WCFBUSY)
25813#define F_WCFBUSY V_WCFBUSY(1U)
25814
25815#define S_CTXBUSY 3
25816#define V_CTXBUSY(x) ((x) << S_CTXBUSY)
25817#define F_CTXBUSY V_CTXBUSY(1U)
25818
25819#define S_CPCMDBUSY 2
25820#define V_CPCMDBUSY(x) ((x) << S_CPCMDBUSY)
25821#define F_CPCMDBUSY V_CPCMDBUSY(1U)
25822
25823#define S_EPCMDBUSY 1
25824#define V_EPCMDBUSY(x) ((x) << S_EPCMDBUSY)
25825#define F_EPCMDBUSY V_EPCMDBUSY(1U)
25826
25827#define S_ETXBUSY 0
25828#define V_ETXBUSY(x) ((x) << S_ETXBUSY)
25829#define F_ETXBUSY V_ETXBUSY(1U)
25830
25831#define S_EFFOPCODEOUT 16
25832#define M_EFFOPCODEOUT 0xfU
25833#define V_EFFOPCODEOUT(x) ((x) << S_EFFOPCODEOUT)
25834#define G_EFFOPCODEOUT(x) (((x) >> S_EFFOPCODEOUT) & M_EFFOPCODEOUT)
25835
25836#define S_DELDRDY 14
25837#define V_DELDRDY(x) ((x) << S_DELDRDY)
25838#define F_DELDRDY V_DELDRDY(1U)
25839
25840#define S_T5_ETXBUSY 1
25841#define V_T5_ETXBUSY(x) ((x) << S_T5_ETXBUSY)
25842#define F_T5_ETXBUSY V_T5_ETXBUSY(1U)
25843
25844#define S_T5_EPCMDBUSY 0
25845#define V_T5_EPCMDBUSY(x) ((x) << S_T5_EPCMDBUSY)
25846#define F_T5_EPCMDBUSY V_T5_EPCMDBUSY(1U)
25847
25848#define S_T6_ETXBUSY 1
25849#define V_T6_ETXBUSY(x) ((x) << S_T6_ETXBUSY)
25850#define F_T6_ETXBUSY V_T6_ETXBUSY(1U)
25851
25852#define S_T6_EPCMDBUSY 0
25853#define V_T6_EPCMDBUSY(x) ((x) << S_T6_EPCMDBUSY)
25854#define F_T6_EPCMDBUSY V_T6_EPCMDBUSY(1U)
25855
25856#define A_TP_DBG_ENG_RES1 0x67
25857
25858#define S_RXCPLSRDY 31
25859#define V_RXCPLSRDY(x) ((x) << S_RXCPLSRDY)
25860#define F_RXCPLSRDY V_RXCPLSRDY(1U)
25861
25862#define S_RXOPTSRDY 30
25863#define V_RXOPTSRDY(x) ((x) << S_RXOPTSRDY)
25864#define F_RXOPTSRDY V_RXOPTSRDY(1U)
25865
25866#define S_RXPLDLENSRDY 29
25867#define V_RXPLDLENSRDY(x) ((x) << S_RXPLDLENSRDY)
25868#define F_RXPLDLENSRDY V_RXPLDLENSRDY(1U)
25869
25870#define S_RXNOTBUSY 28
25871#define V_RXNOTBUSY(x) ((x) << S_RXNOTBUSY)
25872#define F_RXNOTBUSY V_RXNOTBUSY(1U)
25873
25874#define S_CPLCMDIN 20
25875#define M_CPLCMDIN 0xffU
25876#define V_CPLCMDIN(x) ((x) << S_CPLCMDIN)
25877#define G_CPLCMDIN(x) (((x) >> S_CPLCMDIN) & M_CPLCMDIN)
25878
25879#define S_RCFPTIDSRDY 19
25880#define V_RCFPTIDSRDY(x) ((x) << S_RCFPTIDSRDY)
25881#define F_RCFPTIDSRDY V_RCFPTIDSRDY(1U)
25882
25883#define S_EPDUHDRSRDY 18
25884#define V_EPDUHDRSRDY(x) ((x) << S_EPDUHDRSRDY)
25885#define F_EPDUHDRSRDY V_EPDUHDRSRDY(1U)
25886
25887#define S_TUNNELPKTREG 17
25888#define V_TUNNELPKTREG(x) ((x) << S_TUNNELPKTREG)
25889#define F_TUNNELPKTREG V_TUNNELPKTREG(1U)
25890
25891#define S_TXPKTCSUMSRDY 16
25892#define V_TXPKTCSUMSRDY(x) ((x) << S_TXPKTCSUMSRDY)
25893#define F_TXPKTCSUMSRDY V_TXPKTCSUMSRDY(1U)
25894
25895#define S_TABLEACCESSLATENCY 12
25896#define M_TABLEACCESSLATENCY 0xfU
25897#define V_TABLEACCESSLATENCY(x) ((x) << S_TABLEACCESSLATENCY)
25898#define G_TABLEACCESSLATENCY(x) (((x) >> S_TABLEACCESSLATENCY) & M_TABLEACCESSLATENCY)
25899
25900#define S_MMGRDONE 11
25901#define V_MMGRDONE(x) ((x) << S_MMGRDONE)
25902#define F_MMGRDONE V_MMGRDONE(1U)
25903
25904#define S_SEENMMGRDONE 10
25905#define V_SEENMMGRDONE(x) ((x) << S_SEENMMGRDONE)
25906#define F_SEENMMGRDONE V_SEENMMGRDONE(1U)
25907
25908#define S_RXERRORSRDY 9
25909#define V_RXERRORSRDY(x) ((x) << S_RXERRORSRDY)
25910#define F_RXERRORSRDY V_RXERRORSRDY(1U)
25911
25912#define S_RCFOPTIONSTCPSRDY 8
25913#define V_RCFOPTIONSTCPSRDY(x) ((x) << S_RCFOPTIONSTCPSRDY)
25914#define F_RCFOPTIONSTCPSRDY V_RCFOPTIONSTCPSRDY(1U)
25915
25916#define S_ENGINESTATE 6
25917#define M_ENGINESTATE 0x3U
25918#define V_ENGINESTATE(x) ((x) << S_ENGINESTATE)
25919#define G_ENGINESTATE(x) (((x) >> S_ENGINESTATE) & M_ENGINESTATE)
25920
25921#define S_TABLEACCESINCREMENT 5
25922#define V_TABLEACCESINCREMENT(x) ((x) << S_TABLEACCESINCREMENT)
25923#define F_TABLEACCESINCREMENT V_TABLEACCESINCREMENT(1U)
25924
25925#define S_TABLEACCESCOMPLETE 4
25926#define V_TABLEACCESCOMPLETE(x) ((x) << S_TABLEACCESCOMPLETE)
25927#define F_TABLEACCESCOMPLETE V_TABLEACCESCOMPLETE(1U)
25928
25929#define S_RCFOPCODEOUTUSABLE 3
25930#define V_RCFOPCODEOUTUSABLE(x) ((x) << S_RCFOPCODEOUTUSABLE)
25931#define F_RCFOPCODEOUTUSABLE V_RCFOPCODEOUTUSABLE(1U)
25932
25933#define S_RCFDATAOUTUSABLE 2
25934#define V_RCFDATAOUTUSABLE(x) ((x) << S_RCFDATAOUTUSABLE)
25935#define F_RCFDATAOUTUSABLE V_RCFDATAOUTUSABLE(1U)
25936
25937#define S_RCFDATAWAITAFTERRD 1
25938#define V_RCFDATAWAITAFTERRD(x) ((x) << S_RCFDATAWAITAFTERRD)
25939#define F_RCFDATAWAITAFTERRD V_RCFDATAWAITAFTERRD(1U)
25940
25941#define S_RCFDATACMRDY 0
25942#define V_RCFDATACMRDY(x) ((x) << S_RCFDATACMRDY)
25943#define F_RCFDATACMRDY V_RCFDATACMRDY(1U)
25944
25945#define S_RXISSSRDY 28
25946#define V_RXISSSRDY(x) ((x) << S_RXISSSRDY)
25947#define F_RXISSSRDY V_RXISSSRDY(1U)
25948
25949#define A_TP_DBG_ENG_RES2 0x68
25950
25951#define S_CPLCMDRAW 24
25952#define M_CPLCMDRAW 0xffU
25953#define V_CPLCMDRAW(x) ((x) << S_CPLCMDRAW)
25954#define G_CPLCMDRAW(x) (((x) >> S_CPLCMDRAW) & M_CPLCMDRAW)
25955
25956#define S_RXMACPORT 20
25957#define M_RXMACPORT 0xfU
25958#define V_RXMACPORT(x) ((x) << S_RXMACPORT)
25959#define G_RXMACPORT(x) (((x) >> S_RXMACPORT) & M_RXMACPORT)
25960
25961#define S_TXECHANNEL 18
25962#define M_TXECHANNEL 0x3U
25963#define V_TXECHANNEL(x) ((x) << S_TXECHANNEL)
25964#define G_TXECHANNEL(x) (((x) >> S_TXECHANNEL) & M_TXECHANNEL)
25965
25966#define S_RXECHANNEL 16
25967#define M_RXECHANNEL 0x3U
25968#define V_RXECHANNEL(x) ((x) << S_RXECHANNEL)
25969#define G_RXECHANNEL(x) (((x) >> S_RXECHANNEL) & M_RXECHANNEL)
25970
25971#define S_CDATAOUT 15
25972#define V_CDATAOUT(x) ((x) << S_CDATAOUT)
25973#define F_CDATAOUT V_CDATAOUT(1U)
25974
25975#define S_CREADPDU 14
25976#define V_CREADPDU(x) ((x) << S_CREADPDU)
25977#define F_CREADPDU V_CREADPDU(1U)
25978
25979#define S_EDATAOUT 13
25980#define V_EDATAOUT(x) ((x) << S_EDATAOUT)
25981#define F_EDATAOUT V_EDATAOUT(1U)
25982
25983#define S_EREADPDU 12
25984#define V_EREADPDU(x) ((x) << S_EREADPDU)
25985#define F_EREADPDU V_EREADPDU(1U)
25986
25987#define S_ETCPOPSRDY 11
25988#define V_ETCPOPSRDY(x) ((x) << S_ETCPOPSRDY)
25989#define F_ETCPOPSRDY V_ETCPOPSRDY(1U)
25990
25991#define S_CTCPOPSRDY 10
25992#define V_CTCPOPSRDY(x) ((x) << S_CTCPOPSRDY)
25993#define F_CTCPOPSRDY V_CTCPOPSRDY(1U)
25994
25995#define S_CPKTOUT 9
25996#define V_CPKTOUT(x) ((x) << S_CPKTOUT)
25997#define F_CPKTOUT V_CPKTOUT(1U)
25998
25999#define S_CMDBRSPSRDY 8
26000#define V_CMDBRSPSRDY(x) ((x) << S_CMDBRSPSRDY)
26001#define F_CMDBRSPSRDY V_CMDBRSPSRDY(1U)
26002
26003#define S_RXPSTRUCTSFULL 6
26004#define M_RXPSTRUCTSFULL 0x3U
26005#define V_RXPSTRUCTSFULL(x) ((x) << S_RXPSTRUCTSFULL)
26006#define G_RXPSTRUCTSFULL(x) (((x) >> S_RXPSTRUCTSFULL) & M_RXPSTRUCTSFULL)
26007
26008#define S_RXPAGEPOOLFULL 4
26009#define M_RXPAGEPOOLFULL 0x3U
26010#define V_RXPAGEPOOLFULL(x) ((x) << S_RXPAGEPOOLFULL)
26011#define G_RXPAGEPOOLFULL(x) (((x) >> S_RXPAGEPOOLFULL) & M_RXPAGEPOOLFULL)
26012
26013#define S_RCFREASONOUT 0
26014#define M_RCFREASONOUT 0xfU
26015#define V_RCFREASONOUT(x) ((x) << S_RCFREASONOUT)
26016#define G_RCFREASONOUT(x) (((x) >> S_RCFREASONOUT) & M_RCFREASONOUT)
26017
26018#define A_TP_DBG_CORE_PCMD 0x69
26019
26020#define S_CPCMDEOPCNT 30
26021#define M_CPCMDEOPCNT 0x3U
26022#define V_CPCMDEOPCNT(x) ((x) << S_CPCMDEOPCNT)
26023#define G_CPCMDEOPCNT(x) (((x) >> S_CPCMDEOPCNT) & M_CPCMDEOPCNT)
26024
26025#define S_CPCMDLENSAVE 16
26026#define M_CPCMDLENSAVE 0x3fffU
26027#define V_CPCMDLENSAVE(x) ((x) << S_CPCMDLENSAVE)
26028#define G_CPCMDLENSAVE(x) (((x) >> S_CPCMDLENSAVE) & M_CPCMDLENSAVE)
26029
26030#define S_EPCMDEOPCNT 14
26031#define M_EPCMDEOPCNT 0x3U
26032#define V_EPCMDEOPCNT(x) ((x) << S_EPCMDEOPCNT)
26033#define G_EPCMDEOPCNT(x) (((x) >> S_EPCMDEOPCNT) & M_EPCMDEOPCNT)
26034
26035#define S_EPCMDLENSAVE 0
26036#define M_EPCMDLENSAVE 0x3fffU
26037#define V_EPCMDLENSAVE(x) ((x) << S_EPCMDLENSAVE)
26038#define G_EPCMDLENSAVE(x) (((x) >> S_EPCMDLENSAVE) & M_EPCMDLENSAVE)
26039
26040#define A_TP_DBG_SCHED_TX 0x6a
26041
26042#define S_TXCHNXOFF 28
26043#define M_TXCHNXOFF 0xfU
26044#define V_TXCHNXOFF(x) ((x) << S_TXCHNXOFF)
26045#define G_TXCHNXOFF(x) (((x) >> S_TXCHNXOFF) & M_TXCHNXOFF)
26046
26047#define S_TXFIFOCNG 24
26048#define M_TXFIFOCNG 0xfU
26049#define V_TXFIFOCNG(x) ((x) << S_TXFIFOCNG)
26050#define G_TXFIFOCNG(x) (((x) >> S_TXFIFOCNG) & M_TXFIFOCNG)
26051
26052#define S_TXPCMDCNG 20
26053#define M_TXPCMDCNG 0xfU
26054#define V_TXPCMDCNG(x) ((x) << S_TXPCMDCNG)
26055#define G_TXPCMDCNG(x) (((x) >> S_TXPCMDCNG) & M_TXPCMDCNG)
26056
26057#define S_TXLPBKCNG 16
26058#define M_TXLPBKCNG 0xfU
26059#define V_TXLPBKCNG(x) ((x) << S_TXLPBKCNG)
26060#define G_TXLPBKCNG(x) (((x) >> S_TXLPBKCNG) & M_TXLPBKCNG)
26061
26062#define S_TXHDRCNG 8
26063#define M_TXHDRCNG 0xffU
26064#define V_TXHDRCNG(x) ((x) << S_TXHDRCNG)
26065#define G_TXHDRCNG(x) (((x) >> S_TXHDRCNG) & M_TXHDRCNG)
26066
26067#define S_TXMODXOFF 0
26068#define M_TXMODXOFF 0xffU
26069#define V_TXMODXOFF(x) ((x) << S_TXMODXOFF)
26070#define G_TXMODXOFF(x) (((x) >> S_TXMODXOFF) & M_TXMODXOFF)
26071
26072#define A_TP_DBG_SCHED_RX 0x6b
26073
26074#define S_RXCHNXOFF 28
26075#define M_RXCHNXOFF 0xfU
26076#define V_RXCHNXOFF(x) ((x) << S_RXCHNXOFF)
26077#define G_RXCHNXOFF(x) (((x) >> S_RXCHNXOFF) & M_RXCHNXOFF)
26078
26079#define S_RXSGECNG 24
26080#define M_RXSGECNG 0xfU
26081#define V_RXSGECNG(x) ((x) << S_RXSGECNG)
26082#define G_RXSGECNG(x) (((x) >> S_RXSGECNG) & M_RXSGECNG)
26083
26084#define S_RXFIFOCNG 22
26085#define M_RXFIFOCNG 0x3U
26086#define V_RXFIFOCNG(x) ((x) << S_RXFIFOCNG)
26087#define G_RXFIFOCNG(x) (((x) >> S_RXFIFOCNG) & M_RXFIFOCNG)
26088
26089#define S_RXPCMDCNG 20
26090#define M_RXPCMDCNG 0x3U
26091#define V_RXPCMDCNG(x) ((x) << S_RXPCMDCNG)
26092#define G_RXPCMDCNG(x) (((x) >> S_RXPCMDCNG) & M_RXPCMDCNG)
26093
26094#define S_RXLPBKCNG 16
26095#define M_RXLPBKCNG 0xfU
26096#define V_RXLPBKCNG(x) ((x) << S_RXLPBKCNG)
26097#define G_RXLPBKCNG(x) (((x) >> S_RXLPBKCNG) & M_RXLPBKCNG)
26098
26099#define S_RXHDRCNG 8
26100#define M_RXHDRCNG 0xfU
26101#define V_RXHDRCNG(x) ((x) << S_RXHDRCNG)
26102#define G_RXHDRCNG(x) (((x) >> S_RXHDRCNG) & M_RXHDRCNG)
26103
26104#define S_RXMODXOFF 0
26105#define M_RXMODXOFF 0x3U
26106#define V_RXMODXOFF(x) ((x) << S_RXMODXOFF)
26107#define G_RXMODXOFF(x) (((x) >> S_RXMODXOFF) & M_RXMODXOFF)
26108
26109#define S_T5_RXFIFOCNG 20
26110#define M_T5_RXFIFOCNG 0xfU
26111#define V_T5_RXFIFOCNG(x) ((x) << S_T5_RXFIFOCNG)
26112#define G_T5_RXFIFOCNG(x) (((x) >> S_T5_RXFIFOCNG) & M_T5_RXFIFOCNG)
26113
26114#define S_T5_RXPCMDCNG 14
26115#define M_T5_RXPCMDCNG 0x3U
26116#define V_T5_RXPCMDCNG(x) ((x) << S_T5_RXPCMDCNG)
26117#define G_T5_RXPCMDCNG(x) (((x) >> S_T5_RXPCMDCNG) & M_T5_RXPCMDCNG)
26118
26119#define S_T6_RXFIFOCNG 20
26120#define M_T6_RXFIFOCNG 0xfU
26121#define V_T6_RXFIFOCNG(x) ((x) << S_T6_RXFIFOCNG)
26122#define G_T6_RXFIFOCNG(x) (((x) >> S_T6_RXFIFOCNG) & M_T6_RXFIFOCNG)
26123
26124#define S_T6_RXPCMDCNG 14
26125#define M_T6_RXPCMDCNG 0x3U
26126#define V_T6_RXPCMDCNG(x) ((x) << S_T6_RXPCMDCNG)
26127#define G_T6_RXPCMDCNG(x) (((x) >> S_T6_RXPCMDCNG) & M_T6_RXPCMDCNG)
26128
26129#define A_TP_DBG_ERROR_CNT 0x6c
26130#define A_TP_DBG_CORE_CPL 0x6d
26131
26132#define S_CPLCMDOUT3 24
26133#define M_CPLCMDOUT3 0xffU
26134#define V_CPLCMDOUT3(x) ((x) << S_CPLCMDOUT3)
26135#define G_CPLCMDOUT3(x) (((x) >> S_CPLCMDOUT3) & M_CPLCMDOUT3)
26136
26137#define S_CPLCMDOUT2 16
26138#define M_CPLCMDOUT2 0xffU
26139#define V_CPLCMDOUT2(x) ((x) << S_CPLCMDOUT2)
26140#define G_CPLCMDOUT2(x) (((x) >> S_CPLCMDOUT2) & M_CPLCMDOUT2)
26141
26142#define S_CPLCMDOUT1 8
26143#define M_CPLCMDOUT1 0xffU
26144#define V_CPLCMDOUT1(x) ((x) << S_CPLCMDOUT1)
26145#define G_CPLCMDOUT1(x) (((x) >> S_CPLCMDOUT1) & M_CPLCMDOUT1)
26146
26147#define S_CPLCMDOUT0 0
26148#define M_CPLCMDOUT0 0xffU
26149#define V_CPLCMDOUT0(x) ((x) << S_CPLCMDOUT0)
26150#define G_CPLCMDOUT0(x) (((x) >> S_CPLCMDOUT0) & M_CPLCMDOUT0)
26151
26152#define A_TP_MIB_DEBUG 0x6f
26153
26154#define S_SRC3 31
26155#define V_SRC3(x) ((x) << S_SRC3)
26156#define F_SRC3 V_SRC3(1U)
26157
26158#define S_LINENUM3 24
26159#define M_LINENUM3 0x7fU
26160#define V_LINENUM3(x) ((x) << S_LINENUM3)
26161#define G_LINENUM3(x) (((x) >> S_LINENUM3) & M_LINENUM3)
26162
26163#define S_SRC2 23
26164#define V_SRC2(x) ((x) << S_SRC2)
26165#define F_SRC2 V_SRC2(1U)
26166
26167#define S_LINENUM2 16
26168#define M_LINENUM2 0x7fU
26169#define V_LINENUM2(x) ((x) << S_LINENUM2)
26170#define G_LINENUM2(x) (((x) >> S_LINENUM2) & M_LINENUM2)
26171
26172#define S_SRC1 15
26173#define V_SRC1(x) ((x) << S_SRC1)
26174#define F_SRC1 V_SRC1(1U)
26175
26176#define S_LINENUM1 8
26177#define M_LINENUM1 0x7fU
26178#define V_LINENUM1(x) ((x) << S_LINENUM1)
26179#define G_LINENUM1(x) (((x) >> S_LINENUM1) & M_LINENUM1)
26180
26181#define S_SRC0 7
26182#define V_SRC0(x) ((x) << S_SRC0)
26183#define F_SRC0 V_SRC0(1U)
26184
26185#define S_LINENUM0 0
26186#define M_LINENUM0 0x7fU
26187#define V_LINENUM0(x) ((x) << S_LINENUM0)
26188#define G_LINENUM0(x) (((x) >> S_LINENUM0) & M_LINENUM0)
26189
26190#define A_TP_DBG_CACHE_WR_ALL 0x70
26191#define A_TP_DBG_CACHE_WR_HIT 0x71
26192#define A_TP_DBG_CACHE_RD_ALL 0x72
26193#define A_TP_DBG_CACHE_RD_HIT 0x73
26194#define A_TP_DBG_CACHE_MC_REQ 0x74
26195#define A_TP_DBG_CACHE_MC_RSP 0x75
26196#define A_TP_T5_TX_DROP_CNT_CH0 0x120
26197#define A_TP_T5_TX_DROP_CNT_CH1 0x121
26198#define A_TP_TX_DROP_CNT_CH2 0x122
26199#define A_TP_TX_DROP_CNT_CH3 0x123
26200#define A_TP_TX_DROP_CFG_CH0 0x12b
26201
26202#define S_TIMERENABLED 31
26203#define V_TIMERENABLED(x) ((x) << S_TIMERENABLED)
26204#define F_TIMERENABLED V_TIMERENABLED(1U)
26205
26206#define S_TIMERERRORENABLE 30
26207#define V_TIMERERRORENABLE(x) ((x) << S_TIMERERRORENABLE)
26208#define F_TIMERERRORENABLE V_TIMERERRORENABLE(1U)
26209
26210#define S_TIMERTHRESHOLD 4
26211#define M_TIMERTHRESHOLD 0x3ffffffU
26212#define V_TIMERTHRESHOLD(x) ((x) << S_TIMERTHRESHOLD)
26213#define G_TIMERTHRESHOLD(x) (((x) >> S_TIMERTHRESHOLD) & M_TIMERTHRESHOLD)
26214
26215#define S_PACKETDROPS 0
26216#define M_PACKETDROPS 0xfU
26217#define V_PACKETDROPS(x) ((x) << S_PACKETDROPS)
26218#define G_PACKETDROPS(x) (((x) >> S_PACKETDROPS) & M_PACKETDROPS)
26219
26220#define A_TP_TX_DROP_CFG_CH1 0x12c
26221#define A_TP_TX_DROP_CNT_CH0 0x12d
26222
26223#define S_TXDROPCNTCH0SENT 16
26224#define M_TXDROPCNTCH0SENT 0xffffU
26225#define V_TXDROPCNTCH0SENT(x) ((x) << S_TXDROPCNTCH0SENT)
26226#define G_TXDROPCNTCH0SENT(x) (((x) >> S_TXDROPCNTCH0SENT) & M_TXDROPCNTCH0SENT)
26227
26228#define S_TXDROPCNTCH0RCVD 0
26229#define M_TXDROPCNTCH0RCVD 0xffffU
26230#define V_TXDROPCNTCH0RCVD(x) ((x) << S_TXDROPCNTCH0RCVD)
26231#define G_TXDROPCNTCH0RCVD(x) (((x) >> S_TXDROPCNTCH0RCVD) & M_TXDROPCNTCH0RCVD)
26232
26233#define A_TP_TX_DROP_CNT_CH1 0x12e
26234
26235#define S_TXDROPCNTCH1SENT 16
26236#define M_TXDROPCNTCH1SENT 0xffffU
26237#define V_TXDROPCNTCH1SENT(x) ((x) << S_TXDROPCNTCH1SENT)
26238#define G_TXDROPCNTCH1SENT(x) (((x) >> S_TXDROPCNTCH1SENT) & M_TXDROPCNTCH1SENT)
26239
26240#define S_TXDROPCNTCH1RCVD 0
26241#define M_TXDROPCNTCH1RCVD 0xffffU
26242#define V_TXDROPCNTCH1RCVD(x) ((x) << S_TXDROPCNTCH1RCVD)
26243#define G_TXDROPCNTCH1RCVD(x) (((x) >> S_TXDROPCNTCH1RCVD) & M_TXDROPCNTCH1RCVD)
26244
26245#define A_TP_TX_DROP_MODE 0x12f
26246
26247#define S_TXDROPMODECH3 3
26248#define V_TXDROPMODECH3(x) ((x) << S_TXDROPMODECH3)
26249#define F_TXDROPMODECH3 V_TXDROPMODECH3(1U)
26250
26251#define S_TXDROPMODECH2 2
26252#define V_TXDROPMODECH2(x) ((x) << S_TXDROPMODECH2)
26253#define F_TXDROPMODECH2 V_TXDROPMODECH2(1U)
26254
26255#define S_TXDROPMODECH1 1
26256#define V_TXDROPMODECH1(x) ((x) << S_TXDROPMODECH1)
26257#define F_TXDROPMODECH1 V_TXDROPMODECH1(1U)
26258
26259#define S_TXDROPMODECH0 0
26260#define V_TXDROPMODECH0(x) ((x) << S_TXDROPMODECH0)
26261#define F_TXDROPMODECH0 V_TXDROPMODECH0(1U)
26262
26263#define A_TP_DBG_ESIDE_PKT0 0x130
26264
26265#define S_ETXSOPCNT 28
26266#define M_ETXSOPCNT 0xfU
26267#define V_ETXSOPCNT(x) ((x) << S_ETXSOPCNT)
26268#define G_ETXSOPCNT(x) (((x) >> S_ETXSOPCNT) & M_ETXSOPCNT)
26269
26270#define S_ETXEOPCNT 24
26271#define M_ETXEOPCNT 0xfU
26272#define V_ETXEOPCNT(x) ((x) << S_ETXEOPCNT)
26273#define G_ETXEOPCNT(x) (((x) >> S_ETXEOPCNT) & M_ETXEOPCNT)
26274
26275#define S_ETXPLDSOPCNT 20
26276#define M_ETXPLDSOPCNT 0xfU
26277#define V_ETXPLDSOPCNT(x) ((x) << S_ETXPLDSOPCNT)
26278#define G_ETXPLDSOPCNT(x) (((x) >> S_ETXPLDSOPCNT) & M_ETXPLDSOPCNT)
26279
26280#define S_ETXPLDEOPCNT 16
26281#define M_ETXPLDEOPCNT 0xfU
26282#define V_ETXPLDEOPCNT(x) ((x) << S_ETXPLDEOPCNT)
26283#define G_ETXPLDEOPCNT(x) (((x) >> S_ETXPLDEOPCNT) & M_ETXPLDEOPCNT)
26284
26285#define S_ERXSOPCNT 12
26286#define M_ERXSOPCNT 0xfU
26287#define V_ERXSOPCNT(x) ((x) << S_ERXSOPCNT)
26288#define G_ERXSOPCNT(x) (((x) >> S_ERXSOPCNT) & M_ERXSOPCNT)
26289
26290#define S_ERXEOPCNT 8
26291#define M_ERXEOPCNT 0xfU
26292#define V_ERXEOPCNT(x) ((x) << S_ERXEOPCNT)
26293#define G_ERXEOPCNT(x) (((x) >> S_ERXEOPCNT) & M_ERXEOPCNT)
26294
26295#define S_ERXPLDSOPCNT 4
26296#define M_ERXPLDSOPCNT 0xfU
26297#define V_ERXPLDSOPCNT(x) ((x) << S_ERXPLDSOPCNT)
26298#define G_ERXPLDSOPCNT(x) (((x) >> S_ERXPLDSOPCNT) & M_ERXPLDSOPCNT)
26299
26300#define S_ERXPLDEOPCNT 0
26301#define M_ERXPLDEOPCNT 0xfU
26302#define V_ERXPLDEOPCNT(x) ((x) << S_ERXPLDEOPCNT)
26303#define G_ERXPLDEOPCNT(x) (((x) >> S_ERXPLDEOPCNT) & M_ERXPLDEOPCNT)
26304
26305#define A_TP_DBG_ESIDE_PKT1 0x131
26306#define A_TP_DBG_ESIDE_PKT2 0x132
26307#define A_TP_DBG_ESIDE_PKT3 0x133
26308#define A_TP_DBG_ESIDE_FIFO0 0x134
26309
26310#define S_PLDRXCSUMVALID1 31
26311#define V_PLDRXCSUMVALID1(x) ((x) << S_PLDRXCSUMVALID1)
26312#define F_PLDRXCSUMVALID1 V_PLDRXCSUMVALID1(1U)
26313
26314#define S_PLDRXZEROPSRDY1 30
26315#define V_PLDRXZEROPSRDY1(x) ((x) << S_PLDRXZEROPSRDY1)
26316#define F_PLDRXZEROPSRDY1 V_PLDRXZEROPSRDY1(1U)
26317
26318#define S_PLDRXVALID1 29
26319#define V_PLDRXVALID1(x) ((x) << S_PLDRXVALID1)
26320#define F_PLDRXVALID1 V_PLDRXVALID1(1U)
26321
26322#define S_TCPRXVALID1 28
26323#define V_TCPRXVALID1(x) ((x) << S_TCPRXVALID1)
26324#define F_TCPRXVALID1 V_TCPRXVALID1(1U)
26325
26326#define S_IPRXVALID1 27
26327#define V_IPRXVALID1(x) ((x) << S_IPRXVALID1)
26328#define F_IPRXVALID1 V_IPRXVALID1(1U)
26329
26330#define S_ETHRXVALID1 26
26331#define V_ETHRXVALID1(x) ((x) << S_ETHRXVALID1)
26332#define F_ETHRXVALID1 V_ETHRXVALID1(1U)
26333
26334#define S_CPLRXVALID1 25
26335#define V_CPLRXVALID1(x) ((x) << S_CPLRXVALID1)
26336#define F_CPLRXVALID1 V_CPLRXVALID1(1U)
26337
26338#define S_FSTATIC1 24
26339#define V_FSTATIC1(x) ((x) << S_FSTATIC1)
26340#define F_FSTATIC1 V_FSTATIC1(1U)
26341
26342#define S_ERRORSRDY1 23
26343#define V_ERRORSRDY1(x) ((x) << S_ERRORSRDY1)
26344#define F_ERRORSRDY1 V_ERRORSRDY1(1U)
26345
26346#define S_PLDTXSRDY1 22
26347#define V_PLDTXSRDY1(x) ((x) << S_PLDTXSRDY1)
26348#define F_PLDTXSRDY1 V_PLDTXSRDY1(1U)
26349
26350#define S_DBVLD1 21
26351#define V_DBVLD1(x) ((x) << S_DBVLD1)
26352#define F_DBVLD1 V_DBVLD1(1U)
26353
26354#define S_PLDTXVALID1 20
26355#define V_PLDTXVALID1(x) ((x) << S_PLDTXVALID1)
26356#define F_PLDTXVALID1 V_PLDTXVALID1(1U)
26357
26358#define S_ETXVALID1 19
26359#define V_ETXVALID1(x) ((x) << S_ETXVALID1)
26360#define F_ETXVALID1 V_ETXVALID1(1U)
26361
26362#define S_ETXFULL1 18
26363#define V_ETXFULL1(x) ((x) << S_ETXFULL1)
26364#define F_ETXFULL1 V_ETXFULL1(1U)
26365
26366#define S_ERXVALID1 17
26367#define V_ERXVALID1(x) ((x) << S_ERXVALID1)
26368#define F_ERXVALID1 V_ERXVALID1(1U)
26369
26370#define S_ERXFULL1 16
26371#define V_ERXFULL1(x) ((x) << S_ERXFULL1)
26372#define F_ERXFULL1 V_ERXFULL1(1U)
26373
26374#define S_PLDRXCSUMVALID0 15
26375#define V_PLDRXCSUMVALID0(x) ((x) << S_PLDRXCSUMVALID0)
26376#define F_PLDRXCSUMVALID0 V_PLDRXCSUMVALID0(1U)
26377
26378#define S_PLDRXZEROPSRDY0 14
26379#define V_PLDRXZEROPSRDY0(x) ((x) << S_PLDRXZEROPSRDY0)
26380#define F_PLDRXZEROPSRDY0 V_PLDRXZEROPSRDY0(1U)
26381
26382#define S_PLDRXVALID0 13
26383#define V_PLDRXVALID0(x) ((x) << S_PLDRXVALID0)
26384#define F_PLDRXVALID0 V_PLDRXVALID0(1U)
26385
26386#define S_TCPRXVALID0 12
26387#define V_TCPRXVALID0(x) ((x) << S_TCPRXVALID0)
26388#define F_TCPRXVALID0 V_TCPRXVALID0(1U)
26389
26390#define S_IPRXVALID0 11
26391#define V_IPRXVALID0(x) ((x) << S_IPRXVALID0)
26392#define F_IPRXVALID0 V_IPRXVALID0(1U)
26393
26394#define S_ETHRXVALID0 10
26395#define V_ETHRXVALID0(x) ((x) << S_ETHRXVALID0)
26396#define F_ETHRXVALID0 V_ETHRXVALID0(1U)
26397
26398#define S_CPLRXVALID0 9
26399#define V_CPLRXVALID0(x) ((x) << S_CPLRXVALID0)
26400#define F_CPLRXVALID0 V_CPLRXVALID0(1U)
26401
26402#define S_FSTATIC0 8
26403#define V_FSTATIC0(x) ((x) << S_FSTATIC0)
26404#define F_FSTATIC0 V_FSTATIC0(1U)
26405
26406#define S_ERRORSRDY0 7
26407#define V_ERRORSRDY0(x) ((x) << S_ERRORSRDY0)
26408#define F_ERRORSRDY0 V_ERRORSRDY0(1U)
26409
26410#define S_PLDTXSRDY0 6
26411#define V_PLDTXSRDY0(x) ((x) << S_PLDTXSRDY0)
26412#define F_PLDTXSRDY0 V_PLDTXSRDY0(1U)
26413
26414#define S_DBVLD0 5
26415#define V_DBVLD0(x) ((x) << S_DBVLD0)
26416#define F_DBVLD0 V_DBVLD0(1U)
26417
26418#define S_PLDTXVALID0 4
26419#define V_PLDTXVALID0(x) ((x) << S_PLDTXVALID0)
26420#define F_PLDTXVALID0 V_PLDTXVALID0(1U)
26421
26422#define S_ETXVALID0 3
26423#define V_ETXVALID0(x) ((x) << S_ETXVALID0)
26424#define F_ETXVALID0 V_ETXVALID0(1U)
26425
26426#define S_ETXFULL0 2
26427#define V_ETXFULL0(x) ((x) << S_ETXFULL0)
26428#define F_ETXFULL0 V_ETXFULL0(1U)
26429
26430#define S_ERXVALID0 1
26431#define V_ERXVALID0(x) ((x) << S_ERXVALID0)
26432#define F_ERXVALID0 V_ERXVALID0(1U)
26433
26434#define S_ERXFULL0 0
26435#define V_ERXFULL0(x) ((x) << S_ERXFULL0)
26436#define F_ERXFULL0 V_ERXFULL0(1U)
26437
26438#define A_TP_DBG_ESIDE_FIFO1 0x135
26439
26440#define S_PLDRXCSUMVALID3 31
26441#define V_PLDRXCSUMVALID3(x) ((x) << S_PLDRXCSUMVALID3)
26442#define F_PLDRXCSUMVALID3 V_PLDRXCSUMVALID3(1U)
26443
26444#define S_PLDRXZEROPSRDY3 30
26445#define V_PLDRXZEROPSRDY3(x) ((x) << S_PLDRXZEROPSRDY3)
26446#define F_PLDRXZEROPSRDY3 V_PLDRXZEROPSRDY3(1U)
26447
26448#define S_PLDRXVALID3 29
26449#define V_PLDRXVALID3(x) ((x) << S_PLDRXVALID3)
26450#define F_PLDRXVALID3 V_PLDRXVALID3(1U)
26451
26452#define S_TCPRXVALID3 28
26453#define V_TCPRXVALID3(x) ((x) << S_TCPRXVALID3)
26454#define F_TCPRXVALID3 V_TCPRXVALID3(1U)
26455
26456#define S_IPRXVALID3 27
26457#define V_IPRXVALID3(x) ((x) << S_IPRXVALID3)
26458#define F_IPRXVALID3 V_IPRXVALID3(1U)
26459
26460#define S_ETHRXVALID3 26
26461#define V_ETHRXVALID3(x) ((x) << S_ETHRXVALID3)
26462#define F_ETHRXVALID3 V_ETHRXVALID3(1U)
26463
26464#define S_CPLRXVALID3 25
26465#define V_CPLRXVALID3(x) ((x) << S_CPLRXVALID3)
26466#define F_CPLRXVALID3 V_CPLRXVALID3(1U)
26467
26468#define S_FSTATIC3 24
26469#define V_FSTATIC3(x) ((x) << S_FSTATIC3)
26470#define F_FSTATIC3 V_FSTATIC3(1U)
26471
26472#define S_ERRORSRDY3 23
26473#define V_ERRORSRDY3(x) ((x) << S_ERRORSRDY3)
26474#define F_ERRORSRDY3 V_ERRORSRDY3(1U)
26475
26476#define S_PLDTXSRDY3 22
26477#define V_PLDTXSRDY3(x) ((x) << S_PLDTXSRDY3)
26478#define F_PLDTXSRDY3 V_PLDTXSRDY3(1U)
26479
26480#define S_DBVLD3 21
26481#define V_DBVLD3(x) ((x) << S_DBVLD3)
26482#define F_DBVLD3 V_DBVLD3(1U)
26483
26484#define S_PLDTXVALID3 20
26485#define V_PLDTXVALID3(x) ((x) << S_PLDTXVALID3)
26486#define F_PLDTXVALID3 V_PLDTXVALID3(1U)
26487
26488#define S_ETXVALID3 19
26489#define V_ETXVALID3(x) ((x) << S_ETXVALID3)
26490#define F_ETXVALID3 V_ETXVALID3(1U)
26491
26492#define S_ETXFULL3 18
26493#define V_ETXFULL3(x) ((x) << S_ETXFULL3)
26494#define F_ETXFULL3 V_ETXFULL3(1U)
26495
26496#define S_ERXVALID3 17
26497#define V_ERXVALID3(x) ((x) << S_ERXVALID3)
26498#define F_ERXVALID3 V_ERXVALID3(1U)
26499
26500#define S_ERXFULL3 16
26501#define V_ERXFULL3(x) ((x) << S_ERXFULL3)
26502#define F_ERXFULL3 V_ERXFULL3(1U)
26503
26504#define S_PLDRXCSUMVALID2 15
26505#define V_PLDRXCSUMVALID2(x) ((x) << S_PLDRXCSUMVALID2)
26506#define F_PLDRXCSUMVALID2 V_PLDRXCSUMVALID2(1U)
26507
26508#define S_PLDRXZEROPSRDY2 14
26509#define V_PLDRXZEROPSRDY2(x) ((x) << S_PLDRXZEROPSRDY2)
26510#define F_PLDRXZEROPSRDY2 V_PLDRXZEROPSRDY2(1U)
26511
26512#define S_PLDRXVALID2 13
26513#define V_PLDRXVALID2(x) ((x) << S_PLDRXVALID2)
26514#define F_PLDRXVALID2 V_PLDRXVALID2(1U)
26515
26516#define S_TCPRXVALID2 12
26517#define V_TCPRXVALID2(x) ((x) << S_TCPRXVALID2)
26518#define F_TCPRXVALID2 V_TCPRXVALID2(1U)
26519
26520#define S_IPRXVALID2 11
26521#define V_IPRXVALID2(x) ((x) << S_IPRXVALID2)
26522#define F_IPRXVALID2 V_IPRXVALID2(1U)
26523
26524#define S_ETHRXVALID2 10
26525#define V_ETHRXVALID2(x) ((x) << S_ETHRXVALID2)
26526#define F_ETHRXVALID2 V_ETHRXVALID2(1U)
26527
26528#define S_CPLRXVALID2 9
26529#define V_CPLRXVALID2(x) ((x) << S_CPLRXVALID2)
26530#define F_CPLRXVALID2 V_CPLRXVALID2(1U)
26531
26532#define S_FSTATIC2 8
26533#define V_FSTATIC2(x) ((x) << S_FSTATIC2)
26534#define F_FSTATIC2 V_FSTATIC2(1U)
26535
26536#define S_ERRORSRDY2 7
26537#define V_ERRORSRDY2(x) ((x) << S_ERRORSRDY2)
26538#define F_ERRORSRDY2 V_ERRORSRDY2(1U)
26539
26540#define S_PLDTXSRDY2 6
26541#define V_PLDTXSRDY2(x) ((x) << S_PLDTXSRDY2)
26542#define F_PLDTXSRDY2 V_PLDTXSRDY2(1U)
26543
26544#define S_DBVLD2 5
26545#define V_DBVLD2(x) ((x) << S_DBVLD2)
26546#define F_DBVLD2 V_DBVLD2(1U)
26547
26548#define S_PLDTXVALID2 4
26549#define V_PLDTXVALID2(x) ((x) << S_PLDTXVALID2)
26550#define F_PLDTXVALID2 V_PLDTXVALID2(1U)
26551
26552#define S_ETXVALID2 3
26553#define V_ETXVALID2(x) ((x) << S_ETXVALID2)
26554#define F_ETXVALID2 V_ETXVALID2(1U)
26555
26556#define S_ETXFULL2 2
26557#define V_ETXFULL2(x) ((x) << S_ETXFULL2)
26558#define F_ETXFULL2 V_ETXFULL2(1U)
26559
26560#define S_ERXVALID2 1
26561#define V_ERXVALID2(x) ((x) << S_ERXVALID2)
26562#define F_ERXVALID2 V_ERXVALID2(1U)
26563
26564#define S_ERXFULL2 0
26565#define V_ERXFULL2(x) ((x) << S_ERXFULL2)
26566#define F_ERXFULL2 V_ERXFULL2(1U)
26567
26568#define A_TP_DBG_ESIDE_DISP0 0x136
26569
26570#define S_RESRDY 31
26571#define V_RESRDY(x) ((x) << S_RESRDY)
26572#define F_RESRDY V_RESRDY(1U)
26573
26574#define S_STATE 28
26575#define M_STATE 0x7U
26576#define V_STATE(x) ((x) << S_STATE)
26577#define G_STATE(x) (((x) >> S_STATE) & M_STATE)
26578
26579#define S_FIFOCPL5RXVALID 27
26580#define V_FIFOCPL5RXVALID(x) ((x) << S_FIFOCPL5RXVALID)
26581#define F_FIFOCPL5RXVALID V_FIFOCPL5RXVALID(1U)
26582
26583#define S_FIFOETHRXVALID 26
26584#define V_FIFOETHRXVALID(x) ((x) << S_FIFOETHRXVALID)
26585#define F_FIFOETHRXVALID V_FIFOETHRXVALID(1U)
26586
26587#define S_FIFOETHRXSOCP 25
26588#define V_FIFOETHRXSOCP(x) ((x) << S_FIFOETHRXSOCP)
26589#define F_FIFOETHRXSOCP V_FIFOETHRXSOCP(1U)
26590
26591#define S_FIFOPLDRXZEROP 24
26592#define V_FIFOPLDRXZEROP(x) ((x) << S_FIFOPLDRXZEROP)
26593#define F_FIFOPLDRXZEROP V_FIFOPLDRXZEROP(1U)
26594
26595#define S_PLDRXVALID 23
26596#define V_PLDRXVALID(x) ((x) << S_PLDRXVALID)
26597#define F_PLDRXVALID V_PLDRXVALID(1U)
26598
26599#define S_FIFOPLDRXZEROP_SRDY 22
26600#define V_FIFOPLDRXZEROP_SRDY(x) ((x) << S_FIFOPLDRXZEROP_SRDY)
26601#define F_FIFOPLDRXZEROP_SRDY V_FIFOPLDRXZEROP_SRDY(1U)
26602
26603#define S_FIFOIPRXVALID 21
26604#define V_FIFOIPRXVALID(x) ((x) << S_FIFOIPRXVALID)
26605#define F_FIFOIPRXVALID V_FIFOIPRXVALID(1U)
26606
26607#define S_FIFOTCPRXVALID 20
26608#define V_FIFOTCPRXVALID(x) ((x) << S_FIFOTCPRXVALID)
26609#define F_FIFOTCPRXVALID V_FIFOTCPRXVALID(1U)
26610
26611#define S_PLDRXCSUMVALID 19
26612#define V_PLDRXCSUMVALID(x) ((x) << S_PLDRXCSUMVALID)
26613#define F_PLDRXCSUMVALID V_PLDRXCSUMVALID(1U)
26614
26615#define S_FIFOIPCSUMSRDY 18
26616#define V_FIFOIPCSUMSRDY(x) ((x) << S_FIFOIPCSUMSRDY)
26617#define F_FIFOIPCSUMSRDY V_FIFOIPCSUMSRDY(1U)
26618
26619#define S_FIFOIPPSEUDOCSUMSRDY 17
26620#define V_FIFOIPPSEUDOCSUMSRDY(x) ((x) << S_FIFOIPPSEUDOCSUMSRDY)
26621#define F_FIFOIPPSEUDOCSUMSRDY V_FIFOIPPSEUDOCSUMSRDY(1U)
26622
26623#define S_FIFOTCPCSUMSRDY 16
26624#define V_FIFOTCPCSUMSRDY(x) ((x) << S_FIFOTCPCSUMSRDY)
26625#define F_FIFOTCPCSUMSRDY V_FIFOTCPCSUMSRDY(1U)
26626
26627#define S_ESTATIC4 12
26628#define M_ESTATIC4 0xfU
26629#define V_ESTATIC4(x) ((x) << S_ESTATIC4)
26630#define G_ESTATIC4(x) (((x) >> S_ESTATIC4) & M_ESTATIC4)
26631
26632#define S_FIFOCPLSOCPCNT 10
26633#define M_FIFOCPLSOCPCNT 0x3U
26634#define V_FIFOCPLSOCPCNT(x) ((x) << S_FIFOCPLSOCPCNT)
26635#define G_FIFOCPLSOCPCNT(x) (((x) >> S_FIFOCPLSOCPCNT) & M_FIFOCPLSOCPCNT)
26636
26637#define S_FIFOETHSOCPCNT 8
26638#define M_FIFOETHSOCPCNT 0x3U
26639#define V_FIFOETHSOCPCNT(x) ((x) << S_FIFOETHSOCPCNT)
26640#define G_FIFOETHSOCPCNT(x) (((x) >> S_FIFOETHSOCPCNT) & M_FIFOETHSOCPCNT)
26641
26642#define S_FIFOIPSOCPCNT 6
26643#define M_FIFOIPSOCPCNT 0x3U
26644#define V_FIFOIPSOCPCNT(x) ((x) << S_FIFOIPSOCPCNT)
26645#define G_FIFOIPSOCPCNT(x) (((x) >> S_FIFOIPSOCPCNT) & M_FIFOIPSOCPCNT)
26646
26647#define S_FIFOTCPSOCPCNT 4
26648#define M_FIFOTCPSOCPCNT 0x3U
26649#define V_FIFOTCPSOCPCNT(x) ((x) << S_FIFOTCPSOCPCNT)
26650#define G_FIFOTCPSOCPCNT(x) (((x) >> S_FIFOTCPSOCPCNT) & M_FIFOTCPSOCPCNT)
26651
26652#define S_PLD_RXZEROP_CNT 2
26653#define M_PLD_RXZEROP_CNT 0x3U
26654#define V_PLD_RXZEROP_CNT(x) ((x) << S_PLD_RXZEROP_CNT)
26655#define G_PLD_RXZEROP_CNT(x) (((x) >> S_PLD_RXZEROP_CNT) & M_PLD_RXZEROP_CNT)
26656
26657#define S_ESTATIC6 1
26658#define V_ESTATIC6(x) ((x) << S_ESTATIC6)
26659#define F_ESTATIC6 V_ESTATIC6(1U)
26660
26661#define S_TXFULL 0
26662#define V_TXFULL(x) ((x) << S_TXFULL)
26663#define F_TXFULL V_TXFULL(1U)
26664
26665#define S_FIFOGRERXVALID 15
26666#define V_FIFOGRERXVALID(x) ((x) << S_FIFOGRERXVALID)
26667#define F_FIFOGRERXVALID V_FIFOGRERXVALID(1U)
26668
26669#define S_FIFOGRERXREADY 14
26670#define V_FIFOGRERXREADY(x) ((x) << S_FIFOGRERXREADY)
26671#define F_FIFOGRERXREADY V_FIFOGRERXREADY(1U)
26672
26673#define S_FIFOGRERXSOCP 13
26674#define V_FIFOGRERXSOCP(x) ((x) << S_FIFOGRERXSOCP)
26675#define F_FIFOGRERXSOCP V_FIFOGRERXSOCP(1U)
26676
26677#define S_T6_ESTATIC4 12
26678#define V_T6_ESTATIC4(x) ((x) << S_T6_ESTATIC4)
26679#define F_T6_ESTATIC4 V_T6_ESTATIC4(1U)
26680
26681#define S_TXFULL_ESIDE0 0
26682#define V_TXFULL_ESIDE0(x) ((x) << S_TXFULL_ESIDE0)
26683#define F_TXFULL_ESIDE0 V_TXFULL_ESIDE0(1U)
26684
26685#define A_TP_DBG_ESIDE_DISP1 0x137
26686
26687#define S_T6_ESTATIC4 12
26688#define V_T6_ESTATIC4(x) ((x) << S_T6_ESTATIC4)
26689#define F_T6_ESTATIC4 V_T6_ESTATIC4(1U)
26690
26691#define S_TXFULL_ESIDE1 0
26692#define V_TXFULL_ESIDE1(x) ((x) << S_TXFULL_ESIDE1)
26693#define F_TXFULL_ESIDE1 V_TXFULL_ESIDE1(1U)
26694
26695#define A_TP_MAC_MATCH_MAP0 0x138
26696
26697#define S_MAPVALUEWR 16
26698#define M_MAPVALUEWR 0xffU
26699#define V_MAPVALUEWR(x) ((x) << S_MAPVALUEWR)
26700#define G_MAPVALUEWR(x) (((x) >> S_MAPVALUEWR) & M_MAPVALUEWR)
26701
26702#define S_MAPINDEX 2
26703#define M_MAPINDEX 0x1ffU
26704#define V_MAPINDEX(x) ((x) << S_MAPINDEX)
26705#define G_MAPINDEX(x) (((x) >> S_MAPINDEX) & M_MAPINDEX)
26706
26707#define S_MAPREAD 1
26708#define V_MAPREAD(x) ((x) << S_MAPREAD)
26709#define F_MAPREAD V_MAPREAD(1U)
26710
26711#define S_MAPWRITE 0
26712#define V_MAPWRITE(x) ((x) << S_MAPWRITE)
26713#define F_MAPWRITE V_MAPWRITE(1U)
26714
26715#define A_TP_MAC_MATCH_MAP1 0x139
26716
26717#define S_MAPVALUERD 0
26718#define M_MAPVALUERD 0x1ffU
26719#define V_MAPVALUERD(x) ((x) << S_MAPVALUERD)
26720#define G_MAPVALUERD(x) (((x) >> S_MAPVALUERD) & M_MAPVALUERD)
26721
26722#define A_TP_DBG_ESIDE_DISP2 0x13a
26723
26724#define S_T6_ESTATIC4 12
26725#define V_T6_ESTATIC4(x) ((x) << S_T6_ESTATIC4)
26726#define F_T6_ESTATIC4 V_T6_ESTATIC4(1U)
26727
26728#define S_TXFULL_ESIDE2 0
26729#define V_TXFULL_ESIDE2(x) ((x) << S_TXFULL_ESIDE2)
26730#define F_TXFULL_ESIDE2 V_TXFULL_ESIDE2(1U)
26731
26732#define A_TP_DBG_ESIDE_DISP3 0x13b
26733
26734#define S_T6_ESTATIC4 12
26735#define V_T6_ESTATIC4(x) ((x) << S_T6_ESTATIC4)
26736#define F_T6_ESTATIC4 V_T6_ESTATIC4(1U)
26737
26738#define S_TXFULL_ESIDE3 0
26739#define V_TXFULL_ESIDE3(x) ((x) << S_TXFULL_ESIDE3)
26740#define F_TXFULL_ESIDE3 V_TXFULL_ESIDE3(1U)
26741
26742#define A_TP_DBG_ESIDE_HDR0 0x13c
26743
26744#define S_TCPSOPCNT 28
26745#define M_TCPSOPCNT 0xfU
26746#define V_TCPSOPCNT(x) ((x) << S_TCPSOPCNT)
26747#define G_TCPSOPCNT(x) (((x) >> S_TCPSOPCNT) & M_TCPSOPCNT)
26748
26749#define S_TCPEOPCNT 24
26750#define M_TCPEOPCNT 0xfU
26751#define V_TCPEOPCNT(x) ((x) << S_TCPEOPCNT)
26752#define G_TCPEOPCNT(x) (((x) >> S_TCPEOPCNT) & M_TCPEOPCNT)
26753
26754#define S_IPSOPCNT 20
26755#define M_IPSOPCNT 0xfU
26756#define V_IPSOPCNT(x) ((x) << S_IPSOPCNT)
26757#define G_IPSOPCNT(x) (((x) >> S_IPSOPCNT) & M_IPSOPCNT)
26758
26759#define S_IPEOPCNT 16
26760#define M_IPEOPCNT 0xfU
26761#define V_IPEOPCNT(x) ((x) << S_IPEOPCNT)
26762#define G_IPEOPCNT(x) (((x) >> S_IPEOPCNT) & M_IPEOPCNT)
26763
26764#define S_ETHSOPCNT 12
26765#define M_ETHSOPCNT 0xfU
26766#define V_ETHSOPCNT(x) ((x) << S_ETHSOPCNT)
26767#define G_ETHSOPCNT(x) (((x) >> S_ETHSOPCNT) & M_ETHSOPCNT)
26768
26769#define S_ETHEOPCNT 8
26770#define M_ETHEOPCNT 0xfU
26771#define V_ETHEOPCNT(x) ((x) << S_ETHEOPCNT)
26772#define G_ETHEOPCNT(x) (((x) >> S_ETHEOPCNT) & M_ETHEOPCNT)
26773
26774#define S_CPLSOPCNT 4
26775#define M_CPLSOPCNT 0xfU
26776#define V_CPLSOPCNT(x) ((x) << S_CPLSOPCNT)
26777#define G_CPLSOPCNT(x) (((x) >> S_CPLSOPCNT) & M_CPLSOPCNT)
26778
26779#define S_CPLEOPCNT 0
26780#define M_CPLEOPCNT 0xfU
26781#define V_CPLEOPCNT(x) ((x) << S_CPLEOPCNT)
26782#define G_CPLEOPCNT(x) (((x) >> S_CPLEOPCNT) & M_CPLEOPCNT)
26783
26784#define A_TP_DBG_ESIDE_HDR1 0x13d
26785#define A_TP_DBG_ESIDE_HDR2 0x13e
26786#define A_TP_DBG_ESIDE_HDR3 0x13f
26787#define A_TP_VLAN_PRI_MAP 0x140
26788
26789#define S_FRAGMENTATION 9
26790#define V_FRAGMENTATION(x) ((x) << S_FRAGMENTATION)
26791#define F_FRAGMENTATION V_FRAGMENTATION(1U)
26792
26793#define S_MPSHITTYPE 8
26794#define V_MPSHITTYPE(x) ((x) << S_MPSHITTYPE)
26795#define F_MPSHITTYPE V_MPSHITTYPE(1U)
26796
26797#define S_MACMATCH 7
26798#define V_MACMATCH(x) ((x) << S_MACMATCH)
26799#define F_MACMATCH V_MACMATCH(1U)
26800
26801#define S_ETHERTYPE 6
26802#define V_ETHERTYPE(x) ((x) << S_ETHERTYPE)
26803#define F_ETHERTYPE V_ETHERTYPE(1U)
26804
26805#define S_PROTOCOL 5
26806#define V_PROTOCOL(x) ((x) << S_PROTOCOL)
26807#define F_PROTOCOL V_PROTOCOL(1U)
26808
26809#define S_TOS 4
26810#define V_TOS(x) ((x) << S_TOS)
26811#define F_TOS V_TOS(1U)
26812
26813#define S_VLAN 3
26814#define V_VLAN(x) ((x) << S_VLAN)
26815#define F_VLAN V_VLAN(1U)
26816
26817#define S_VNIC_ID 2
26818#define V_VNIC_ID(x) ((x) << S_VNIC_ID)
26819#define F_VNIC_ID V_VNIC_ID(1U)
26820
26821#define S_PORT 1
26822#define V_PORT(x) ((x) << S_PORT)
26823#define F_PORT V_PORT(1U)
26824
26825#define S_FCOE 0
26826#define V_FCOE(x) ((x) << S_FCOE)
26827#define F_FCOE V_FCOE(1U)
26828
26829#define S_FILTERMODE 15
26830#define V_FILTERMODE(x) ((x) << S_FILTERMODE)
26831#define F_FILTERMODE V_FILTERMODE(1U)
26832
26833#define S_FCOEMASK 14
26834#define V_FCOEMASK(x) ((x) << S_FCOEMASK)
26835#define F_FCOEMASK V_FCOEMASK(1U)
26836
26837#define S_SRVRSRAM 13
26838#define V_SRVRSRAM(x) ((x) << S_SRVRSRAM)
26839#define F_SRVRSRAM V_SRVRSRAM(1U)
26840
26841#define A_TP_INGRESS_CONFIG 0x141
26842
26843#define S_OPAQUE_TYPE 16
26844#define M_OPAQUE_TYPE 0xffffU
26845#define V_OPAQUE_TYPE(x) ((x) << S_OPAQUE_TYPE)
26846#define G_OPAQUE_TYPE(x) (((x) >> S_OPAQUE_TYPE) & M_OPAQUE_TYPE)
26847
26848#define S_OPAQUE_RM 15
26849#define V_OPAQUE_RM(x) ((x) << S_OPAQUE_RM)
26850#define F_OPAQUE_RM V_OPAQUE_RM(1U)
26851
26852#define S_OPAQUE_HDR_SIZE 14
26853#define V_OPAQUE_HDR_SIZE(x) ((x) << S_OPAQUE_HDR_SIZE)
26854#define F_OPAQUE_HDR_SIZE V_OPAQUE_HDR_SIZE(1U)
26855
26856#define S_OPAQUE_RM_MAC_IN_MAC 13
26857#define V_OPAQUE_RM_MAC_IN_MAC(x) ((x) << S_OPAQUE_RM_MAC_IN_MAC)
26858#define F_OPAQUE_RM_MAC_IN_MAC V_OPAQUE_RM_MAC_IN_MAC(1U)
26859
26860#define S_FCOE_TARGET 12
26861#define V_FCOE_TARGET(x) ((x) << S_FCOE_TARGET)
26862#define F_FCOE_TARGET V_FCOE_TARGET(1U)
26863
26864#define S_VNIC 11
26865#define V_VNIC(x) ((x) << S_VNIC)
26866#define F_VNIC V_VNIC(1U)
26867
26868#define S_CSUM_HAS_PSEUDO_HDR 10
26869#define V_CSUM_HAS_PSEUDO_HDR(x) ((x) << S_CSUM_HAS_PSEUDO_HDR)
26870#define F_CSUM_HAS_PSEUDO_HDR V_CSUM_HAS_PSEUDO_HDR(1U)
26871
26872#define S_RM_OVLAN 9
26873#define V_RM_OVLAN(x) ((x) << S_RM_OVLAN)
26874#define F_RM_OVLAN V_RM_OVLAN(1U)
26875
26876#define S_LOOKUPEVERYPKT 8
26877#define V_LOOKUPEVERYPKT(x) ((x) << S_LOOKUPEVERYPKT)
26878#define F_LOOKUPEVERYPKT V_LOOKUPEVERYPKT(1U)
26879
26880#define S_IPV6_EXT_HDR_SKIP 0
26881#define M_IPV6_EXT_HDR_SKIP 0xffU
26882#define V_IPV6_EXT_HDR_SKIP(x) ((x) << S_IPV6_EXT_HDR_SKIP)
26883#define G_IPV6_EXT_HDR_SKIP(x) (((x) >> S_IPV6_EXT_HDR_SKIP) & M_IPV6_EXT_HDR_SKIP)
26884
26885#define S_FRAG_LEN_MOD8_COMPAT 12
26886#define V_FRAG_LEN_MOD8_COMPAT(x) ((x) << S_FRAG_LEN_MOD8_COMPAT)
26887#define F_FRAG_LEN_MOD8_COMPAT V_FRAG_LEN_MOD8_COMPAT(1U)
26888
26889#define S_USE_ENC_IDX 13
26890#define V_USE_ENC_IDX(x) ((x) << S_USE_ENC_IDX)
26891#define F_USE_ENC_IDX V_USE_ENC_IDX(1U)
26892
26893#define A_TP_TX_DROP_CFG_CH2 0x142
26894#define A_TP_TX_DROP_CFG_CH3 0x143
26895#define A_TP_EGRESS_CONFIG 0x145
26896
26897#define S_REWRITEFORCETOSIZE 0
26898#define V_REWRITEFORCETOSIZE(x) ((x) << S_REWRITEFORCETOSIZE)
26899#define F_REWRITEFORCETOSIZE V_REWRITEFORCETOSIZE(1U)
26900
26901#define A_TP_INGRESS_CONFIG2 0x145
26902
26903#define S_IPV6_UDP_CSUM_COMPAT 31
26904#define V_IPV6_UDP_CSUM_COMPAT(x) ((x) << S_IPV6_UDP_CSUM_COMPAT)
26905#define F_IPV6_UDP_CSUM_COMPAT V_IPV6_UDP_CSUM_COMPAT(1U)
26906
26907#define S_VNTAGPLDENABLE 30
26908#define V_VNTAGPLDENABLE(x) ((x) << S_VNTAGPLDENABLE)
26909#define F_VNTAGPLDENABLE V_VNTAGPLDENABLE(1U)
26910
26911#define S_TCP_PLD_FILTER_OFFSET 20
26912#define M_TCP_PLD_FILTER_OFFSET 0x3ffU
26913#define V_TCP_PLD_FILTER_OFFSET(x) ((x) << S_TCP_PLD_FILTER_OFFSET)
26914#define G_TCP_PLD_FILTER_OFFSET(x) (((x) >> S_TCP_PLD_FILTER_OFFSET) & M_TCP_PLD_FILTER_OFFSET)
26915
26916#define S_UDP_PLD_FILTER_OFFSET 10
26917#define M_UDP_PLD_FILTER_OFFSET 0x3ffU
26918#define V_UDP_PLD_FILTER_OFFSET(x) ((x) << S_UDP_PLD_FILTER_OFFSET)
26919#define G_UDP_PLD_FILTER_OFFSET(x) (((x) >> S_UDP_PLD_FILTER_OFFSET) & M_UDP_PLD_FILTER_OFFSET)
26920
26921#define S_TNL_PLD_FILTER_OFFSET 0
26922#define M_TNL_PLD_FILTER_OFFSET 0x3ffU
26923#define V_TNL_PLD_FILTER_OFFSET(x) ((x) << S_TNL_PLD_FILTER_OFFSET)
26924#define G_TNL_PLD_FILTER_OFFSET(x) (((x) >> S_TNL_PLD_FILTER_OFFSET) & M_TNL_PLD_FILTER_OFFSET)
26925
26926#define A_TP_EHDR_CONFIG_LO 0x146
26927
26928#define S_CPLLIMIT 24
26929#define M_CPLLIMIT 0xffU
26930#define V_CPLLIMIT(x) ((x) << S_CPLLIMIT)
26931#define G_CPLLIMIT(x) (((x) >> S_CPLLIMIT) & M_CPLLIMIT)
26932
26933#define S_ETHLIMIT 16
26934#define M_ETHLIMIT 0xffU
26935#define V_ETHLIMIT(x) ((x) << S_ETHLIMIT)
26936#define G_ETHLIMIT(x) (((x) >> S_ETHLIMIT) & M_ETHLIMIT)
26937
26938#define S_IPLIMIT 8
26939#define M_IPLIMIT 0xffU
26940#define V_IPLIMIT(x) ((x) << S_IPLIMIT)
26941#define G_IPLIMIT(x) (((x) >> S_IPLIMIT) & M_IPLIMIT)
26942
26943#define S_TCPLIMIT 0
26944#define M_TCPLIMIT 0xffU
26945#define V_TCPLIMIT(x) ((x) << S_TCPLIMIT)
26946#define G_TCPLIMIT(x) (((x) >> S_TCPLIMIT) & M_TCPLIMIT)
26947
26948#define A_TP_EHDR_CONFIG_HI 0x147
26949#define A_TP_DBG_ESIDE_INT 0x148
26950
26951#define S_ERXSOP2X 28
26952#define M_ERXSOP2X 0xfU
26953#define V_ERXSOP2X(x) ((x) << S_ERXSOP2X)
26954#define G_ERXSOP2X(x) (((x) >> S_ERXSOP2X) & M_ERXSOP2X)
26955
26956#define S_ERXEOP2X 24
26957#define M_ERXEOP2X 0xfU
26958#define V_ERXEOP2X(x) ((x) << S_ERXEOP2X)
26959#define G_ERXEOP2X(x) (((x) >> S_ERXEOP2X) & M_ERXEOP2X)
26960
26961#define S_ERXVALID2X 20
26962#define M_ERXVALID2X 0xfU
26963#define V_ERXVALID2X(x) ((x) << S_ERXVALID2X)
26964#define G_ERXVALID2X(x) (((x) >> S_ERXVALID2X) & M_ERXVALID2X)
26965
26966#define S_ERXAFULL2X 16
26967#define M_ERXAFULL2X 0xfU
26968#define V_ERXAFULL2X(x) ((x) << S_ERXAFULL2X)
26969#define G_ERXAFULL2X(x) (((x) >> S_ERXAFULL2X) & M_ERXAFULL2X)
26970
26971#define S_PLD2XTXVALID 12
26972#define M_PLD2XTXVALID 0xfU
26973#define V_PLD2XTXVALID(x) ((x) << S_PLD2XTXVALID)
26974#define G_PLD2XTXVALID(x) (((x) >> S_PLD2XTXVALID) & M_PLD2XTXVALID)
26975
26976#define S_PLD2XTXAFULL 8
26977#define M_PLD2XTXAFULL 0xfU
26978#define V_PLD2XTXAFULL(x) ((x) << S_PLD2XTXAFULL)
26979#define G_PLD2XTXAFULL(x) (((x) >> S_PLD2XTXAFULL) & M_PLD2XTXAFULL)
26980
26981#define S_ERRORSRDY 7
26982#define V_ERRORSRDY(x) ((x) << S_ERRORSRDY)
26983#define F_ERRORSRDY V_ERRORSRDY(1U)
26984
26985#define S_ERRORDRDY 6
26986#define V_ERRORDRDY(x) ((x) << S_ERRORDRDY)
26987#define F_ERRORDRDY V_ERRORDRDY(1U)
26988
26989#define S_TCPOPSRDY 5
26990#define V_TCPOPSRDY(x) ((x) << S_TCPOPSRDY)
26991#define F_TCPOPSRDY V_TCPOPSRDY(1U)
26992
26993#define S_TCPOPDRDY 4
26994#define V_TCPOPDRDY(x) ((x) << S_TCPOPDRDY)
26995#define F_TCPOPDRDY V_TCPOPDRDY(1U)
26996
26997#define S_PLDTXSRDY 3
26998#define V_PLDTXSRDY(x) ((x) << S_PLDTXSRDY)
26999#define F_PLDTXSRDY V_PLDTXSRDY(1U)
27000
27001#define S_PLDTXDRDY 2
27002#define V_PLDTXDRDY(x) ((x) << S_PLDTXDRDY)
27003#define F_PLDTXDRDY V_PLDTXDRDY(1U)
27004
27005#define S_TCPOPTTXVALID 1
27006#define V_TCPOPTTXVALID(x) ((x) << S_TCPOPTTXVALID)
27007#define F_TCPOPTTXVALID V_TCPOPTTXVALID(1U)
27008
27009#define S_TCPOPTTXFULL 0
27010#define V_TCPOPTTXFULL(x) ((x) << S_TCPOPTTXFULL)
27011#define F_TCPOPTTXFULL V_TCPOPTTXFULL(1U)
27012
27013#define S_PKTATTRSRDY 3
27014#define V_PKTATTRSRDY(x) ((x) << S_PKTATTRSRDY)
27015#define F_PKTATTRSRDY V_PKTATTRSRDY(1U)
27016
27017#define S_PKTATTRDRDY 2
27018#define V_PKTATTRDRDY(x) ((x) << S_PKTATTRDRDY)
27019#define F_PKTATTRDRDY V_PKTATTRDRDY(1U)
27020
27021#define A_TP_DBG_ESIDE_DEMUX 0x149
27022
27023#define S_EALLDONE 28
27024#define M_EALLDONE 0xfU
27025#define V_EALLDONE(x) ((x) << S_EALLDONE)
27026#define G_EALLDONE(x) (((x) >> S_EALLDONE) & M_EALLDONE)
27027
27028#define S_EFIFOPLDDONE 24
27029#define M_EFIFOPLDDONE 0xfU
27030#define V_EFIFOPLDDONE(x) ((x) << S_EFIFOPLDDONE)
27031#define G_EFIFOPLDDONE(x) (((x) >> S_EFIFOPLDDONE) & M_EFIFOPLDDONE)
27032
27033#define S_EDBDONE 20
27034#define M_EDBDONE 0xfU
27035#define V_EDBDONE(x) ((x) << S_EDBDONE)
27036#define G_EDBDONE(x) (((x) >> S_EDBDONE) & M_EDBDONE)
27037
27038#define S_EISSFIFODONE 16
27039#define M_EISSFIFODONE 0xfU
27040#define V_EISSFIFODONE(x) ((x) << S_EISSFIFODONE)
27041#define G_EISSFIFODONE(x) (((x) >> S_EISSFIFODONE) & M_EISSFIFODONE)
27042
27043#define S_EACKERRFIFODONE 12
27044#define M_EACKERRFIFODONE 0xfU
27045#define V_EACKERRFIFODONE(x) ((x) << S_EACKERRFIFODONE)
27046#define G_EACKERRFIFODONE(x) (((x) >> S_EACKERRFIFODONE) & M_EACKERRFIFODONE)
27047
27048#define S_EFIFOERRORDONE 8
27049#define M_EFIFOERRORDONE 0xfU
27050#define V_EFIFOERRORDONE(x) ((x) << S_EFIFOERRORDONE)
27051#define G_EFIFOERRORDONE(x) (((x) >> S_EFIFOERRORDONE) & M_EFIFOERRORDONE)
27052
27053#define S_ERXPKTATTRFIFOFDONE 4
27054#define M_ERXPKTATTRFIFOFDONE 0xfU
27055#define V_ERXPKTATTRFIFOFDONE(x) ((x) << S_ERXPKTATTRFIFOFDONE)
27056#define G_ERXPKTATTRFIFOFDONE(x) (((x) >> S_ERXPKTATTRFIFOFDONE) & M_ERXPKTATTRFIFOFDONE)
27057
27058#define S_ETCPOPDONE 0
27059#define M_ETCPOPDONE 0xfU
27060#define V_ETCPOPDONE(x) ((x) << S_ETCPOPDONE)
27061#define G_ETCPOPDONE(x) (((x) >> S_ETCPOPDONE) & M_ETCPOPDONE)
27062
27063#define A_TP_DBG_ESIDE_IN0 0x14a
27064
27065#define S_RXVALID 31
27066#define V_RXVALID(x) ((x) << S_RXVALID)
27067#define F_RXVALID V_RXVALID(1U)
27068
27069#define S_RXFULL 30
27070#define V_RXFULL(x) ((x) << S_RXFULL)
27071#define F_RXFULL V_RXFULL(1U)
27072
27073#define S_RXSOCP 29
27074#define V_RXSOCP(x) ((x) << S_RXSOCP)
27075#define F_RXSOCP V_RXSOCP(1U)
27076
27077#define S_RXEOP 28
27078#define V_RXEOP(x) ((x) << S_RXEOP)
27079#define F_RXEOP V_RXEOP(1U)
27080
27081#define S_RXVALID_I 27
27082#define V_RXVALID_I(x) ((x) << S_RXVALID_I)
27083#define F_RXVALID_I V_RXVALID_I(1U)
27084
27085#define S_RXFULL_I 26
27086#define V_RXFULL_I(x) ((x) << S_RXFULL_I)
27087#define F_RXFULL_I V_RXFULL_I(1U)
27088
27089#define S_RXSOCP_I 25
27090#define V_RXSOCP_I(x) ((x) << S_RXSOCP_I)
27091#define F_RXSOCP_I V_RXSOCP_I(1U)
27092
27093#define S_RXEOP_I 24
27094#define V_RXEOP_I(x) ((x) << S_RXEOP_I)
27095#define F_RXEOP_I V_RXEOP_I(1U)
27096
27097#define S_RXVALID_I2 23
27098#define V_RXVALID_I2(x) ((x) << S_RXVALID_I2)
27099#define F_RXVALID_I2 V_RXVALID_I2(1U)
27100
27101#define S_RXFULL_I2 22
27102#define V_RXFULL_I2(x) ((x) << S_RXFULL_I2)
27103#define F_RXFULL_I2 V_RXFULL_I2(1U)
27104
27105#define S_RXSOCP_I2 21
27106#define V_RXSOCP_I2(x) ((x) << S_RXSOCP_I2)
27107#define F_RXSOCP_I2 V_RXSOCP_I2(1U)
27108
27109#define S_RXEOP_I2 20
27110#define V_RXEOP_I2(x) ((x) << S_RXEOP_I2)
27111#define F_RXEOP_I2 V_RXEOP_I2(1U)
27112
27113#define S_CT_MPA_TXVALID_FIFO 19
27114#define V_CT_MPA_TXVALID_FIFO(x) ((x) << S_CT_MPA_TXVALID_FIFO)
27115#define F_CT_MPA_TXVALID_FIFO V_CT_MPA_TXVALID_FIFO(1U)
27116
27117#define S_CT_MPA_TXFULL_FIFO 18
27118#define V_CT_MPA_TXFULL_FIFO(x) ((x) << S_CT_MPA_TXFULL_FIFO)
27119#define F_CT_MPA_TXFULL_FIFO V_CT_MPA_TXFULL_FIFO(1U)
27120
27121#define S_CT_MPA_TXVALID 17
27122#define V_CT_MPA_TXVALID(x) ((x) << S_CT_MPA_TXVALID)
27123#define F_CT_MPA_TXVALID V_CT_MPA_TXVALID(1U)
27124
27125#define S_CT_MPA_TXFULL 16
27126#define V_CT_MPA_TXFULL(x) ((x) << S_CT_MPA_TXFULL)
27127#define F_CT_MPA_TXFULL V_CT_MPA_TXFULL(1U)
27128
27129#define S_RXVALID_BUF 15
27130#define V_RXVALID_BUF(x) ((x) << S_RXVALID_BUF)
27131#define F_RXVALID_BUF V_RXVALID_BUF(1U)
27132
27133#define S_RXFULL_BUF 14
27134#define V_RXFULL_BUF(x) ((x) << S_RXFULL_BUF)
27135#define F_RXFULL_BUF V_RXFULL_BUF(1U)
27136
27137#define S_PLD_TXVALID 13
27138#define V_PLD_TXVALID(x) ((x) << S_PLD_TXVALID)
27139#define F_PLD_TXVALID V_PLD_TXVALID(1U)
27140
27141#define S_PLD_TXFULL 12
27142#define V_PLD_TXFULL(x) ((x) << S_PLD_TXFULL)
27143#define F_PLD_TXFULL V_PLD_TXFULL(1U)
27144
27145#define S_ISS_FIFO_SRDY 11
27146#define V_ISS_FIFO_SRDY(x) ((x) << S_ISS_FIFO_SRDY)
27147#define F_ISS_FIFO_SRDY V_ISS_FIFO_SRDY(1U)
27148
27149#define S_ISS_FIFO_DRDY 10
27150#define V_ISS_FIFO_DRDY(x) ((x) << S_ISS_FIFO_DRDY)
27151#define F_ISS_FIFO_DRDY V_ISS_FIFO_DRDY(1U)
27152
27153#define S_CT_TCP_OP_ISS_SRDY 9
27154#define V_CT_TCP_OP_ISS_SRDY(x) ((x) << S_CT_TCP_OP_ISS_SRDY)
27155#define F_CT_TCP_OP_ISS_SRDY V_CT_TCP_OP_ISS_SRDY(1U)
27156
27157#define S_CT_TCP_OP_ISS_DRDY 8
27158#define V_CT_TCP_OP_ISS_DRDY(x) ((x) << S_CT_TCP_OP_ISS_DRDY)
27159#define F_CT_TCP_OP_ISS_DRDY V_CT_TCP_OP_ISS_DRDY(1U)
27160
27161#define S_P2CSUMERROR_SRDY 7
27162#define V_P2CSUMERROR_SRDY(x) ((x) << S_P2CSUMERROR_SRDY)
27163#define F_P2CSUMERROR_SRDY V_P2CSUMERROR_SRDY(1U)
27164
27165#define S_P2CSUMERROR_DRDY 6
27166#define V_P2CSUMERROR_DRDY(x) ((x) << S_P2CSUMERROR_DRDY)
27167#define F_P2CSUMERROR_DRDY V_P2CSUMERROR_DRDY(1U)
27168
27169#define S_FIFO_ERROR_SRDY 5
27170#define V_FIFO_ERROR_SRDY(x) ((x) << S_FIFO_ERROR_SRDY)
27171#define F_FIFO_ERROR_SRDY V_FIFO_ERROR_SRDY(1U)
27172
27173#define S_FIFO_ERROR_DRDY 4
27174#define V_FIFO_ERROR_DRDY(x) ((x) << S_FIFO_ERROR_DRDY)
27175#define F_FIFO_ERROR_DRDY V_FIFO_ERROR_DRDY(1U)
27176
27177#define S_PLD_SRDY 3
27178#define V_PLD_SRDY(x) ((x) << S_PLD_SRDY)
27179#define F_PLD_SRDY V_PLD_SRDY(1U)
27180
27181#define S_PLD_DRDY 2
27182#define V_PLD_DRDY(x) ((x) << S_PLD_DRDY)
27183#define F_PLD_DRDY V_PLD_DRDY(1U)
27184
27185#define S_RX_PKT_ATTR_SRDY 1
27186#define V_RX_PKT_ATTR_SRDY(x) ((x) << S_RX_PKT_ATTR_SRDY)
27187#define F_RX_PKT_ATTR_SRDY V_RX_PKT_ATTR_SRDY(1U)
27188
27189#define S_RX_PKT_ATTR_DRDY 0
27190#define V_RX_PKT_ATTR_DRDY(x) ((x) << S_RX_PKT_ATTR_DRDY)
27191#define F_RX_PKT_ATTR_DRDY V_RX_PKT_ATTR_DRDY(1U)
27192
27193#define S_RXRUNT 25
27194#define V_RXRUNT(x) ((x) << S_RXRUNT)
27195#define F_RXRUNT V_RXRUNT(1U)
27196
27197#define S_RXRUNTPARSER 24
27198#define V_RXRUNTPARSER(x) ((x) << S_RXRUNTPARSER)
27199#define F_RXRUNTPARSER V_RXRUNTPARSER(1U)
27200
27201#define S_ERROR_SRDY 5
27202#define V_ERROR_SRDY(x) ((x) << S_ERROR_SRDY)
27203#define F_ERROR_SRDY V_ERROR_SRDY(1U)
27204
27205#define S_ERROR_DRDY 4
27206#define V_ERROR_DRDY(x) ((x) << S_ERROR_DRDY)
27207#define F_ERROR_DRDY V_ERROR_DRDY(1U)
27208
27209#define A_TP_DBG_ESIDE_IN1 0x14b
27210#define A_TP_DBG_ESIDE_IN2 0x14c
27211#define A_TP_DBG_ESIDE_IN3 0x14d
27212#define A_TP_DBG_ESIDE_FRM 0x14e
27213
27214#define S_ERX2XERROR 28
27215#define M_ERX2XERROR 0xfU
27216#define V_ERX2XERROR(x) ((x) << S_ERX2XERROR)
27217#define G_ERX2XERROR(x) (((x) >> S_ERX2XERROR) & M_ERX2XERROR)
27218
27219#define S_EPLDTX2XERROR 24
27220#define M_EPLDTX2XERROR 0xfU
27221#define V_EPLDTX2XERROR(x) ((x) << S_EPLDTX2XERROR)
27222#define G_EPLDTX2XERROR(x) (((x) >> S_EPLDTX2XERROR) & M_EPLDTX2XERROR)
27223
27224#define S_ETXERROR 20
27225#define M_ETXERROR 0xfU
27226#define V_ETXERROR(x) ((x) << S_ETXERROR)
27227#define G_ETXERROR(x) (((x) >> S_ETXERROR) & M_ETXERROR)
27228
27229#define S_EPLDRXERROR 16
27230#define M_EPLDRXERROR 0xfU
27231#define V_EPLDRXERROR(x) ((x) << S_EPLDRXERROR)
27232#define G_EPLDRXERROR(x) (((x) >> S_EPLDRXERROR) & M_EPLDRXERROR)
27233
27234#define S_ERXSIZEERROR3 12
27235#define M_ERXSIZEERROR3 0xfU
27236#define V_ERXSIZEERROR3(x) ((x) << S_ERXSIZEERROR3)
27237#define G_ERXSIZEERROR3(x) (((x) >> S_ERXSIZEERROR3) & M_ERXSIZEERROR3)
27238
27239#define S_ERXSIZEERROR2 8
27240#define M_ERXSIZEERROR2 0xfU
27241#define V_ERXSIZEERROR2(x) ((x) << S_ERXSIZEERROR2)
27242#define G_ERXSIZEERROR2(x) (((x) >> S_ERXSIZEERROR2) & M_ERXSIZEERROR2)
27243
27244#define S_ERXSIZEERROR1 4
27245#define M_ERXSIZEERROR1 0xfU
27246#define V_ERXSIZEERROR1(x) ((x) << S_ERXSIZEERROR1)
27247#define G_ERXSIZEERROR1(x) (((x) >> S_ERXSIZEERROR1) & M_ERXSIZEERROR1)
27248
27249#define S_ERXSIZEERROR0 0
27250#define M_ERXSIZEERROR0 0xfU
27251#define V_ERXSIZEERROR0(x) ((x) << S_ERXSIZEERROR0)
27252#define G_ERXSIZEERROR0(x) (((x) >> S_ERXSIZEERROR0) & M_ERXSIZEERROR0)
27253
27254#define A_TP_DBG_ESIDE_DRP 0x14f
27255
27256#define S_RXDROP3 24
27257#define M_RXDROP3 0xffU
27258#define V_RXDROP3(x) ((x) << S_RXDROP3)
27259#define G_RXDROP3(x) (((x) >> S_RXDROP3) & M_RXDROP3)
27260
27261#define S_RXDROP2 16
27262#define M_RXDROP2 0xffU
27263#define V_RXDROP2(x) ((x) << S_RXDROP2)
27264#define G_RXDROP2(x) (((x) >> S_RXDROP2) & M_RXDROP2)
27265
27266#define S_RXDROP1 8
27267#define M_RXDROP1 0xffU
27268#define V_RXDROP1(x) ((x) << S_RXDROP1)
27269#define G_RXDROP1(x) (((x) >> S_RXDROP1) & M_RXDROP1)
27270
27271#define S_RXDROP0 0
27272#define M_RXDROP0 0xffU
27273#define V_RXDROP0(x) ((x) << S_RXDROP0)
27274#define G_RXDROP0(x) (((x) >> S_RXDROP0) & M_RXDROP0)
27275
27276#define A_TP_DBG_ESIDE_TX 0x150
27277
27278#define S_ETXVALID 4
27279#define M_ETXVALID 0xfU
27280#define V_ETXVALID(x) ((x) << S_ETXVALID)
27281#define G_ETXVALID(x) (((x) >> S_ETXVALID) & M_ETXVALID)
27282
27283#define S_ETXFULL 0
27284#define M_ETXFULL 0xfU
27285#define V_ETXFULL(x) ((x) << S_ETXFULL)
27286#define G_ETXFULL(x) (((x) >> S_ETXFULL) & M_ETXFULL)
27287
27288#define S_TXERRORCNT 8
27289#define M_TXERRORCNT 0xffffffU
27290#define V_TXERRORCNT(x) ((x) << S_TXERRORCNT)
27291#define G_TXERRORCNT(x) (((x) >> S_TXERRORCNT) & M_TXERRORCNT)
27292
27293#define A_TP_ESIDE_SVID_MASK 0x151
27294#define A_TP_ESIDE_DVID_MASK 0x152
27295#define A_TP_ESIDE_ALIGN_MASK 0x153
27296
27297#define S_USE_LOOP_BIT 24
27298#define V_USE_LOOP_BIT(x) ((x) << S_USE_LOOP_BIT)
27299#define F_USE_LOOP_BIT V_USE_LOOP_BIT(1U)
27300
27301#define S_LOOP_OFFSET 16
27302#define M_LOOP_OFFSET 0xffU
27303#define V_LOOP_OFFSET(x) ((x) << S_LOOP_OFFSET)
27304#define G_LOOP_OFFSET(x) (((x) >> S_LOOP_OFFSET) & M_LOOP_OFFSET)
27305
27306#define S_DVID_ID_OFFSET 8
27307#define M_DVID_ID_OFFSET 0xffU
27308#define V_DVID_ID_OFFSET(x) ((x) << S_DVID_ID_OFFSET)
27309#define G_DVID_ID_OFFSET(x) (((x) >> S_DVID_ID_OFFSET) & M_DVID_ID_OFFSET)
27310
27311#define S_SVID_ID_OFFSET 0
27312#define M_SVID_ID_OFFSET 0xffU
27313#define V_SVID_ID_OFFSET(x) ((x) << S_SVID_ID_OFFSET)
27314#define G_SVID_ID_OFFSET(x) (((x) >> S_SVID_ID_OFFSET) & M_SVID_ID_OFFSET)
27315
27316#define A_TP_DBG_ESIDE_OP 0x154
27317
27318#define S_OPT_PARSER_FATAL_CHANNEL0 29
27319#define V_OPT_PARSER_FATAL_CHANNEL0(x) ((x) << S_OPT_PARSER_FATAL_CHANNEL0)
27320#define F_OPT_PARSER_FATAL_CHANNEL0 V_OPT_PARSER_FATAL_CHANNEL0(1U)
27321
27322#define S_OPT_PARSER_BUSY_CHANNEL0 28
27323#define V_OPT_PARSER_BUSY_CHANNEL0(x) ((x) << S_OPT_PARSER_BUSY_CHANNEL0)
27324#define F_OPT_PARSER_BUSY_CHANNEL0 V_OPT_PARSER_BUSY_CHANNEL0(1U)
27325
27326#define S_OPT_PARSER_ITCP_STATE_CHANNEL0 26
27327#define M_OPT_PARSER_ITCP_STATE_CHANNEL0 0x3U
27328#define V_OPT_PARSER_ITCP_STATE_CHANNEL0(x) ((x) << S_OPT_PARSER_ITCP_STATE_CHANNEL0)
27329#define G_OPT_PARSER_ITCP_STATE_CHANNEL0(x) (((x) >> S_OPT_PARSER_ITCP_STATE_CHANNEL0) & M_OPT_PARSER_ITCP_STATE_CHANNEL0)
27330
27331#define S_OPT_PARSER_OTK_STATE_CHANNEL0 24
27332#define M_OPT_PARSER_OTK_STATE_CHANNEL0 0x3U
27333#define V_OPT_PARSER_OTK_STATE_CHANNEL0(x) ((x) << S_OPT_PARSER_OTK_STATE_CHANNEL0)
27334#define G_OPT_PARSER_OTK_STATE_CHANNEL0(x) (((x) >> S_OPT_PARSER_OTK_STATE_CHANNEL0) & M_OPT_PARSER_OTK_STATE_CHANNEL0)
27335
27336#define S_OPT_PARSER_FATAL_CHANNEL1 21
27337#define V_OPT_PARSER_FATAL_CHANNEL1(x) ((x) << S_OPT_PARSER_FATAL_CHANNEL1)
27338#define F_OPT_PARSER_FATAL_CHANNEL1 V_OPT_PARSER_FATAL_CHANNEL1(1U)
27339
27340#define S_OPT_PARSER_BUSY_CHANNEL1 20
27341#define V_OPT_PARSER_BUSY_CHANNEL1(x) ((x) << S_OPT_PARSER_BUSY_CHANNEL1)
27342#define F_OPT_PARSER_BUSY_CHANNEL1 V_OPT_PARSER_BUSY_CHANNEL1(1U)
27343
27344#define S_OPT_PARSER_ITCP_STATE_CHANNEL1 18
27345#define M_OPT_PARSER_ITCP_STATE_CHANNEL1 0x3U
27346#define V_OPT_PARSER_ITCP_STATE_CHANNEL1(x) ((x) << S_OPT_PARSER_ITCP_STATE_CHANNEL1)
27347#define G_OPT_PARSER_ITCP_STATE_CHANNEL1(x) (((x) >> S_OPT_PARSER_ITCP_STATE_CHANNEL1) & M_OPT_PARSER_ITCP_STATE_CHANNEL1)
27348
27349#define S_OPT_PARSER_OTK_STATE_CHANNEL1 16
27350#define M_OPT_PARSER_OTK_STATE_CHANNEL1 0x3U
27351#define V_OPT_PARSER_OTK_STATE_CHANNEL1(x) ((x) << S_OPT_PARSER_OTK_STATE_CHANNEL1)
27352#define G_OPT_PARSER_OTK_STATE_CHANNEL1(x) (((x) >> S_OPT_PARSER_OTK_STATE_CHANNEL1) & M_OPT_PARSER_OTK_STATE_CHANNEL1)
27353
27354#define S_OPT_PARSER_FATAL_CHANNEL2 13
27355#define V_OPT_PARSER_FATAL_CHANNEL2(x) ((x) << S_OPT_PARSER_FATAL_CHANNEL2)
27356#define F_OPT_PARSER_FATAL_CHANNEL2 V_OPT_PARSER_FATAL_CHANNEL2(1U)
27357
27358#define S_OPT_PARSER_BUSY_CHANNEL2 12
27359#define V_OPT_PARSER_BUSY_CHANNEL2(x) ((x) << S_OPT_PARSER_BUSY_CHANNEL2)
27360#define F_OPT_PARSER_BUSY_CHANNEL2 V_OPT_PARSER_BUSY_CHANNEL2(1U)
27361
27362#define S_OPT_PARSER_ITCP_STATE_CHANNEL2 10
27363#define M_OPT_PARSER_ITCP_STATE_CHANNEL2 0x3U
27364#define V_OPT_PARSER_ITCP_STATE_CHANNEL2(x) ((x) << S_OPT_PARSER_ITCP_STATE_CHANNEL2)
27365#define G_OPT_PARSER_ITCP_STATE_CHANNEL2(x) (((x) >> S_OPT_PARSER_ITCP_STATE_CHANNEL2) & M_OPT_PARSER_ITCP_STATE_CHANNEL2)
27366
27367#define S_OPT_PARSER_OTK_STATE_CHANNEL2 8
27368#define M_OPT_PARSER_OTK_STATE_CHANNEL2 0x3U
27369#define V_OPT_PARSER_OTK_STATE_CHANNEL2(x) ((x) << S_OPT_PARSER_OTK_STATE_CHANNEL2)
27370#define G_OPT_PARSER_OTK_STATE_CHANNEL2(x) (((x) >> S_OPT_PARSER_OTK_STATE_CHANNEL2) & M_OPT_PARSER_OTK_STATE_CHANNEL2)
27371
27372#define S_OPT_PARSER_FATAL_CHANNEL3 5
27373#define V_OPT_PARSER_FATAL_CHANNEL3(x) ((x) << S_OPT_PARSER_FATAL_CHANNEL3)
27374#define F_OPT_PARSER_FATAL_CHANNEL3 V_OPT_PARSER_FATAL_CHANNEL3(1U)
27375
27376#define S_OPT_PARSER_BUSY_CHANNEL3 4
27377#define V_OPT_PARSER_BUSY_CHANNEL3(x) ((x) << S_OPT_PARSER_BUSY_CHANNEL3)
27378#define F_OPT_PARSER_BUSY_CHANNEL3 V_OPT_PARSER_BUSY_CHANNEL3(1U)
27379
27380#define S_OPT_PARSER_ITCP_STATE_CHANNEL3 2
27381#define M_OPT_PARSER_ITCP_STATE_CHANNEL3 0x3U
27382#define V_OPT_PARSER_ITCP_STATE_CHANNEL3(x) ((x) << S_OPT_PARSER_ITCP_STATE_CHANNEL3)
27383#define G_OPT_PARSER_ITCP_STATE_CHANNEL3(x) (((x) >> S_OPT_PARSER_ITCP_STATE_CHANNEL3) & M_OPT_PARSER_ITCP_STATE_CHANNEL3)
27384
27385#define S_OPT_PARSER_OTK_STATE_CHANNEL3 0
27386#define M_OPT_PARSER_OTK_STATE_CHANNEL3 0x3U
27387#define V_OPT_PARSER_OTK_STATE_CHANNEL3(x) ((x) << S_OPT_PARSER_OTK_STATE_CHANNEL3)
27388#define G_OPT_PARSER_OTK_STATE_CHANNEL3(x) (((x) >> S_OPT_PARSER_OTK_STATE_CHANNEL3) & M_OPT_PARSER_OTK_STATE_CHANNEL3)
27389
27390#define A_TP_DBG_ESIDE_OP_ALT 0x155
27391
27392#define S_OPT_PARSER_PSTATE_FATAL_CHANNEL0 29
27393#define V_OPT_PARSER_PSTATE_FATAL_CHANNEL0(x) ((x) << S_OPT_PARSER_PSTATE_FATAL_CHANNEL0)
27394#define F_OPT_PARSER_PSTATE_FATAL_CHANNEL0 V_OPT_PARSER_PSTATE_FATAL_CHANNEL0(1U)
27395
27396#define S_OPT_PARSER_PSTATE_ERRNO_CHANNEL0 24
27397#define M_OPT_PARSER_PSTATE_ERRNO_CHANNEL0 0x1fU
27398#define V_OPT_PARSER_PSTATE_ERRNO_CHANNEL0(x) ((x) << S_OPT_PARSER_PSTATE_ERRNO_CHANNEL0)
27399#define G_OPT_PARSER_PSTATE_ERRNO_CHANNEL0(x) (((x) >> S_OPT_PARSER_PSTATE_ERRNO_CHANNEL0) & M_OPT_PARSER_PSTATE_ERRNO_CHANNEL0)
27400
27401#define S_OPT_PARSER_PSTATE_FATAL_CHANNEL1 21
27402#define V_OPT_PARSER_PSTATE_FATAL_CHANNEL1(x) ((x) << S_OPT_PARSER_PSTATE_FATAL_CHANNEL1)
27403#define F_OPT_PARSER_PSTATE_FATAL_CHANNEL1 V_OPT_PARSER_PSTATE_FATAL_CHANNEL1(1U)
27404
27405#define S_OPT_PARSER_PSTATE_ERRNO_CHANNEL1 16
27406#define M_OPT_PARSER_PSTATE_ERRNO_CHANNEL1 0x1fU
27407#define V_OPT_PARSER_PSTATE_ERRNO_CHANNEL1(x) ((x) << S_OPT_PARSER_PSTATE_ERRNO_CHANNEL1)
27408#define G_OPT_PARSER_PSTATE_ERRNO_CHANNEL1(x) (((x) >> S_OPT_PARSER_PSTATE_ERRNO_CHANNEL1) & M_OPT_PARSER_PSTATE_ERRNO_CHANNEL1)
27409
27410#define S_OPT_PARSER_PSTATE_FATAL_CHANNEL2 13
27411#define V_OPT_PARSER_PSTATE_FATAL_CHANNEL2(x) ((x) << S_OPT_PARSER_PSTATE_FATAL_CHANNEL2)
27412#define F_OPT_PARSER_PSTATE_FATAL_CHANNEL2 V_OPT_PARSER_PSTATE_FATAL_CHANNEL2(1U)
27413
27414#define S_OPT_PARSER_PSTATE_ERRNO_CHANNEL2 8
27415#define M_OPT_PARSER_PSTATE_ERRNO_CHANNEL2 0x1fU
27416#define V_OPT_PARSER_PSTATE_ERRNO_CHANNEL2(x) ((x) << S_OPT_PARSER_PSTATE_ERRNO_CHANNEL2)
27417#define G_OPT_PARSER_PSTATE_ERRNO_CHANNEL2(x) (((x) >> S_OPT_PARSER_PSTATE_ERRNO_CHANNEL2) & M_OPT_PARSER_PSTATE_ERRNO_CHANNEL2)
27418
27419#define S_OPT_PARSER_PSTATE_FATAL_CHANNEL3 5
27420#define V_OPT_PARSER_PSTATE_FATAL_CHANNEL3(x) ((x) << S_OPT_PARSER_PSTATE_FATAL_CHANNEL3)
27421#define F_OPT_PARSER_PSTATE_FATAL_CHANNEL3 V_OPT_PARSER_PSTATE_FATAL_CHANNEL3(1U)
27422
27423#define S_OPT_PARSER_PSTATE_ERRNO_CHANNEL3 0
27424#define M_OPT_PARSER_PSTATE_ERRNO_CHANNEL3 0x1fU
27425#define V_OPT_PARSER_PSTATE_ERRNO_CHANNEL3(x) ((x) << S_OPT_PARSER_PSTATE_ERRNO_CHANNEL3)
27426#define G_OPT_PARSER_PSTATE_ERRNO_CHANNEL3(x) (((x) >> S_OPT_PARSER_PSTATE_ERRNO_CHANNEL3) & M_OPT_PARSER_PSTATE_ERRNO_CHANNEL3)
27427
27428#define A_TP_DBG_ESIDE_OP_BUSY 0x156
27429
27430#define S_OPT_PARSER_BUSY_VEC_CHANNEL3 24
27431#define M_OPT_PARSER_BUSY_VEC_CHANNEL3 0xffU
27432#define V_OPT_PARSER_BUSY_VEC_CHANNEL3(x) ((x) << S_OPT_PARSER_BUSY_VEC_CHANNEL3)
27433#define G_OPT_PARSER_BUSY_VEC_CHANNEL3(x) (((x) >> S_OPT_PARSER_BUSY_VEC_CHANNEL3) & M_OPT_PARSER_BUSY_VEC_CHANNEL3)
27434
27435#define S_OPT_PARSER_BUSY_VEC_CHANNEL2 16
27436#define M_OPT_PARSER_BUSY_VEC_CHANNEL2 0xffU
27437#define V_OPT_PARSER_BUSY_VEC_CHANNEL2(x) ((x) << S_OPT_PARSER_BUSY_VEC_CHANNEL2)
27438#define G_OPT_PARSER_BUSY_VEC_CHANNEL2(x) (((x) >> S_OPT_PARSER_BUSY_VEC_CHANNEL2) & M_OPT_PARSER_BUSY_VEC_CHANNEL2)
27439
27440#define S_OPT_PARSER_BUSY_VEC_CHANNEL1 8
27441#define M_OPT_PARSER_BUSY_VEC_CHANNEL1 0xffU
27442#define V_OPT_PARSER_BUSY_VEC_CHANNEL1(x) ((x) << S_OPT_PARSER_BUSY_VEC_CHANNEL1)
27443#define G_OPT_PARSER_BUSY_VEC_CHANNEL1(x) (((x) >> S_OPT_PARSER_BUSY_VEC_CHANNEL1) & M_OPT_PARSER_BUSY_VEC_CHANNEL1)
27444
27445#define S_OPT_PARSER_BUSY_VEC_CHANNEL0 0
27446#define M_OPT_PARSER_BUSY_VEC_CHANNEL0 0xffU
27447#define V_OPT_PARSER_BUSY_VEC_CHANNEL0(x) ((x) << S_OPT_PARSER_BUSY_VEC_CHANNEL0)
27448#define G_OPT_PARSER_BUSY_VEC_CHANNEL0(x) (((x) >> S_OPT_PARSER_BUSY_VEC_CHANNEL0) & M_OPT_PARSER_BUSY_VEC_CHANNEL0)
27449
27450#define A_TP_DBG_ESIDE_OP_COOKIE 0x157
27451
27452#define S_OPT_PARSER_COOKIE_CHANNEL3 24
27453#define M_OPT_PARSER_COOKIE_CHANNEL3 0xffU
27454#define V_OPT_PARSER_COOKIE_CHANNEL3(x) ((x) << S_OPT_PARSER_COOKIE_CHANNEL3)
27455#define G_OPT_PARSER_COOKIE_CHANNEL3(x) (((x) >> S_OPT_PARSER_COOKIE_CHANNEL3) & M_OPT_PARSER_COOKIE_CHANNEL3)
27456
27457#define S_OPT_PARSER_COOKIE_CHANNEL2 16
27458#define M_OPT_PARSER_COOKIE_CHANNEL2 0xffU
27459#define V_OPT_PARSER_COOKIE_CHANNEL2(x) ((x) << S_OPT_PARSER_COOKIE_CHANNEL2)
27460#define G_OPT_PARSER_COOKIE_CHANNEL2(x) (((x) >> S_OPT_PARSER_COOKIE_CHANNEL2) & M_OPT_PARSER_COOKIE_CHANNEL2)
27461
27462#define S_OPT_PARSER_COOKIE_CHANNEL1 8
27463#define M_OPT_PARSER_COOKIE_CHANNEL1 0xffU
27464#define V_OPT_PARSER_COOKIE_CHANNEL1(x) ((x) << S_OPT_PARSER_COOKIE_CHANNEL1)
27465#define G_OPT_PARSER_COOKIE_CHANNEL1(x) (((x) >> S_OPT_PARSER_COOKIE_CHANNEL1) & M_OPT_PARSER_COOKIE_CHANNEL1)
27466
27467#define S_OPT_PARSER_COOKIE_CHANNEL0 0
27468#define M_OPT_PARSER_COOKIE_CHANNEL0 0xffU
27469#define V_OPT_PARSER_COOKIE_CHANNEL0(x) ((x) << S_OPT_PARSER_COOKIE_CHANNEL0)
27470#define G_OPT_PARSER_COOKIE_CHANNEL0(x) (((x) >> S_OPT_PARSER_COOKIE_CHANNEL0) & M_OPT_PARSER_COOKIE_CHANNEL0)
27471
27472#define A_TP_DBG_ESIDE_DEMUX_WAIT0 0x158
27473#define A_TP_DBG_ESIDE_DEMUX_WAIT1 0x159
27474#define A_TP_DBG_ESIDE_DEMUX_CNT0 0x15a
27475#define A_TP_DBG_ESIDE_DEMUX_CNT1 0x15b
27476#define A_TP_ESIDE_CONFIG 0x160
27477
27478#define S_VNI_EN 26
27479#define V_VNI_EN(x) ((x) << S_VNI_EN)
27480#define F_VNI_EN V_VNI_EN(1U)
27481
27482#define S_ENC_RX_EN 25
27483#define V_ENC_RX_EN(x) ((x) << S_ENC_RX_EN)
27484#define F_ENC_RX_EN V_ENC_RX_EN(1U)
27485
27486#define S_TNL_LKP_INNER_SEL 24
27487#define V_TNL_LKP_INNER_SEL(x) ((x) << S_TNL_LKP_INNER_SEL)
27488#define F_TNL_LKP_INNER_SEL V_TNL_LKP_INNER_SEL(1U)
27489
27490#define S_ROCEV2UDPPORT 0
27491#define M_ROCEV2UDPPORT 0xffffU
27492#define V_ROCEV2UDPPORT(x) ((x) << S_ROCEV2UDPPORT)
27493#define G_ROCEV2UDPPORT(x) (((x) >> S_ROCEV2UDPPORT) & M_ROCEV2UDPPORT)
27494
27495#define A_TP_DBG_CSIDE_RX0 0x230
27496
27497#define S_CRXSOPCNT 28
27498#define M_CRXSOPCNT 0xfU
27499#define V_CRXSOPCNT(x) ((x) << S_CRXSOPCNT)
27500#define G_CRXSOPCNT(x) (((x) >> S_CRXSOPCNT) & M_CRXSOPCNT)
27501
27502#define S_CRXEOPCNT 24
27503#define M_CRXEOPCNT 0xfU
27504#define V_CRXEOPCNT(x) ((x) << S_CRXEOPCNT)
27505#define G_CRXEOPCNT(x) (((x) >> S_CRXEOPCNT) & M_CRXEOPCNT)
27506
27507#define S_CRXPLDSOPCNT 20
27508#define M_CRXPLDSOPCNT 0xfU
27509#define V_CRXPLDSOPCNT(x) ((x) << S_CRXPLDSOPCNT)
27510#define G_CRXPLDSOPCNT(x) (((x) >> S_CRXPLDSOPCNT) & M_CRXPLDSOPCNT)
27511
27512#define S_CRXPLDEOPCNT 16
27513#define M_CRXPLDEOPCNT 0xfU
27514#define V_CRXPLDEOPCNT(x) ((x) << S_CRXPLDEOPCNT)
27515#define G_CRXPLDEOPCNT(x) (((x) >> S_CRXPLDEOPCNT) & M_CRXPLDEOPCNT)
27516
27517#define S_CRXARBSOPCNT 12
27518#define M_CRXARBSOPCNT 0xfU
27519#define V_CRXARBSOPCNT(x) ((x) << S_CRXARBSOPCNT)
27520#define G_CRXARBSOPCNT(x) (((x) >> S_CRXARBSOPCNT) & M_CRXARBSOPCNT)
27521
27522#define S_CRXARBEOPCNT 8
27523#define M_CRXARBEOPCNT 0xfU
27524#define V_CRXARBEOPCNT(x) ((x) << S_CRXARBEOPCNT)
27525#define G_CRXARBEOPCNT(x) (((x) >> S_CRXARBEOPCNT) & M_CRXARBEOPCNT)
27526
27527#define S_CRXCPLSOPCNT 4
27528#define M_CRXCPLSOPCNT 0xfU
27529#define V_CRXCPLSOPCNT(x) ((x) << S_CRXCPLSOPCNT)
27530#define G_CRXCPLSOPCNT(x) (((x) >> S_CRXCPLSOPCNT) & M_CRXCPLSOPCNT)
27531
27532#define S_CRXCPLEOPCNT 0
27533#define M_CRXCPLEOPCNT 0xfU
27534#define V_CRXCPLEOPCNT(x) ((x) << S_CRXCPLEOPCNT)
27535#define G_CRXCPLEOPCNT(x) (((x) >> S_CRXCPLEOPCNT) & M_CRXCPLEOPCNT)
27536
27537#define A_TP_DBG_CSIDE_RX1 0x231
27538#define A_TP_DBG_CSIDE_RX2 0x232
27539#define A_TP_DBG_CSIDE_RX3 0x233
27540#define A_TP_DBG_CSIDE_TX0 0x234
27541
27542#define S_TXSOPCNT 28
27543#define M_TXSOPCNT 0xfU
27544#define V_TXSOPCNT(x) ((x) << S_TXSOPCNT)
27545#define G_TXSOPCNT(x) (((x) >> S_TXSOPCNT) & M_TXSOPCNT)
27546
27547#define S_TXEOPCNT 24
27548#define M_TXEOPCNT 0xfU
27549#define V_TXEOPCNT(x) ((x) << S_TXEOPCNT)
27550#define G_TXEOPCNT(x) (((x) >> S_TXEOPCNT) & M_TXEOPCNT)
27551
27552#define S_TXPLDSOPCNT 20
27553#define M_TXPLDSOPCNT 0xfU
27554#define V_TXPLDSOPCNT(x) ((x) << S_TXPLDSOPCNT)
27555#define G_TXPLDSOPCNT(x) (((x) >> S_TXPLDSOPCNT) & M_TXPLDSOPCNT)
27556
27557#define S_TXPLDEOPCNT 16
27558#define M_TXPLDEOPCNT 0xfU
27559#define V_TXPLDEOPCNT(x) ((x) << S_TXPLDEOPCNT)
27560#define G_TXPLDEOPCNT(x) (((x) >> S_TXPLDEOPCNT) & M_TXPLDEOPCNT)
27561
27562#define S_TXARBSOPCNT 12
27563#define M_TXARBSOPCNT 0xfU
27564#define V_TXARBSOPCNT(x) ((x) << S_TXARBSOPCNT)
27565#define G_TXARBSOPCNT(x) (((x) >> S_TXARBSOPCNT) & M_TXARBSOPCNT)
27566
27567#define S_TXARBEOPCNT 8
27568#define M_TXARBEOPCNT 0xfU
27569#define V_TXARBEOPCNT(x) ((x) << S_TXARBEOPCNT)
27570#define G_TXARBEOPCNT(x) (((x) >> S_TXARBEOPCNT) & M_TXARBEOPCNT)
27571
27572#define S_TXCPLSOPCNT 4
27573#define M_TXCPLSOPCNT 0xfU
27574#define V_TXCPLSOPCNT(x) ((x) << S_TXCPLSOPCNT)
27575#define G_TXCPLSOPCNT(x) (((x) >> S_TXCPLSOPCNT) & M_TXCPLSOPCNT)
27576
27577#define S_TXCPLEOPCNT 0
27578#define M_TXCPLEOPCNT 0xfU
27579#define V_TXCPLEOPCNT(x) ((x) << S_TXCPLEOPCNT)
27580#define G_TXCPLEOPCNT(x) (((x) >> S_TXCPLEOPCNT) & M_TXCPLEOPCNT)
27581
27582#define A_TP_DBG_CSIDE_TX1 0x235
27583#define A_TP_DBG_CSIDE_TX2 0x236
27584#define A_TP_DBG_CSIDE_TX3 0x237
27585#define A_TP_DBG_CSIDE_FIFO0 0x238
27586
27587#define S_PLD_RXZEROP_SRDY1 31
27588#define V_PLD_RXZEROP_SRDY1(x) ((x) << S_PLD_RXZEROP_SRDY1)
27589#define F_PLD_RXZEROP_SRDY1 V_PLD_RXZEROP_SRDY1(1U)
27590
27591#define S_PLD_RXZEROP_DRDY1 30
27592#define V_PLD_RXZEROP_DRDY1(x) ((x) << S_PLD_RXZEROP_DRDY1)
27593#define F_PLD_RXZEROP_DRDY1 V_PLD_RXZEROP_DRDY1(1U)
27594
27595#define S_PLD_TXZEROP_SRDY1 29
27596#define V_PLD_TXZEROP_SRDY1(x) ((x) << S_PLD_TXZEROP_SRDY1)
27597#define F_PLD_TXZEROP_SRDY1 V_PLD_TXZEROP_SRDY1(1U)
27598
27599#define S_PLD_TXZEROP_DRDY1 28
27600#define V_PLD_TXZEROP_DRDY1(x) ((x) << S_PLD_TXZEROP_DRDY1)
27601#define F_PLD_TXZEROP_DRDY1 V_PLD_TXZEROP_DRDY1(1U)
27602
27603#define S_PLD_TX_SRDY1 27
27604#define V_PLD_TX_SRDY1(x) ((x) << S_PLD_TX_SRDY1)
27605#define F_PLD_TX_SRDY1 V_PLD_TX_SRDY1(1U)
27606
27607#define S_PLD_TX_DRDY1 26
27608#define V_PLD_TX_DRDY1(x) ((x) << S_PLD_TX_DRDY1)
27609#define F_PLD_TX_DRDY1 V_PLD_TX_DRDY1(1U)
27610
27611#define S_ERROR_SRDY1 25
27612#define V_ERROR_SRDY1(x) ((x) << S_ERROR_SRDY1)
27613#define F_ERROR_SRDY1 V_ERROR_SRDY1(1U)
27614
27615#define S_ERROR_DRDY1 24
27616#define V_ERROR_DRDY1(x) ((x) << S_ERROR_DRDY1)
27617#define F_ERROR_DRDY1 V_ERROR_DRDY1(1U)
27618
27619#define S_DB_VLD1 23
27620#define V_DB_VLD1(x) ((x) << S_DB_VLD1)
27621#define F_DB_VLD1 V_DB_VLD1(1U)
27622
27623#define S_DB_GT1 22
27624#define V_DB_GT1(x) ((x) << S_DB_GT1)
27625#define F_DB_GT1 V_DB_GT1(1U)
27626
27627#define S_TXVALID1 21
27628#define V_TXVALID1(x) ((x) << S_TXVALID1)
27629#define F_TXVALID1 V_TXVALID1(1U)
27630
27631#define S_TXFULL1 20
27632#define V_TXFULL1(x) ((x) << S_TXFULL1)
27633#define F_TXFULL1 V_TXFULL1(1U)
27634
27635#define S_PLD_TXVALID1 19
27636#define V_PLD_TXVALID1(x) ((x) << S_PLD_TXVALID1)
27637#define F_PLD_TXVALID1 V_PLD_TXVALID1(1U)
27638
27639#define S_PLD_TXFULL1 18
27640#define V_PLD_TXFULL1(x) ((x) << S_PLD_TXFULL1)
27641#define F_PLD_TXFULL1 V_PLD_TXFULL1(1U)
27642
27643#define S_CPL5_TXVALID1 17
27644#define V_CPL5_TXVALID1(x) ((x) << S_CPL5_TXVALID1)
27645#define F_CPL5_TXVALID1 V_CPL5_TXVALID1(1U)
27646
27647#define S_CPL5_TXFULL1 16
27648#define V_CPL5_TXFULL1(x) ((x) << S_CPL5_TXFULL1)
27649#define F_CPL5_TXFULL1 V_CPL5_TXFULL1(1U)
27650
27651#define S_PLD_RXZEROP_SRDY0 15
27652#define V_PLD_RXZEROP_SRDY0(x) ((x) << S_PLD_RXZEROP_SRDY0)
27653#define F_PLD_RXZEROP_SRDY0 V_PLD_RXZEROP_SRDY0(1U)
27654
27655#define S_PLD_RXZEROP_DRDY0 14
27656#define V_PLD_RXZEROP_DRDY0(x) ((x) << S_PLD_RXZEROP_DRDY0)
27657#define F_PLD_RXZEROP_DRDY0 V_PLD_RXZEROP_DRDY0(1U)
27658
27659#define S_PLD_TXZEROP_SRDY0 13
27660#define V_PLD_TXZEROP_SRDY0(x) ((x) << S_PLD_TXZEROP_SRDY0)
27661#define F_PLD_TXZEROP_SRDY0 V_PLD_TXZEROP_SRDY0(1U)
27662
27663#define S_PLD_TXZEROP_DRDY0 12
27664#define V_PLD_TXZEROP_DRDY0(x) ((x) << S_PLD_TXZEROP_DRDY0)
27665#define F_PLD_TXZEROP_DRDY0 V_PLD_TXZEROP_DRDY0(1U)
27666
27667#define S_PLD_TX_SRDY0 11
27668#define V_PLD_TX_SRDY0(x) ((x) << S_PLD_TX_SRDY0)
27669#define F_PLD_TX_SRDY0 V_PLD_TX_SRDY0(1U)
27670
27671#define S_PLD_TX_DRDY0 10
27672#define V_PLD_TX_DRDY0(x) ((x) << S_PLD_TX_DRDY0)
27673#define F_PLD_TX_DRDY0 V_PLD_TX_DRDY0(1U)
27674
27675#define S_ERROR_SRDY0 9
27676#define V_ERROR_SRDY0(x) ((x) << S_ERROR_SRDY0)
27677#define F_ERROR_SRDY0 V_ERROR_SRDY0(1U)
27678
27679#define S_ERROR_DRDY0 8
27680#define V_ERROR_DRDY0(x) ((x) << S_ERROR_DRDY0)
27681#define F_ERROR_DRDY0 V_ERROR_DRDY0(1U)
27682
27683#define S_DB_VLD0 7
27684#define V_DB_VLD0(x) ((x) << S_DB_VLD0)
27685#define F_DB_VLD0 V_DB_VLD0(1U)
27686
27687#define S_DB_GT0 6
27688#define V_DB_GT0(x) ((x) << S_DB_GT0)
27689#define F_DB_GT0 V_DB_GT0(1U)
27690
27691#define S_TXVALID0 5
27692#define V_TXVALID0(x) ((x) << S_TXVALID0)
27693#define F_TXVALID0 V_TXVALID0(1U)
27694
27695#define S_TXFULL0 4
27696#define V_TXFULL0(x) ((x) << S_TXFULL0)
27697#define F_TXFULL0 V_TXFULL0(1U)
27698
27699#define S_PLD_TXVALID0 3
27700#define V_PLD_TXVALID0(x) ((x) << S_PLD_TXVALID0)
27701#define F_PLD_TXVALID0 V_PLD_TXVALID0(1U)
27702
27703#define S_PLD_TXFULL0 2
27704#define V_PLD_TXFULL0(x) ((x) << S_PLD_TXFULL0)
27705#define F_PLD_TXFULL0 V_PLD_TXFULL0(1U)
27706
27707#define S_CPL5_TXVALID0 1
27708#define V_CPL5_TXVALID0(x) ((x) << S_CPL5_TXVALID0)
27709#define F_CPL5_TXVALID0 V_CPL5_TXVALID0(1U)
27710
27711#define S_CPL5_TXFULL0 0
27712#define V_CPL5_TXFULL0(x) ((x) << S_CPL5_TXFULL0)
27713#define F_CPL5_TXFULL0 V_CPL5_TXFULL0(1U)
27714
27715#define A_TP_DBG_CSIDE_FIFO1 0x239
27716
27717#define S_PLD_RXZEROP_SRDY3 31
27718#define V_PLD_RXZEROP_SRDY3(x) ((x) << S_PLD_RXZEROP_SRDY3)
27719#define F_PLD_RXZEROP_SRDY3 V_PLD_RXZEROP_SRDY3(1U)
27720
27721#define S_PLD_RXZEROP_DRDY3 30
27722#define V_PLD_RXZEROP_DRDY3(x) ((x) << S_PLD_RXZEROP_DRDY3)
27723#define F_PLD_RXZEROP_DRDY3 V_PLD_RXZEROP_DRDY3(1U)
27724
27725#define S_PLD_TXZEROP_SRDY3 29
27726#define V_PLD_TXZEROP_SRDY3(x) ((x) << S_PLD_TXZEROP_SRDY3)
27727#define F_PLD_TXZEROP_SRDY3 V_PLD_TXZEROP_SRDY3(1U)
27728
27729#define S_PLD_TXZEROP_DRDY3 28
27730#define V_PLD_TXZEROP_DRDY3(x) ((x) << S_PLD_TXZEROP_DRDY3)
27731#define F_PLD_TXZEROP_DRDY3 V_PLD_TXZEROP_DRDY3(1U)
27732
27733#define S_PLD_TX_SRDY3 27
27734#define V_PLD_TX_SRDY3(x) ((x) << S_PLD_TX_SRDY3)
27735#define F_PLD_TX_SRDY3 V_PLD_TX_SRDY3(1U)
27736
27737#define S_PLD_TX_DRDY3 26
27738#define V_PLD_TX_DRDY3(x) ((x) << S_PLD_TX_DRDY3)
27739#define F_PLD_TX_DRDY3 V_PLD_TX_DRDY3(1U)
27740
27741#define S_ERROR_SRDY3 25
27742#define V_ERROR_SRDY3(x) ((x) << S_ERROR_SRDY3)
27743#define F_ERROR_SRDY3 V_ERROR_SRDY3(1U)
27744
27745#define S_ERROR_DRDY3 24
27746#define V_ERROR_DRDY3(x) ((x) << S_ERROR_DRDY3)
27747#define F_ERROR_DRDY3 V_ERROR_DRDY3(1U)
27748
27749#define S_DB_VLD3 23
27750#define V_DB_VLD3(x) ((x) << S_DB_VLD3)
27751#define F_DB_VLD3 V_DB_VLD3(1U)
27752
27753#define S_DB_GT3 22
27754#define V_DB_GT3(x) ((x) << S_DB_GT3)
27755#define F_DB_GT3 V_DB_GT3(1U)
27756
27757#define S_TXVALID3 21
27758#define V_TXVALID3(x) ((x) << S_TXVALID3)
27759#define F_TXVALID3 V_TXVALID3(1U)
27760
27761#define S_TXFULL3 20
27762#define V_TXFULL3(x) ((x) << S_TXFULL3)
27763#define F_TXFULL3 V_TXFULL3(1U)
27764
27765#define S_PLD_TXVALID3 19
27766#define V_PLD_TXVALID3(x) ((x) << S_PLD_TXVALID3)
27767#define F_PLD_TXVALID3 V_PLD_TXVALID3(1U)
27768
27769#define S_PLD_TXFULL3 18
27770#define V_PLD_TXFULL3(x) ((x) << S_PLD_TXFULL3)
27771#define F_PLD_TXFULL3 V_PLD_TXFULL3(1U)
27772
27773#define S_CPL5_TXVALID3 17
27774#define V_CPL5_TXVALID3(x) ((x) << S_CPL5_TXVALID3)
27775#define F_CPL5_TXVALID3 V_CPL5_TXVALID3(1U)
27776
27777#define S_CPL5_TXFULL3 16
27778#define V_CPL5_TXFULL3(x) ((x) << S_CPL5_TXFULL3)
27779#define F_CPL5_TXFULL3 V_CPL5_TXFULL3(1U)
27780
27781#define S_PLD_RXZEROP_SRDY2 15
27782#define V_PLD_RXZEROP_SRDY2(x) ((x) << S_PLD_RXZEROP_SRDY2)
27783#define F_PLD_RXZEROP_SRDY2 V_PLD_RXZEROP_SRDY2(1U)
27784
27785#define S_PLD_RXZEROP_DRDY2 14
27786#define V_PLD_RXZEROP_DRDY2(x) ((x) << S_PLD_RXZEROP_DRDY2)
27787#define F_PLD_RXZEROP_DRDY2 V_PLD_RXZEROP_DRDY2(1U)
27788
27789#define S_PLD_TXZEROP_SRDY2 13
27790#define V_PLD_TXZEROP_SRDY2(x) ((x) << S_PLD_TXZEROP_SRDY2)
27791#define F_PLD_TXZEROP_SRDY2 V_PLD_TXZEROP_SRDY2(1U)
27792
27793#define S_PLD_TXZEROP_DRDY2 12
27794#define V_PLD_TXZEROP_DRDY2(x) ((x) << S_PLD_TXZEROP_DRDY2)
27795#define F_PLD_TXZEROP_DRDY2 V_PLD_TXZEROP_DRDY2(1U)
27796
27797#define S_PLD_TX_SRDY2 11
27798#define V_PLD_TX_SRDY2(x) ((x) << S_PLD_TX_SRDY2)
27799#define F_PLD_TX_SRDY2 V_PLD_TX_SRDY2(1U)
27800
27801#define S_PLD_TX_DRDY2 10
27802#define V_PLD_TX_DRDY2(x) ((x) << S_PLD_TX_DRDY2)
27803#define F_PLD_TX_DRDY2 V_PLD_TX_DRDY2(1U)
27804
27805#define S_ERROR_SRDY2 9
27806#define V_ERROR_SRDY2(x) ((x) << S_ERROR_SRDY2)
27807#define F_ERROR_SRDY2 V_ERROR_SRDY2(1U)
27808
27809#define S_ERROR_DRDY2 8
27810#define V_ERROR_DRDY2(x) ((x) << S_ERROR_DRDY2)
27811#define F_ERROR_DRDY2 V_ERROR_DRDY2(1U)
27812
27813#define S_DB_VLD2 7
27814#define V_DB_VLD2(x) ((x) << S_DB_VLD2)
27815#define F_DB_VLD2 V_DB_VLD2(1U)
27816
27817#define S_DB_GT2 6
27818#define V_DB_GT2(x) ((x) << S_DB_GT2)
27819#define F_DB_GT2 V_DB_GT2(1U)
27820
27821#define S_TXVALID2 5
27822#define V_TXVALID2(x) ((x) << S_TXVALID2)
27823#define F_TXVALID2 V_TXVALID2(1U)
27824
27825#define S_TXFULL2 4
27826#define V_TXFULL2(x) ((x) << S_TXFULL2)
27827#define F_TXFULL2 V_TXFULL2(1U)
27828
27829#define S_PLD_TXVALID2 3
27830#define V_PLD_TXVALID2(x) ((x) << S_PLD_TXVALID2)
27831#define F_PLD_TXVALID2 V_PLD_TXVALID2(1U)
27832
27833#define S_PLD_TXFULL2 2
27834#define V_PLD_TXFULL2(x) ((x) << S_PLD_TXFULL2)
27835#define F_PLD_TXFULL2 V_PLD_TXFULL2(1U)
27836
27837#define S_CPL5_TXVALID2 1
27838#define V_CPL5_TXVALID2(x) ((x) << S_CPL5_TXVALID2)
27839#define F_CPL5_TXVALID2 V_CPL5_TXVALID2(1U)
27840
27841#define S_CPL5_TXFULL2 0
27842#define V_CPL5_TXFULL2(x) ((x) << S_CPL5_TXFULL2)
27843#define F_CPL5_TXFULL2 V_CPL5_TXFULL2(1U)
27844
27845#define A_TP_DBG_CSIDE_DISP0 0x23a
27846
27847#define S_CPL5RXVALID 27
27848#define V_CPL5RXVALID(x) ((x) << S_CPL5RXVALID)
27849#define F_CPL5RXVALID V_CPL5RXVALID(1U)
27850
27851#define S_CSTATIC1 26
27852#define V_CSTATIC1(x) ((x) << S_CSTATIC1)
27853#define F_CSTATIC1 V_CSTATIC1(1U)
27854
27855#define S_CSTATIC2 25
27856#define V_CSTATIC2(x) ((x) << S_CSTATIC2)
27857#define F_CSTATIC2 V_CSTATIC2(1U)
27858
27859#define S_PLD_RXZEROP 24
27860#define V_PLD_RXZEROP(x) ((x) << S_PLD_RXZEROP)
27861#define F_PLD_RXZEROP V_PLD_RXZEROP(1U)
27862
27863#define S_DDP_IN_PROGRESS 23
27864#define V_DDP_IN_PROGRESS(x) ((x) << S_DDP_IN_PROGRESS)
27865#define F_DDP_IN_PROGRESS V_DDP_IN_PROGRESS(1U)
27866
27867#define S_PLD_RXZEROP_SRDY 22
27868#define V_PLD_RXZEROP_SRDY(x) ((x) << S_PLD_RXZEROP_SRDY)
27869#define F_PLD_RXZEROP_SRDY V_PLD_RXZEROP_SRDY(1U)
27870
27871#define S_CSTATIC3 21
27872#define V_CSTATIC3(x) ((x) << S_CSTATIC3)
27873#define F_CSTATIC3 V_CSTATIC3(1U)
27874
27875#define S_DDP_DRDY 20
27876#define V_DDP_DRDY(x) ((x) << S_DDP_DRDY)
27877#define F_DDP_DRDY V_DDP_DRDY(1U)
27878
27879#define S_DDP_PRE_STATE 17
27880#define M_DDP_PRE_STATE 0x7U
27881#define V_DDP_PRE_STATE(x) ((x) << S_DDP_PRE_STATE)
27882#define G_DDP_PRE_STATE(x) (((x) >> S_DDP_PRE_STATE) & M_DDP_PRE_STATE)
27883
27884#define S_DDP_SRDY 16
27885#define V_DDP_SRDY(x) ((x) << S_DDP_SRDY)
27886#define F_DDP_SRDY V_DDP_SRDY(1U)
27887
27888#define S_DDP_MSG_CODE 12
27889#define M_DDP_MSG_CODE 0xfU
27890#define V_DDP_MSG_CODE(x) ((x) << S_DDP_MSG_CODE)
27891#define G_DDP_MSG_CODE(x) (((x) >> S_DDP_MSG_CODE) & M_DDP_MSG_CODE)
27892
27893#define S_CPL5_SOCP_CNT 10
27894#define M_CPL5_SOCP_CNT 0x3U
27895#define V_CPL5_SOCP_CNT(x) ((x) << S_CPL5_SOCP_CNT)
27896#define G_CPL5_SOCP_CNT(x) (((x) >> S_CPL5_SOCP_CNT) & M_CPL5_SOCP_CNT)
27897
27898#define S_CSTATIC4 4
27899#define M_CSTATIC4 0x3fU
27900#define V_CSTATIC4(x) ((x) << S_CSTATIC4)
27901#define G_CSTATIC4(x) (((x) >> S_CSTATIC4) & M_CSTATIC4)
27902
27903#define S_CMD_SEL 1
27904#define V_CMD_SEL(x) ((x) << S_CMD_SEL)
27905#define F_CMD_SEL V_CMD_SEL(1U)
27906
27907#define S_T5_TXFULL 31
27908#define V_T5_TXFULL(x) ((x) << S_T5_TXFULL)
27909#define F_T5_TXFULL V_T5_TXFULL(1U)
27910
27911#define S_CPL5RXFULL 26
27912#define V_CPL5RXFULL(x) ((x) << S_CPL5RXFULL)
27913#define F_CPL5RXFULL V_CPL5RXFULL(1U)
27914
27915#define S_T5_PLD_RXZEROP_SRDY 25
27916#define V_T5_PLD_RXZEROP_SRDY(x) ((x) << S_T5_PLD_RXZEROP_SRDY)
27917#define F_T5_PLD_RXZEROP_SRDY V_T5_PLD_RXZEROP_SRDY(1U)
27918
27919#define S_PLD2XRXVALID 23
27920#define V_PLD2XRXVALID(x) ((x) << S_PLD2XRXVALID)
27921#define F_PLD2XRXVALID V_PLD2XRXVALID(1U)
27922
27923#define S_T5_DDP_SRDY 22
27924#define V_T5_DDP_SRDY(x) ((x) << S_T5_DDP_SRDY)
27925#define F_T5_DDP_SRDY V_T5_DDP_SRDY(1U)
27926
27927#define S_T5_DDP_DRDY 21
27928#define V_T5_DDP_DRDY(x) ((x) << S_T5_DDP_DRDY)
27929#define F_T5_DDP_DRDY V_T5_DDP_DRDY(1U)
27930
27931#define S_DDPSTATE 16
27932#define M_DDPSTATE 0x1fU
27933#define V_DDPSTATE(x) ((x) << S_DDPSTATE)
27934#define G_DDPSTATE(x) (((x) >> S_DDPSTATE) & M_DDPSTATE)
27935
27936#define S_DDPMSGCODE 12
27937#define M_DDPMSGCODE 0xfU
27938#define V_DDPMSGCODE(x) ((x) << S_DDPMSGCODE)
27939#define G_DDPMSGCODE(x) (((x) >> S_DDPMSGCODE) & M_DDPMSGCODE)
27940
27941#define S_CPL5SOCPCNT 8
27942#define M_CPL5SOCPCNT 0xfU
27943#define V_CPL5SOCPCNT(x) ((x) << S_CPL5SOCPCNT)
27944#define G_CPL5SOCPCNT(x) (((x) >> S_CPL5SOCPCNT) & M_CPL5SOCPCNT)
27945
27946#define S_PLDRXZEROPCNT 4
27947#define M_PLDRXZEROPCNT 0xfU
27948#define V_PLDRXZEROPCNT(x) ((x) << S_PLDRXZEROPCNT)
27949#define G_PLDRXZEROPCNT(x) (((x) >> S_PLDRXZEROPCNT) & M_PLDRXZEROPCNT)
27950
27951#define S_TXFRMERR2 3
27952#define V_TXFRMERR2(x) ((x) << S_TXFRMERR2)
27953#define F_TXFRMERR2 V_TXFRMERR2(1U)
27954
27955#define S_TXFRMERR1 2
27956#define V_TXFRMERR1(x) ((x) << S_TXFRMERR1)
27957#define F_TXFRMERR1 V_TXFRMERR1(1U)
27958
27959#define S_TXVALID2X 1
27960#define V_TXVALID2X(x) ((x) << S_TXVALID2X)
27961#define F_TXVALID2X V_TXVALID2X(1U)
27962
27963#define S_TXFULL2X 0
27964#define V_TXFULL2X(x) ((x) << S_TXFULL2X)
27965#define F_TXFULL2X V_TXFULL2X(1U)
27966
27967#define S_T6_TXFULL 31
27968#define V_T6_TXFULL(x) ((x) << S_T6_TXFULL)
27969#define F_T6_TXFULL V_T6_TXFULL(1U)
27970
27971#define S_T6_PLD_RXZEROP_SRDY 25
27972#define V_T6_PLD_RXZEROP_SRDY(x) ((x) << S_T6_PLD_RXZEROP_SRDY)
27973#define F_T6_PLD_RXZEROP_SRDY V_T6_PLD_RXZEROP_SRDY(1U)
27974
27975#define S_T6_DDP_SRDY 22
27976#define V_T6_DDP_SRDY(x) ((x) << S_T6_DDP_SRDY)
27977#define F_T6_DDP_SRDY V_T6_DDP_SRDY(1U)
27978
27979#define S_T6_DDP_DRDY 21
27980#define V_T6_DDP_DRDY(x) ((x) << S_T6_DDP_DRDY)
27981#define F_T6_DDP_DRDY V_T6_DDP_DRDY(1U)
27982
27983#define A_TP_DBG_CSIDE_DISP1 0x23b
27984
27985#define S_T5_TXFULL 31
27986#define V_T5_TXFULL(x) ((x) << S_T5_TXFULL)
27987#define F_T5_TXFULL V_T5_TXFULL(1U)
27988
27989#define S_T5_PLD_RXZEROP_SRDY 25
27990#define V_T5_PLD_RXZEROP_SRDY(x) ((x) << S_T5_PLD_RXZEROP_SRDY)
27991#define F_T5_PLD_RXZEROP_SRDY V_T5_PLD_RXZEROP_SRDY(1U)
27992
27993#define S_T5_DDP_SRDY 22
27994#define V_T5_DDP_SRDY(x) ((x) << S_T5_DDP_SRDY)
27995#define F_T5_DDP_SRDY V_T5_DDP_SRDY(1U)
27996
27997#define S_T5_DDP_DRDY 21
27998#define V_T5_DDP_DRDY(x) ((x) << S_T5_DDP_DRDY)
27999#define F_T5_DDP_DRDY V_T5_DDP_DRDY(1U)
28000
28001#define S_T6_TXFULL 31
28002#define V_T6_TXFULL(x) ((x) << S_T6_TXFULL)
28003#define F_T6_TXFULL V_T6_TXFULL(1U)
28004
28005#define S_T6_PLD_RXZEROP_SRDY 25
28006#define V_T6_PLD_RXZEROP_SRDY(x) ((x) << S_T6_PLD_RXZEROP_SRDY)
28007#define F_T6_PLD_RXZEROP_SRDY V_T6_PLD_RXZEROP_SRDY(1U)
28008
28009#define S_T6_DDP_SRDY 22
28010#define V_T6_DDP_SRDY(x) ((x) << S_T6_DDP_SRDY)
28011#define F_T6_DDP_SRDY V_T6_DDP_SRDY(1U)
28012
28013#define S_T6_DDP_DRDY 21
28014#define V_T6_DDP_DRDY(x) ((x) << S_T6_DDP_DRDY)
28015#define F_T6_DDP_DRDY V_T6_DDP_DRDY(1U)
28016
28017#define A_TP_DBG_CSIDE_DDP0 0x23c
28018
28019#define S_DDPMSGLATEST7 28
28020#define M_DDPMSGLATEST7 0xfU
28021#define V_DDPMSGLATEST7(x) ((x) << S_DDPMSGLATEST7)
28022#define G_DDPMSGLATEST7(x) (((x) >> S_DDPMSGLATEST7) & M_DDPMSGLATEST7)
28023
28024#define S_DDPMSGLATEST6 24
28025#define M_DDPMSGLATEST6 0xfU
28026#define V_DDPMSGLATEST6(x) ((x) << S_DDPMSGLATEST6)
28027#define G_DDPMSGLATEST6(x) (((x) >> S_DDPMSGLATEST6) & M_DDPMSGLATEST6)
28028
28029#define S_DDPMSGLATEST5 20
28030#define M_DDPMSGLATEST5 0xfU
28031#define V_DDPMSGLATEST5(x) ((x) << S_DDPMSGLATEST5)
28032#define G_DDPMSGLATEST5(x) (((x) >> S_DDPMSGLATEST5) & M_DDPMSGLATEST5)
28033
28034#define S_DDPMSGLATEST4 16
28035#define M_DDPMSGLATEST4 0xfU
28036#define V_DDPMSGLATEST4(x) ((x) << S_DDPMSGLATEST4)
28037#define G_DDPMSGLATEST4(x) (((x) >> S_DDPMSGLATEST4) & M_DDPMSGLATEST4)
28038
28039#define S_DDPMSGLATEST3 12
28040#define M_DDPMSGLATEST3 0xfU
28041#define V_DDPMSGLATEST3(x) ((x) << S_DDPMSGLATEST3)
28042#define G_DDPMSGLATEST3(x) (((x) >> S_DDPMSGLATEST3) & M_DDPMSGLATEST3)
28043
28044#define S_DDPMSGLATEST2 8
28045#define M_DDPMSGLATEST2 0xfU
28046#define V_DDPMSGLATEST2(x) ((x) << S_DDPMSGLATEST2)
28047#define G_DDPMSGLATEST2(x) (((x) >> S_DDPMSGLATEST2) & M_DDPMSGLATEST2)
28048
28049#define S_DDPMSGLATEST1 4
28050#define M_DDPMSGLATEST1 0xfU
28051#define V_DDPMSGLATEST1(x) ((x) << S_DDPMSGLATEST1)
28052#define G_DDPMSGLATEST1(x) (((x) >> S_DDPMSGLATEST1) & M_DDPMSGLATEST1)
28053
28054#define S_DDPMSGLATEST0 0
28055#define M_DDPMSGLATEST0 0xfU
28056#define V_DDPMSGLATEST0(x) ((x) << S_DDPMSGLATEST0)
28057#define G_DDPMSGLATEST0(x) (((x) >> S_DDPMSGLATEST0) & M_DDPMSGLATEST0)
28058
28059#define A_TP_DBG_CSIDE_DDP1 0x23d
28060#define A_TP_DBG_CSIDE_FRM 0x23e
28061
28062#define S_CRX2XERROR 28
28063#define M_CRX2XERROR 0xfU
28064#define V_CRX2XERROR(x) ((x) << S_CRX2XERROR)
28065#define G_CRX2XERROR(x) (((x) >> S_CRX2XERROR) & M_CRX2XERROR)
28066
28067#define S_CPLDTX2XERROR 24
28068#define M_CPLDTX2XERROR 0xfU
28069#define V_CPLDTX2XERROR(x) ((x) << S_CPLDTX2XERROR)
28070#define G_CPLDTX2XERROR(x) (((x) >> S_CPLDTX2XERROR) & M_CPLDTX2XERROR)
28071
28072#define S_CTXERROR 22
28073#define M_CTXERROR 0x3U
28074#define V_CTXERROR(x) ((x) << S_CTXERROR)
28075#define G_CTXERROR(x) (((x) >> S_CTXERROR) & M_CTXERROR)
28076
28077#define S_CPLDRXERROR 20
28078#define M_CPLDRXERROR 0x3U
28079#define V_CPLDRXERROR(x) ((x) << S_CPLDRXERROR)
28080#define G_CPLDRXERROR(x) (((x) >> S_CPLDRXERROR) & M_CPLDRXERROR)
28081
28082#define S_CPLRXERROR 18
28083#define M_CPLRXERROR 0x3U
28084#define V_CPLRXERROR(x) ((x) << S_CPLRXERROR)
28085#define G_CPLRXERROR(x) (((x) >> S_CPLRXERROR) & M_CPLRXERROR)
28086
28087#define S_CPLTXERROR 16
28088#define M_CPLTXERROR 0x3U
28089#define V_CPLTXERROR(x) ((x) << S_CPLTXERROR)
28090#define G_CPLTXERROR(x) (((x) >> S_CPLTXERROR) & M_CPLTXERROR)
28091
28092#define S_CPRSERROR 0
28093#define M_CPRSERROR 0xfU
28094#define V_CPRSERROR(x) ((x) << S_CPRSERROR)
28095#define G_CPRSERROR(x) (((x) >> S_CPRSERROR) & M_CPRSERROR)
28096
28097#define A_TP_DBG_CSIDE_INT 0x23f
28098
28099#define S_CRXVALID2X 28
28100#define M_CRXVALID2X 0xfU
28101#define V_CRXVALID2X(x) ((x) << S_CRXVALID2X)
28102#define G_CRXVALID2X(x) (((x) >> S_CRXVALID2X) & M_CRXVALID2X)
28103
28104#define S_CRXAFULL2X 24
28105#define M_CRXAFULL2X 0xfU
28106#define V_CRXAFULL2X(x) ((x) << S_CRXAFULL2X)
28107#define G_CRXAFULL2X(x) (((x) >> S_CRXAFULL2X) & M_CRXAFULL2X)
28108
28109#define S_CTXVALID2X 22
28110#define M_CTXVALID2X 0x3U
28111#define V_CTXVALID2X(x) ((x) << S_CTXVALID2X)
28112#define G_CTXVALID2X(x) (((x) >> S_CTXVALID2X) & M_CTXVALID2X)
28113
28114#define S_CTXAFULL2X 20
28115#define M_CTXAFULL2X 0x3U
28116#define V_CTXAFULL2X(x) ((x) << S_CTXAFULL2X)
28117#define G_CTXAFULL2X(x) (((x) >> S_CTXAFULL2X) & M_CTXAFULL2X)
28118
28119#define S_PLD2X_RXVALID 18
28120#define M_PLD2X_RXVALID 0x3U
28121#define V_PLD2X_RXVALID(x) ((x) << S_PLD2X_RXVALID)
28122#define G_PLD2X_RXVALID(x) (((x) >> S_PLD2X_RXVALID) & M_PLD2X_RXVALID)
28123
28124#define S_PLD2X_RXAFULL 16
28125#define M_PLD2X_RXAFULL 0x3U
28126#define V_PLD2X_RXAFULL(x) ((x) << S_PLD2X_RXAFULL)
28127#define G_PLD2X_RXAFULL(x) (((x) >> S_PLD2X_RXAFULL) & M_PLD2X_RXAFULL)
28128
28129#define S_CSIDE_DDP_VALID 14
28130#define M_CSIDE_DDP_VALID 0x3U
28131#define V_CSIDE_DDP_VALID(x) ((x) << S_CSIDE_DDP_VALID)
28132#define G_CSIDE_DDP_VALID(x) (((x) >> S_CSIDE_DDP_VALID) & M_CSIDE_DDP_VALID)
28133
28134#define S_DDP_AFULL 12
28135#define M_DDP_AFULL 0x3U
28136#define V_DDP_AFULL(x) ((x) << S_DDP_AFULL)
28137#define G_DDP_AFULL(x) (((x) >> S_DDP_AFULL) & M_DDP_AFULL)
28138
28139#define S_TRC_RXVALID 11
28140#define V_TRC_RXVALID(x) ((x) << S_TRC_RXVALID)
28141#define F_TRC_RXVALID V_TRC_RXVALID(1U)
28142
28143#define S_TRC_RXFULL 10
28144#define V_TRC_RXFULL(x) ((x) << S_TRC_RXFULL)
28145#define F_TRC_RXFULL V_TRC_RXFULL(1U)
28146
28147#define S_CPL5_TXVALID 9
28148#define V_CPL5_TXVALID(x) ((x) << S_CPL5_TXVALID)
28149#define F_CPL5_TXVALID V_CPL5_TXVALID(1U)
28150
28151#define S_CPL5_TXFULL 8
28152#define V_CPL5_TXFULL(x) ((x) << S_CPL5_TXFULL)
28153#define F_CPL5_TXFULL V_CPL5_TXFULL(1U)
28154
28155#define S_PLD2X_TXVALID 4
28156#define M_PLD2X_TXVALID 0xfU
28157#define V_PLD2X_TXVALID(x) ((x) << S_PLD2X_TXVALID)
28158#define G_PLD2X_TXVALID(x) (((x) >> S_PLD2X_TXVALID) & M_PLD2X_TXVALID)
28159
28160#define S_PLD2X_TXAFULL 0
28161#define M_PLD2X_TXAFULL 0xfU
28162#define V_PLD2X_TXAFULL(x) ((x) << S_PLD2X_TXAFULL)
28163#define G_PLD2X_TXAFULL(x) (((x) >> S_PLD2X_TXAFULL) & M_PLD2X_TXAFULL)
28164
28165#define A_TP_CHDR_CONFIG 0x240
28166
28167#define S_CH1HIGH 24
28168#define M_CH1HIGH 0xffU
28169#define V_CH1HIGH(x) ((x) << S_CH1HIGH)
28170#define G_CH1HIGH(x) (((x) >> S_CH1HIGH) & M_CH1HIGH)
28171
28172#define S_CH1LOW 16
28173#define M_CH1LOW 0xffU
28174#define V_CH1LOW(x) ((x) << S_CH1LOW)
28175#define G_CH1LOW(x) (((x) >> S_CH1LOW) & M_CH1LOW)
28176
28177#define S_CH0HIGH 8
28178#define M_CH0HIGH 0xffU
28179#define V_CH0HIGH(x) ((x) << S_CH0HIGH)
28180#define G_CH0HIGH(x) (((x) >> S_CH0HIGH) & M_CH0HIGH)
28181
28182#define S_CH0LOW 0
28183#define M_CH0LOW 0xffU
28184#define V_CH0LOW(x) ((x) << S_CH0LOW)
28185#define G_CH0LOW(x) (((x) >> S_CH0LOW) & M_CH0LOW)
28186
28187#define A_TP_UTRN_CONFIG 0x241
28188
28189#define S_CH2FIFOLIMIT 16
28190#define M_CH2FIFOLIMIT 0xffU
28191#define V_CH2FIFOLIMIT(x) ((x) << S_CH2FIFOLIMIT)
28192#define G_CH2FIFOLIMIT(x) (((x) >> S_CH2FIFOLIMIT) & M_CH2FIFOLIMIT)
28193
28194#define S_CH1FIFOLIMIT 8
28195#define M_CH1FIFOLIMIT 0xffU
28196#define V_CH1FIFOLIMIT(x) ((x) << S_CH1FIFOLIMIT)
28197#define G_CH1FIFOLIMIT(x) (((x) >> S_CH1FIFOLIMIT) & M_CH1FIFOLIMIT)
28198
28199#define S_CH0FIFOLIMIT 0
28200#define M_CH0FIFOLIMIT 0xffU
28201#define V_CH0FIFOLIMIT(x) ((x) << S_CH0FIFOLIMIT)
28202#define G_CH0FIFOLIMIT(x) (((x) >> S_CH0FIFOLIMIT) & M_CH0FIFOLIMIT)
28203
28204#define A_TP_CDSP_CONFIG 0x242
28205
28206#define S_WRITEZEROEN 4
28207#define V_WRITEZEROEN(x) ((x) << S_WRITEZEROEN)
28208#define F_WRITEZEROEN V_WRITEZEROEN(1U)
28209
28210#define S_WRITEZEROOP 0
28211#define M_WRITEZEROOP 0xfU
28212#define V_WRITEZEROOP(x) ((x) << S_WRITEZEROOP)
28213#define G_WRITEZEROOP(x) (((x) >> S_WRITEZEROOP) & M_WRITEZEROOP)
28214
28215#define S_STARTSKIPPLD 7
28216#define V_STARTSKIPPLD(x) ((x) << S_STARTSKIPPLD)
28217#define F_STARTSKIPPLD V_STARTSKIPPLD(1U)
28218
28219#define S_ATOMICCMDEN 5
28220#define V_ATOMICCMDEN(x) ((x) << S_ATOMICCMDEN)
28221#define F_ATOMICCMDEN V_ATOMICCMDEN(1U)
28222
28223#define S_ISCSICMDMODE 28
28224#define V_ISCSICMDMODE(x) ((x) << S_ISCSICMDMODE)
28225#define F_ISCSICMDMODE V_ISCSICMDMODE(1U)
28226
28227#define A_TP_CSPI_POWER 0x243
28228
28229#define S_GATECHNTX3 11
28230#define V_GATECHNTX3(x) ((x) << S_GATECHNTX3)
28231#define F_GATECHNTX3 V_GATECHNTX3(1U)
28232
28233#define S_GATECHNTX2 10
28234#define V_GATECHNTX2(x) ((x) << S_GATECHNTX2)
28235#define F_GATECHNTX2 V_GATECHNTX2(1U)
28236
28237#define S_GATECHNTX1 9
28238#define V_GATECHNTX1(x) ((x) << S_GATECHNTX1)
28239#define F_GATECHNTX1 V_GATECHNTX1(1U)
28240
28241#define S_GATECHNTX0 8
28242#define V_GATECHNTX0(x) ((x) << S_GATECHNTX0)
28243#define F_GATECHNTX0 V_GATECHNTX0(1U)
28244
28245#define S_GATECHNRX1 7
28246#define V_GATECHNRX1(x) ((x) << S_GATECHNRX1)
28247#define F_GATECHNRX1 V_GATECHNRX1(1U)
28248
28249#define S_GATECHNRX0 6
28250#define V_GATECHNRX0(x) ((x) << S_GATECHNRX0)
28251#define F_GATECHNRX0 V_GATECHNRX0(1U)
28252
28253#define S_SLEEPRDYUTRN 4
28254#define V_SLEEPRDYUTRN(x) ((x) << S_SLEEPRDYUTRN)
28255#define F_SLEEPRDYUTRN V_SLEEPRDYUTRN(1U)
28256
28257#define S_SLEEPREQUTRN 0
28258#define V_SLEEPREQUTRN(x) ((x) << S_SLEEPREQUTRN)
28259#define F_SLEEPREQUTRN V_SLEEPREQUTRN(1U)
28260
28261#define A_TP_TRC_CONFIG 0x244
28262
28263#define S_TRCRR 1
28264#define V_TRCRR(x) ((x) << S_TRCRR)
28265#define F_TRCRR V_TRCRR(1U)
28266
28267#define S_TRCCH 0
28268#define V_TRCCH(x) ((x) << S_TRCCH)
28269#define F_TRCCH V_TRCCH(1U)
28270
28271#define A_TP_TAG_CONFIG 0x245
28272
28273#define S_ETAGTYPE 16
28274#define M_ETAGTYPE 0xffffU
28275#define V_ETAGTYPE(x) ((x) << S_ETAGTYPE)
28276#define G_ETAGTYPE(x) (((x) >> S_ETAGTYPE) & M_ETAGTYPE)
28277
28278#define A_TP_DBG_CSIDE_PRS 0x246
28279
28280#define S_CPRSSTATE3 24
28281#define M_CPRSSTATE3 0x7U
28282#define V_CPRSSTATE3(x) ((x) << S_CPRSSTATE3)
28283#define G_CPRSSTATE3(x) (((x) >> S_CPRSSTATE3) & M_CPRSSTATE3)
28284
28285#define S_CPRSSTATE2 16
28286#define M_CPRSSTATE2 0x7U
28287#define V_CPRSSTATE2(x) ((x) << S_CPRSSTATE2)
28288#define G_CPRSSTATE2(x) (((x) >> S_CPRSSTATE2) & M_CPRSSTATE2)
28289
28290#define S_CPRSSTATE1 8
28291#define M_CPRSSTATE1 0x7U
28292#define V_CPRSSTATE1(x) ((x) << S_CPRSSTATE1)
28293#define G_CPRSSTATE1(x) (((x) >> S_CPRSSTATE1) & M_CPRSSTATE1)
28294
28295#define S_CPRSSTATE0 0
28296#define M_CPRSSTATE0 0x7U
28297#define V_CPRSSTATE0(x) ((x) << S_CPRSSTATE0)
28298#define G_CPRSSTATE0(x) (((x) >> S_CPRSSTATE0) & M_CPRSSTATE0)
28299
28300#define S_C4TUPBUSY3 31
28301#define V_C4TUPBUSY3(x) ((x) << S_C4TUPBUSY3)
28302#define F_C4TUPBUSY3 V_C4TUPBUSY3(1U)
28303
28304#define S_CDBVALID3 30
28305#define V_CDBVALID3(x) ((x) << S_CDBVALID3)
28306#define F_CDBVALID3 V_CDBVALID3(1U)
28307
28308#define S_CRXVALID3 29
28309#define V_CRXVALID3(x) ((x) << S_CRXVALID3)
28310#define F_CRXVALID3 V_CRXVALID3(1U)
28311
28312#define S_CRXFULL3 28
28313#define V_CRXFULL3(x) ((x) << S_CRXFULL3)
28314#define F_CRXFULL3 V_CRXFULL3(1U)
28315
28316#define S_T5_CPRSSTATE3 24
28317#define M_T5_CPRSSTATE3 0xfU
28318#define V_T5_CPRSSTATE3(x) ((x) << S_T5_CPRSSTATE3)
28319#define G_T5_CPRSSTATE3(x) (((x) >> S_T5_CPRSSTATE3) & M_T5_CPRSSTATE3)
28320
28321#define S_C4TUPBUSY2 23
28322#define V_C4TUPBUSY2(x) ((x) << S_C4TUPBUSY2)
28323#define F_C4TUPBUSY2 V_C4TUPBUSY2(1U)
28324
28325#define S_CDBVALID2 22
28326#define V_CDBVALID2(x) ((x) << S_CDBVALID2)
28327#define F_CDBVALID2 V_CDBVALID2(1U)
28328
28329#define S_CRXVALID2 21
28330#define V_CRXVALID2(x) ((x) << S_CRXVALID2)
28331#define F_CRXVALID2 V_CRXVALID2(1U)
28332
28333#define S_CRXFULL2 20
28334#define V_CRXFULL2(x) ((x) << S_CRXFULL2)
28335#define F_CRXFULL2 V_CRXFULL2(1U)
28336
28337#define S_T5_CPRSSTATE2 16
28338#define M_T5_CPRSSTATE2 0xfU
28339#define V_T5_CPRSSTATE2(x) ((x) << S_T5_CPRSSTATE2)
28340#define G_T5_CPRSSTATE2(x) (((x) >> S_T5_CPRSSTATE2) & M_T5_CPRSSTATE2)
28341
28342#define S_C4TUPBUSY1 15
28343#define V_C4TUPBUSY1(x) ((x) << S_C4TUPBUSY1)
28344#define F_C4TUPBUSY1 V_C4TUPBUSY1(1U)
28345
28346#define S_CDBVALID1 14
28347#define V_CDBVALID1(x) ((x) << S_CDBVALID1)
28348#define F_CDBVALID1 V_CDBVALID1(1U)
28349
28350#define S_CRXVALID1 13
28351#define V_CRXVALID1(x) ((x) << S_CRXVALID1)
28352#define F_CRXVALID1 V_CRXVALID1(1U)
28353
28354#define S_CRXFULL1 12
28355#define V_CRXFULL1(x) ((x) << S_CRXFULL1)
28356#define F_CRXFULL1 V_CRXFULL1(1U)
28357
28358#define S_T5_CPRSSTATE1 8
28359#define M_T5_CPRSSTATE1 0xfU
28360#define V_T5_CPRSSTATE1(x) ((x) << S_T5_CPRSSTATE1)
28361#define G_T5_CPRSSTATE1(x) (((x) >> S_T5_CPRSSTATE1) & M_T5_CPRSSTATE1)
28362
28363#define S_C4TUPBUSY0 7
28364#define V_C4TUPBUSY0(x) ((x) << S_C4TUPBUSY0)
28365#define F_C4TUPBUSY0 V_C4TUPBUSY0(1U)
28366
28367#define S_CDBVALID0 6
28368#define V_CDBVALID0(x) ((x) << S_CDBVALID0)
28369#define F_CDBVALID0 V_CDBVALID0(1U)
28370
28371#define S_CRXVALID0 5
28372#define V_CRXVALID0(x) ((x) << S_CRXVALID0)
28373#define F_CRXVALID0 V_CRXVALID0(1U)
28374
28375#define S_CRXFULL0 4
28376#define V_CRXFULL0(x) ((x) << S_CRXFULL0)
28377#define F_CRXFULL0 V_CRXFULL0(1U)
28378
28379#define S_T5_CPRSSTATE0 0
28380#define M_T5_CPRSSTATE0 0xfU
28381#define V_T5_CPRSSTATE0(x) ((x) << S_T5_CPRSSTATE0)
28382#define G_T5_CPRSSTATE0(x) (((x) >> S_T5_CPRSSTATE0) & M_T5_CPRSSTATE0)
28383
28384#define S_T6_CPRSSTATE3 24
28385#define M_T6_CPRSSTATE3 0xfU
28386#define V_T6_CPRSSTATE3(x) ((x) << S_T6_CPRSSTATE3)
28387#define G_T6_CPRSSTATE3(x) (((x) >> S_T6_CPRSSTATE3) & M_T6_CPRSSTATE3)
28388
28389#define S_T6_CPRSSTATE2 16
28390#define M_T6_CPRSSTATE2 0xfU
28391#define V_T6_CPRSSTATE2(x) ((x) << S_T6_CPRSSTATE2)
28392#define G_T6_CPRSSTATE2(x) (((x) >> S_T6_CPRSSTATE2) & M_T6_CPRSSTATE2)
28393
28394#define S_T6_CPRSSTATE1 8
28395#define M_T6_CPRSSTATE1 0xfU
28396#define V_T6_CPRSSTATE1(x) ((x) << S_T6_CPRSSTATE1)
28397#define G_T6_CPRSSTATE1(x) (((x) >> S_T6_CPRSSTATE1) & M_T6_CPRSSTATE1)
28398
28399#define S_T6_CPRSSTATE0 0
28400#define M_T6_CPRSSTATE0 0xfU
28401#define V_T6_CPRSSTATE0(x) ((x) << S_T6_CPRSSTATE0)
28402#define G_T6_CPRSSTATE0(x) (((x) >> S_T6_CPRSSTATE0) & M_T6_CPRSSTATE0)
28403
28404#define A_TP_DBG_CSIDE_DEMUX 0x247
28405
28406#define S_CALLDONE 28
28407#define M_CALLDONE 0xfU
28408#define V_CALLDONE(x) ((x) << S_CALLDONE)
28409#define G_CALLDONE(x) (((x) >> S_CALLDONE) & M_CALLDONE)
28410
28411#define S_CTCPL5DONE 24
28412#define M_CTCPL5DONE 0xfU
28413#define V_CTCPL5DONE(x) ((x) << S_CTCPL5DONE)
28414#define G_CTCPL5DONE(x) (((x) >> S_CTCPL5DONE) & M_CTCPL5DONE)
28415
28416#define S_CTXZEROPDONE 20
28417#define M_CTXZEROPDONE 0xfU
28418#define V_CTXZEROPDONE(x) ((x) << S_CTXZEROPDONE)
28419#define G_CTXZEROPDONE(x) (((x) >> S_CTXZEROPDONE) & M_CTXZEROPDONE)
28420
28421#define S_CPLDDONE 16
28422#define M_CPLDDONE 0xfU
28423#define V_CPLDDONE(x) ((x) << S_CPLDDONE)
28424#define G_CPLDDONE(x) (((x) >> S_CPLDDONE) & M_CPLDDONE)
28425
28426#define S_CTTCPOPDONE 12
28427#define M_CTTCPOPDONE 0xfU
28428#define V_CTTCPOPDONE(x) ((x) << S_CTTCPOPDONE)
28429#define G_CTTCPOPDONE(x) (((x) >> S_CTTCPOPDONE) & M_CTTCPOPDONE)
28430
28431#define S_CDBDONE 8
28432#define M_CDBDONE 0xfU
28433#define V_CDBDONE(x) ((x) << S_CDBDONE)
28434#define G_CDBDONE(x) (((x) >> S_CDBDONE) & M_CDBDONE)
28435
28436#define S_CISSFIFODONE 4
28437#define M_CISSFIFODONE 0xfU
28438#define V_CISSFIFODONE(x) ((x) << S_CISSFIFODONE)
28439#define G_CISSFIFODONE(x) (((x) >> S_CISSFIFODONE) & M_CISSFIFODONE)
28440
28441#define S_CTXPKTCSUMDONE 0
28442#define M_CTXPKTCSUMDONE 0xfU
28443#define V_CTXPKTCSUMDONE(x) ((x) << S_CTXPKTCSUMDONE)
28444#define G_CTXPKTCSUMDONE(x) (((x) >> S_CTXPKTCSUMDONE) & M_CTXPKTCSUMDONE)
28445
28446#define S_CARBVALID 28
28447#define M_CARBVALID 0xfU
28448#define V_CARBVALID(x) ((x) << S_CARBVALID)
28449#define G_CARBVALID(x) (((x) >> S_CARBVALID) & M_CARBVALID)
28450
28451#define S_CCPL5DONE 24
28452#define M_CCPL5DONE 0xfU
28453#define V_CCPL5DONE(x) ((x) << S_CCPL5DONE)
28454#define G_CCPL5DONE(x) (((x) >> S_CCPL5DONE) & M_CCPL5DONE)
28455
28456#define S_CTCPOPDONE 12
28457#define M_CTCPOPDONE 0xfU
28458#define V_CTCPOPDONE(x) ((x) << S_CTCPOPDONE)
28459#define G_CTCPOPDONE(x) (((x) >> S_CTCPOPDONE) & M_CTCPOPDONE)
28460
28461#define A_TP_DBG_CSIDE_ARBIT 0x248
28462
28463#define S_CPLVALID3 31
28464#define V_CPLVALID3(x) ((x) << S_CPLVALID3)
28465#define F_CPLVALID3 V_CPLVALID3(1U)
28466
28467#define S_PLDVALID3 30
28468#define V_PLDVALID3(x) ((x) << S_PLDVALID3)
28469#define F_PLDVALID3 V_PLDVALID3(1U)
28470
28471#define S_CRCVALID3 29
28472#define V_CRCVALID3(x) ((x) << S_CRCVALID3)
28473#define F_CRCVALID3 V_CRCVALID3(1U)
28474
28475#define S_ISSVALID3 28
28476#define V_ISSVALID3(x) ((x) << S_ISSVALID3)
28477#define F_ISSVALID3 V_ISSVALID3(1U)
28478
28479#define S_DBVALID3 27
28480#define V_DBVALID3(x) ((x) << S_DBVALID3)
28481#define F_DBVALID3 V_DBVALID3(1U)
28482
28483#define S_CHKVALID3 26
28484#define V_CHKVALID3(x) ((x) << S_CHKVALID3)
28485#define F_CHKVALID3 V_CHKVALID3(1U)
28486
28487#define S_ZRPVALID3 25
28488#define V_ZRPVALID3(x) ((x) << S_ZRPVALID3)
28489#define F_ZRPVALID3 V_ZRPVALID3(1U)
28490
28491#define S_ERRVALID3 24
28492#define V_ERRVALID3(x) ((x) << S_ERRVALID3)
28493#define F_ERRVALID3 V_ERRVALID3(1U)
28494
28495#define S_CPLVALID2 23
28496#define V_CPLVALID2(x) ((x) << S_CPLVALID2)
28497#define F_CPLVALID2 V_CPLVALID2(1U)
28498
28499#define S_PLDVALID2 22
28500#define V_PLDVALID2(x) ((x) << S_PLDVALID2)
28501#define F_PLDVALID2 V_PLDVALID2(1U)
28502
28503#define S_CRCVALID2 21
28504#define V_CRCVALID2(x) ((x) << S_CRCVALID2)
28505#define F_CRCVALID2 V_CRCVALID2(1U)
28506
28507#define S_ISSVALID2 20
28508#define V_ISSVALID2(x) ((x) << S_ISSVALID2)
28509#define F_ISSVALID2 V_ISSVALID2(1U)
28510
28511#define S_DBVALID2 19
28512#define V_DBVALID2(x) ((x) << S_DBVALID2)
28513#define F_DBVALID2 V_DBVALID2(1U)
28514
28515#define S_CHKVALID2 18
28516#define V_CHKVALID2(x) ((x) << S_CHKVALID2)
28517#define F_CHKVALID2 V_CHKVALID2(1U)
28518
28519#define S_ZRPVALID2 17
28520#define V_ZRPVALID2(x) ((x) << S_ZRPVALID2)
28521#define F_ZRPVALID2 V_ZRPVALID2(1U)
28522
28523#define S_ERRVALID2 16
28524#define V_ERRVALID2(x) ((x) << S_ERRVALID2)
28525#define F_ERRVALID2 V_ERRVALID2(1U)
28526
28527#define S_CPLVALID1 15
28528#define V_CPLVALID1(x) ((x) << S_CPLVALID1)
28529#define F_CPLVALID1 V_CPLVALID1(1U)
28530
28531#define S_PLDVALID1 14
28532#define V_PLDVALID1(x) ((x) << S_PLDVALID1)
28533#define F_PLDVALID1 V_PLDVALID1(1U)
28534
28535#define S_CRCVALID1 13
28536#define V_CRCVALID1(x) ((x) << S_CRCVALID1)
28537#define F_CRCVALID1 V_CRCVALID1(1U)
28538
28539#define S_ISSVALID1 12
28540#define V_ISSVALID1(x) ((x) << S_ISSVALID1)
28541#define F_ISSVALID1 V_ISSVALID1(1U)
28542
28543#define S_DBVALID1 11
28544#define V_DBVALID1(x) ((x) << S_DBVALID1)
28545#define F_DBVALID1 V_DBVALID1(1U)
28546
28547#define S_CHKVALID1 10
28548#define V_CHKVALID1(x) ((x) << S_CHKVALID1)
28549#define F_CHKVALID1 V_CHKVALID1(1U)
28550
28551#define S_ZRPVALID1 9
28552#define V_ZRPVALID1(x) ((x) << S_ZRPVALID1)
28553#define F_ZRPVALID1 V_ZRPVALID1(1U)
28554
28555#define S_ERRVALID1 8
28556#define V_ERRVALID1(x) ((x) << S_ERRVALID1)
28557#define F_ERRVALID1 V_ERRVALID1(1U)
28558
28559#define S_CPLVALID0 7
28560#define V_CPLVALID0(x) ((x) << S_CPLVALID0)
28561#define F_CPLVALID0 V_CPLVALID0(1U)
28562
28563#define S_PLDVALID0 6
28564#define V_PLDVALID0(x) ((x) << S_PLDVALID0)
28565#define F_PLDVALID0 V_PLDVALID0(1U)
28566
28567#define S_CRCVALID0 5
28568#define V_CRCVALID0(x) ((x) << S_CRCVALID0)
28569#define F_CRCVALID0 V_CRCVALID0(1U)
28570
28571#define S_ISSVALID0 4
28572#define V_ISSVALID0(x) ((x) << S_ISSVALID0)
28573#define F_ISSVALID0 V_ISSVALID0(1U)
28574
28575#define S_DBVALID0 3
28576#define V_DBVALID0(x) ((x) << S_DBVALID0)
28577#define F_DBVALID0 V_DBVALID0(1U)
28578
28579#define S_CHKVALID0 2
28580#define V_CHKVALID0(x) ((x) << S_CHKVALID0)
28581#define F_CHKVALID0 V_CHKVALID0(1U)
28582
28583#define S_ZRPVALID0 1
28584#define V_ZRPVALID0(x) ((x) << S_ZRPVALID0)
28585#define F_ZRPVALID0 V_ZRPVALID0(1U)
28586
28587#define S_ERRVALID0 0
28588#define V_ERRVALID0(x) ((x) << S_ERRVALID0)
28589#define F_ERRVALID0 V_ERRVALID0(1U)
28590
28591#define A_TP_DBG_CSIDE_TRACE_CNT 0x24a
28592
28593#define S_TRCSOPCNT 24
28594#define M_TRCSOPCNT 0xffU
28595#define V_TRCSOPCNT(x) ((x) << S_TRCSOPCNT)
28596#define G_TRCSOPCNT(x) (((x) >> S_TRCSOPCNT) & M_TRCSOPCNT)
28597
28598#define S_TRCEOPCNT 16
28599#define M_TRCEOPCNT 0xffU
28600#define V_TRCEOPCNT(x) ((x) << S_TRCEOPCNT)
28601#define G_TRCEOPCNT(x) (((x) >> S_TRCEOPCNT) & M_TRCEOPCNT)
28602
28603#define S_TRCFLTHIT 12
28604#define M_TRCFLTHIT 0xfU
28605#define V_TRCFLTHIT(x) ((x) << S_TRCFLTHIT)
28606#define G_TRCFLTHIT(x) (((x) >> S_TRCFLTHIT) & M_TRCFLTHIT)
28607
28608#define S_TRCRNTPKT 8
28609#define M_TRCRNTPKT 0xfU
28610#define V_TRCRNTPKT(x) ((x) << S_TRCRNTPKT)
28611#define G_TRCRNTPKT(x) (((x) >> S_TRCRNTPKT) & M_TRCRNTPKT)
28612
28613#define S_TRCPKTLEN 0
28614#define M_TRCPKTLEN 0xffU
28615#define V_TRCPKTLEN(x) ((x) << S_TRCPKTLEN)
28616#define G_TRCPKTLEN(x) (((x) >> S_TRCPKTLEN) & M_TRCPKTLEN)
28617
28618#define A_TP_DBG_CSIDE_TRACE_RSS 0x24b
28619#define A_TP_VLN_CONFIG 0x24c
28620
28621#define S_ETHTYPEQINQ 16
28622#define M_ETHTYPEQINQ 0xffffU
28623#define V_ETHTYPEQINQ(x) ((x) << S_ETHTYPEQINQ)
28624#define G_ETHTYPEQINQ(x) (((x) >> S_ETHTYPEQINQ) & M_ETHTYPEQINQ)
28625
28626#define S_ETHTYPEVLAN 0
28627#define M_ETHTYPEVLAN 0xffffU
28628#define V_ETHTYPEVLAN(x) ((x) << S_ETHTYPEVLAN)
28629#define G_ETHTYPEVLAN(x) (((x) >> S_ETHTYPEVLAN) & M_ETHTYPEVLAN)
28630
28631#define A_TP_DBG_CSIDE_ARBIT_WAIT0 0x24d
28632#define A_TP_DBG_CSIDE_ARBIT_WAIT1 0x24e
28633#define A_TP_DBG_CSIDE_ARBIT_CNT0 0x24f
28634#define A_TP_DBG_CSIDE_ARBIT_CNT1 0x250
28635#define A_TP_FIFO_CONFIG 0x8c0
28636
28637#define S_CH1_OUTPUT 27
28638#define M_CH1_OUTPUT 0x1fU
28639#define V_CH1_OUTPUT(x) ((x) << S_CH1_OUTPUT)
28640#define G_CH1_OUTPUT(x) (((x) >> S_CH1_OUTPUT) & M_CH1_OUTPUT)
28641
28642#define S_CH2_OUTPUT 22
28643#define M_CH2_OUTPUT 0x1fU
28644#define V_CH2_OUTPUT(x) ((x) << S_CH2_OUTPUT)
28645#define G_CH2_OUTPUT(x) (((x) >> S_CH2_OUTPUT) & M_CH2_OUTPUT)
28646
28647#define S_STROBE1 16
28648#define V_STROBE1(x) ((x) << S_STROBE1)
28649#define F_STROBE1 V_STROBE1(1U)
28650
28651#define S_CH1_INPUT 11
28652#define M_CH1_INPUT 0x1fU
28653#define V_CH1_INPUT(x) ((x) << S_CH1_INPUT)
28654#define G_CH1_INPUT(x) (((x) >> S_CH1_INPUT) & M_CH1_INPUT)
28655
28656#define S_CH2_INPUT 6
28657#define M_CH2_INPUT 0x1fU
28658#define V_CH2_INPUT(x) ((x) << S_CH2_INPUT)
28659#define G_CH2_INPUT(x) (((x) >> S_CH2_INPUT) & M_CH2_INPUT)
28660
28661#define S_CH3_INPUT 1
28662#define M_CH3_INPUT 0x1fU
28663#define V_CH3_INPUT(x) ((x) << S_CH3_INPUT)
28664#define G_CH3_INPUT(x) (((x) >> S_CH3_INPUT) & M_CH3_INPUT)
28665
28666#define S_STROBE0 0
28667#define V_STROBE0(x) ((x) << S_STROBE0)
28668#define F_STROBE0 V_STROBE0(1U)
28669
28670#define A_TP_MIB_MAC_IN_ERR_0 0x0
28671#define A_TP_MIB_MAC_IN_ERR_1 0x1
28672#define A_TP_MIB_MAC_IN_ERR_2 0x2
28673#define A_TP_MIB_MAC_IN_ERR_3 0x3
28674#define A_TP_MIB_HDR_IN_ERR_0 0x4
28675#define A_TP_MIB_HDR_IN_ERR_1 0x5
28676#define A_TP_MIB_HDR_IN_ERR_2 0x6
28677#define A_TP_MIB_HDR_IN_ERR_3 0x7
28678#define A_TP_MIB_TCP_IN_ERR_0 0x8
28679#define A_TP_MIB_TCP_IN_ERR_1 0x9
28680#define A_TP_MIB_TCP_IN_ERR_2 0xa
28681#define A_TP_MIB_TCP_IN_ERR_3 0xb
28682#define A_TP_MIB_TCP_OUT_RST 0xc
28683#define A_TP_MIB_TCP_IN_SEG_HI 0x10
28684#define A_TP_MIB_TCP_IN_SEG_LO 0x11
28685#define A_TP_MIB_TCP_OUT_SEG_HI 0x12
28686#define A_TP_MIB_TCP_OUT_SEG_LO 0x13
28687#define A_TP_MIB_TCP_RXT_SEG_HI 0x14
28688#define A_TP_MIB_TCP_RXT_SEG_LO 0x15
28689#define A_TP_MIB_TNL_CNG_DROP_0 0x18
28690#define A_TP_MIB_TNL_CNG_DROP_1 0x19
28691#define A_TP_MIB_TNL_CNG_DROP_2 0x1a
28692#define A_TP_MIB_TNL_CNG_DROP_3 0x1b
28693#define A_TP_MIB_OFD_CHN_DROP_0 0x1c
28694#define A_TP_MIB_OFD_CHN_DROP_1 0x1d
28695#define A_TP_MIB_OFD_CHN_DROP_2 0x1e
28696#define A_TP_MIB_OFD_CHN_DROP_3 0x1f
28697#define A_TP_MIB_TNL_OUT_PKT_0 0x20
28698#define A_TP_MIB_TNL_OUT_PKT_1 0x21
28699#define A_TP_MIB_TNL_OUT_PKT_2 0x22
28700#define A_TP_MIB_TNL_OUT_PKT_3 0x23
28701#define A_TP_MIB_TNL_IN_PKT_0 0x24
28702#define A_TP_MIB_TNL_IN_PKT_1 0x25
28703#define A_TP_MIB_TNL_IN_PKT_2 0x26
28704#define A_TP_MIB_TNL_IN_PKT_3 0x27
28705#define A_TP_MIB_TCP_V6IN_ERR_0 0x28
28706#define A_TP_MIB_TCP_V6IN_ERR_1 0x29
28707#define A_TP_MIB_TCP_V6IN_ERR_2 0x2a
28708#define A_TP_MIB_TCP_V6IN_ERR_3 0x2b
28709#define A_TP_MIB_TCP_V6OUT_RST 0x2c
28710#define A_TP_MIB_TCP_V6IN_SEG_HI 0x30
28711#define A_TP_MIB_TCP_V6IN_SEG_LO 0x31
28712#define A_TP_MIB_TCP_V6OUT_SEG_HI 0x32
28713#define A_TP_MIB_TCP_V6OUT_SEG_LO 0x33
28714#define A_TP_MIB_TCP_V6RXT_SEG_HI 0x34
28715#define A_TP_MIB_TCP_V6RXT_SEG_LO 0x35
28716#define A_TP_MIB_OFD_ARP_DROP 0x36
28717#define A_TP_MIB_OFD_DFR_DROP 0x37
28718#define A_TP_MIB_CPL_IN_REQ_0 0x38
28719#define A_TP_MIB_CPL_IN_REQ_1 0x39
28720#define A_TP_MIB_CPL_IN_REQ_2 0x3a
28721#define A_TP_MIB_CPL_IN_REQ_3 0x3b
28722#define A_TP_MIB_CPL_OUT_RSP_0 0x3c
28723#define A_TP_MIB_CPL_OUT_RSP_1 0x3d
28724#define A_TP_MIB_CPL_OUT_RSP_2 0x3e
28725#define A_TP_MIB_CPL_OUT_RSP_3 0x3f
28726#define A_TP_MIB_TNL_LPBK_0 0x40
28727#define A_TP_MIB_TNL_LPBK_1 0x41
28728#define A_TP_MIB_TNL_LPBK_2 0x42
28729#define A_TP_MIB_TNL_LPBK_3 0x43
28730#define A_TP_MIB_TNL_DROP_0 0x44
28731#define A_TP_MIB_TNL_DROP_1 0x45
28732#define A_TP_MIB_TNL_DROP_2 0x46
28733#define A_TP_MIB_TNL_DROP_3 0x47
28734#define A_TP_MIB_FCOE_DDP_0 0x48
28735#define A_TP_MIB_FCOE_DDP_1 0x49
28736#define A_TP_MIB_FCOE_DDP_2 0x4a
28737#define A_TP_MIB_FCOE_DDP_3 0x4b
28738#define A_TP_MIB_FCOE_DROP_0 0x4c
28739#define A_TP_MIB_FCOE_DROP_1 0x4d
28740#define A_TP_MIB_FCOE_DROP_2 0x4e
28741#define A_TP_MIB_FCOE_DROP_3 0x4f
28742#define A_TP_MIB_FCOE_BYTE_0_HI 0x50
28743#define A_TP_MIB_FCOE_BYTE_0_LO 0x51
28744#define A_TP_MIB_FCOE_BYTE_1_HI 0x52
28745#define A_TP_MIB_FCOE_BYTE_1_LO 0x53
28746#define A_TP_MIB_FCOE_BYTE_2_HI 0x54
28747#define A_TP_MIB_FCOE_BYTE_2_LO 0x55
28748#define A_TP_MIB_FCOE_BYTE_3_HI 0x56
28749#define A_TP_MIB_FCOE_BYTE_3_LO 0x57
28750#define A_TP_MIB_OFD_VLN_DROP_0 0x58
28751#define A_TP_MIB_OFD_VLN_DROP_1 0x59
28752#define A_TP_MIB_OFD_VLN_DROP_2 0x5a
28753#define A_TP_MIB_OFD_VLN_DROP_3 0x5b
28754#define A_TP_MIB_USM_PKTS 0x5c
28755#define A_TP_MIB_USM_DROP 0x5d
28756#define A_TP_MIB_USM_BYTES_HI 0x5e
28757#define A_TP_MIB_USM_BYTES_LO 0x5f
28758#define A_TP_MIB_TID_DEL 0x60
28759#define A_TP_MIB_TID_INV 0x61
28760#define A_TP_MIB_TID_ACT 0x62
28761#define A_TP_MIB_TID_PAS 0x63
28762#define A_TP_MIB_RQE_DFR_PKT 0x64
28763#define A_TP_MIB_RQE_DFR_MOD 0x65
28764#define A_TP_MIB_CPL_OUT_ERR_0 0x68
28765#define A_TP_MIB_CPL_OUT_ERR_1 0x69
28766#define A_TP_MIB_CPL_OUT_ERR_2 0x6a
28767#define A_TP_MIB_CPL_OUT_ERR_3 0x6b
28768#define A_TP_MIB_ENG_LINE_0 0x6c
28769#define A_TP_MIB_ENG_LINE_1 0x6d
28770#define A_TP_MIB_ENG_LINE_2 0x6e
28771#define A_TP_MIB_ENG_LINE_3 0x6f
28772#define A_TP_MIB_TNL_ERR_0 0x70
28773#define A_TP_MIB_TNL_ERR_1 0x71
28774#define A_TP_MIB_TNL_ERR_2 0x72
28775#define A_TP_MIB_TNL_ERR_3 0x73
28776
28777/* registers for module ULP_TX */
28778#define ULP_TX_BASE_ADDR 0x8dc0
28779
28780#define A_ULP_TX_CONFIG 0x8dc0
28781
28782#define S_STAG_MIX_ENABLE 2
28783#define V_STAG_MIX_ENABLE(x) ((x) << S_STAG_MIX_ENABLE)
28784#define F_STAG_MIX_ENABLE V_STAG_MIX_ENABLE(1U)
28785
28786#define S_STAGF_FIX_DISABLE 1
28787#define V_STAGF_FIX_DISABLE(x) ((x) << S_STAGF_FIX_DISABLE)
28788#define F_STAGF_FIX_DISABLE V_STAGF_FIX_DISABLE(1U)
28789
28790#define S_EXTRA_TAG_INSERTION_ENABLE 0
28791#define V_EXTRA_TAG_INSERTION_ENABLE(x) ((x) << S_EXTRA_TAG_INSERTION_ENABLE)
28792#define F_EXTRA_TAG_INSERTION_ENABLE V_EXTRA_TAG_INSERTION_ENABLE(1U)
28793
28794#define S_PHYS_ADDR_RESP_EN 6
28795#define V_PHYS_ADDR_RESP_EN(x) ((x) << S_PHYS_ADDR_RESP_EN)
28796#define F_PHYS_ADDR_RESP_EN V_PHYS_ADDR_RESP_EN(1U)
28797
28798#define S_ENDIANESS_CHANGE 5
28799#define V_ENDIANESS_CHANGE(x) ((x) << S_ENDIANESS_CHANGE)
28800#define F_ENDIANESS_CHANGE V_ENDIANESS_CHANGE(1U)
28801
28802#define S_ERR_RTAG_EN 4
28803#define V_ERR_RTAG_EN(x) ((x) << S_ERR_RTAG_EN)
28804#define F_ERR_RTAG_EN V_ERR_RTAG_EN(1U)
28805
28806#define S_TSO_ETHLEN_EN 3
28807#define V_TSO_ETHLEN_EN(x) ((x) << S_TSO_ETHLEN_EN)
28808#define F_TSO_ETHLEN_EN V_TSO_ETHLEN_EN(1U)
28809
28810#define S_EMSG_MORE_INFO 2
28811#define V_EMSG_MORE_INFO(x) ((x) << S_EMSG_MORE_INFO)
28812#define F_EMSG_MORE_INFO V_EMSG_MORE_INFO(1U)
28813
28814#define S_LOSDR 1
28815#define V_LOSDR(x) ((x) << S_LOSDR)
28816#define F_LOSDR V_LOSDR(1U)
28817
28818#define S_ULIMIT_EXCLUSIVE_FIX 16
28819#define V_ULIMIT_EXCLUSIVE_FIX(x) ((x) << S_ULIMIT_EXCLUSIVE_FIX)
28820#define F_ULIMIT_EXCLUSIVE_FIX V_ULIMIT_EXCLUSIVE_FIX(1U)
28821
28822#define S_ISO_A_FLAG_EN 15
28823#define V_ISO_A_FLAG_EN(x) ((x) << S_ISO_A_FLAG_EN)
28824#define F_ISO_A_FLAG_EN V_ISO_A_FLAG_EN(1U)
28825
28826#define S_IWARP_SEQ_FLIT_DIS 14
28827#define V_IWARP_SEQ_FLIT_DIS(x) ((x) << S_IWARP_SEQ_FLIT_DIS)
28828#define F_IWARP_SEQ_FLIT_DIS V_IWARP_SEQ_FLIT_DIS(1U)
28829
28830#define S_MR_SIZE_FIX_EN 13
28831#define V_MR_SIZE_FIX_EN(x) ((x) << S_MR_SIZE_FIX_EN)
28832#define F_MR_SIZE_FIX_EN V_MR_SIZE_FIX_EN(1U)
28833
28834#define S_T10_ISO_FIX_EN 12
28835#define V_T10_ISO_FIX_EN(x) ((x) << S_T10_ISO_FIX_EN)
28836#define F_T10_ISO_FIX_EN V_T10_ISO_FIX_EN(1U)
28837
28838#define S_CPL_FLAGS_UPDATE_EN 11
28839#define V_CPL_FLAGS_UPDATE_EN(x) ((x) << S_CPL_FLAGS_UPDATE_EN)
28840#define F_CPL_FLAGS_UPDATE_EN V_CPL_FLAGS_UPDATE_EN(1U)
28841
28842#define S_IWARP_SEQ_UPDATE_EN 10
28843#define V_IWARP_SEQ_UPDATE_EN(x) ((x) << S_IWARP_SEQ_UPDATE_EN)
28844#define F_IWARP_SEQ_UPDATE_EN V_IWARP_SEQ_UPDATE_EN(1U)
28845
28846#define S_SEQ_UPDATE_EN 9
28847#define V_SEQ_UPDATE_EN(x) ((x) << S_SEQ_UPDATE_EN)
28848#define F_SEQ_UPDATE_EN V_SEQ_UPDATE_EN(1U)
28849
28850#define S_ERR_ITT_EN 8
28851#define V_ERR_ITT_EN(x) ((x) << S_ERR_ITT_EN)
28852#define F_ERR_ITT_EN V_ERR_ITT_EN(1U)
28853
28854#define S_ATOMIC_FIX_DIS 7
28855#define V_ATOMIC_FIX_DIS(x) ((x) << S_ATOMIC_FIX_DIS)
28856#define F_ATOMIC_FIX_DIS V_ATOMIC_FIX_DIS(1U)
28857
28858#define A_ULP_TX_PERR_INJECT 0x8dc4
28859#define A_ULP_TX_INT_ENABLE 0x8dc8
28860
28861#define S_PBL_BOUND_ERR_CH3 31
28862#define V_PBL_BOUND_ERR_CH3(x) ((x) << S_PBL_BOUND_ERR_CH3)
28863#define F_PBL_BOUND_ERR_CH3 V_PBL_BOUND_ERR_CH3(1U)
28864
28865#define S_PBL_BOUND_ERR_CH2 30
28866#define V_PBL_BOUND_ERR_CH2(x) ((x) << S_PBL_BOUND_ERR_CH2)
28867#define F_PBL_BOUND_ERR_CH2 V_PBL_BOUND_ERR_CH2(1U)
28868
28869#define S_PBL_BOUND_ERR_CH1 29
28870#define V_PBL_BOUND_ERR_CH1(x) ((x) << S_PBL_BOUND_ERR_CH1)
28871#define F_PBL_BOUND_ERR_CH1 V_PBL_BOUND_ERR_CH1(1U)
28872
28873#define S_PBL_BOUND_ERR_CH0 28
28874#define V_PBL_BOUND_ERR_CH0(x) ((x) << S_PBL_BOUND_ERR_CH0)
28875#define F_PBL_BOUND_ERR_CH0 V_PBL_BOUND_ERR_CH0(1U)
28876
28877#define S_SGE2ULP_FIFO_PERR_SET3 27
28878#define V_SGE2ULP_FIFO_PERR_SET3(x) ((x) << S_SGE2ULP_FIFO_PERR_SET3)
28879#define F_SGE2ULP_FIFO_PERR_SET3 V_SGE2ULP_FIFO_PERR_SET3(1U)
28880
28881#define S_SGE2ULP_FIFO_PERR_SET2 26
28882#define V_SGE2ULP_FIFO_PERR_SET2(x) ((x) << S_SGE2ULP_FIFO_PERR_SET2)
28883#define F_SGE2ULP_FIFO_PERR_SET2 V_SGE2ULP_FIFO_PERR_SET2(1U)
28884
28885#define S_SGE2ULP_FIFO_PERR_SET1 25
28886#define V_SGE2ULP_FIFO_PERR_SET1(x) ((x) << S_SGE2ULP_FIFO_PERR_SET1)
28887#define F_SGE2ULP_FIFO_PERR_SET1 V_SGE2ULP_FIFO_PERR_SET1(1U)
28888
28889#define S_SGE2ULP_FIFO_PERR_SET0 24
28890#define V_SGE2ULP_FIFO_PERR_SET0(x) ((x) << S_SGE2ULP_FIFO_PERR_SET0)
28891#define F_SGE2ULP_FIFO_PERR_SET0 V_SGE2ULP_FIFO_PERR_SET0(1U)
28892
28893#define S_CIM2ULP_FIFO_PERR_SET3 23
28894#define V_CIM2ULP_FIFO_PERR_SET3(x) ((x) << S_CIM2ULP_FIFO_PERR_SET3)
28895#define F_CIM2ULP_FIFO_PERR_SET3 V_CIM2ULP_FIFO_PERR_SET3(1U)
28896
28897#define S_CIM2ULP_FIFO_PERR_SET2 22
28898#define V_CIM2ULP_FIFO_PERR_SET2(x) ((x) << S_CIM2ULP_FIFO_PERR_SET2)
28899#define F_CIM2ULP_FIFO_PERR_SET2 V_CIM2ULP_FIFO_PERR_SET2(1U)
28900
28901#define S_CIM2ULP_FIFO_PERR_SET1 21
28902#define V_CIM2ULP_FIFO_PERR_SET1(x) ((x) << S_CIM2ULP_FIFO_PERR_SET1)
28903#define F_CIM2ULP_FIFO_PERR_SET1 V_CIM2ULP_FIFO_PERR_SET1(1U)
28904
28905#define S_CIM2ULP_FIFO_PERR_SET0 20
28906#define V_CIM2ULP_FIFO_PERR_SET0(x) ((x) << S_CIM2ULP_FIFO_PERR_SET0)
28907#define F_CIM2ULP_FIFO_PERR_SET0 V_CIM2ULP_FIFO_PERR_SET0(1U)
28908
28909#define S_CQE_FIFO_PERR_SET3 19
28910#define V_CQE_FIFO_PERR_SET3(x) ((x) << S_CQE_FIFO_PERR_SET3)
28911#define F_CQE_FIFO_PERR_SET3 V_CQE_FIFO_PERR_SET3(1U)
28912
28913#define S_CQE_FIFO_PERR_SET2 18
28914#define V_CQE_FIFO_PERR_SET2(x) ((x) << S_CQE_FIFO_PERR_SET2)
28915#define F_CQE_FIFO_PERR_SET2 V_CQE_FIFO_PERR_SET2(1U)
28916
28917#define S_CQE_FIFO_PERR_SET1 17
28918#define V_CQE_FIFO_PERR_SET1(x) ((x) << S_CQE_FIFO_PERR_SET1)
28919#define F_CQE_FIFO_PERR_SET1 V_CQE_FIFO_PERR_SET1(1U)
28920
28921#define S_CQE_FIFO_PERR_SET0 16
28922#define V_CQE_FIFO_PERR_SET0(x) ((x) << S_CQE_FIFO_PERR_SET0)
28923#define F_CQE_FIFO_PERR_SET0 V_CQE_FIFO_PERR_SET0(1U)
28924
28925#define S_PBL_FIFO_PERR_SET3 15
28926#define V_PBL_FIFO_PERR_SET3(x) ((x) << S_PBL_FIFO_PERR_SET3)
28927#define F_PBL_FIFO_PERR_SET3 V_PBL_FIFO_PERR_SET3(1U)
28928
28929#define S_PBL_FIFO_PERR_SET2 14
28930#define V_PBL_FIFO_PERR_SET2(x) ((x) << S_PBL_FIFO_PERR_SET2)
28931#define F_PBL_FIFO_PERR_SET2 V_PBL_FIFO_PERR_SET2(1U)
28932
28933#define S_PBL_FIFO_PERR_SET1 13
28934#define V_PBL_FIFO_PERR_SET1(x) ((x) << S_PBL_FIFO_PERR_SET1)
28935#define F_PBL_FIFO_PERR_SET1 V_PBL_FIFO_PERR_SET1(1U)
28936
28937#define S_PBL_FIFO_PERR_SET0 12
28938#define V_PBL_FIFO_PERR_SET0(x) ((x) << S_PBL_FIFO_PERR_SET0)
28939#define F_PBL_FIFO_PERR_SET0 V_PBL_FIFO_PERR_SET0(1U)
28940
28941#define S_CMD_FIFO_PERR_SET3 11
28942#define V_CMD_FIFO_PERR_SET3(x) ((x) << S_CMD_FIFO_PERR_SET3)
28943#define F_CMD_FIFO_PERR_SET3 V_CMD_FIFO_PERR_SET3(1U)
28944
28945#define S_CMD_FIFO_PERR_SET2 10
28946#define V_CMD_FIFO_PERR_SET2(x) ((x) << S_CMD_FIFO_PERR_SET2)
28947#define F_CMD_FIFO_PERR_SET2 V_CMD_FIFO_PERR_SET2(1U)
28948
28949#define S_CMD_FIFO_PERR_SET1 9
28950#define V_CMD_FIFO_PERR_SET1(x) ((x) << S_CMD_FIFO_PERR_SET1)
28951#define F_CMD_FIFO_PERR_SET1 V_CMD_FIFO_PERR_SET1(1U)
28952
28953#define S_CMD_FIFO_PERR_SET0 8
28954#define V_CMD_FIFO_PERR_SET0(x) ((x) << S_CMD_FIFO_PERR_SET0)
28955#define F_CMD_FIFO_PERR_SET0 V_CMD_FIFO_PERR_SET0(1U)
28956
28957#define S_LSO_HDR_SRAM_PERR_SET3 7
28958#define V_LSO_HDR_SRAM_PERR_SET3(x) ((x) << S_LSO_HDR_SRAM_PERR_SET3)
28959#define F_LSO_HDR_SRAM_PERR_SET3 V_LSO_HDR_SRAM_PERR_SET3(1U)
28960
28961#define S_LSO_HDR_SRAM_PERR_SET2 6
28962#define V_LSO_HDR_SRAM_PERR_SET2(x) ((x) << S_LSO_HDR_SRAM_PERR_SET2)
28963#define F_LSO_HDR_SRAM_PERR_SET2 V_LSO_HDR_SRAM_PERR_SET2(1U)
28964
28965#define S_LSO_HDR_SRAM_PERR_SET1 5
28966#define V_LSO_HDR_SRAM_PERR_SET1(x) ((x) << S_LSO_HDR_SRAM_PERR_SET1)
28967#define F_LSO_HDR_SRAM_PERR_SET1 V_LSO_HDR_SRAM_PERR_SET1(1U)
28968
28969#define S_LSO_HDR_SRAM_PERR_SET0 4
28970#define V_LSO_HDR_SRAM_PERR_SET0(x) ((x) << S_LSO_HDR_SRAM_PERR_SET0)
28971#define F_LSO_HDR_SRAM_PERR_SET0 V_LSO_HDR_SRAM_PERR_SET0(1U)
28972
28973#define S_IMM_DATA_PERR_SET_CH3 3
28974#define V_IMM_DATA_PERR_SET_CH3(x) ((x) << S_IMM_DATA_PERR_SET_CH3)
28975#define F_IMM_DATA_PERR_SET_CH3 V_IMM_DATA_PERR_SET_CH3(1U)
28976
28977#define S_IMM_DATA_PERR_SET_CH2 2
28978#define V_IMM_DATA_PERR_SET_CH2(x) ((x) << S_IMM_DATA_PERR_SET_CH2)
28979#define F_IMM_DATA_PERR_SET_CH2 V_IMM_DATA_PERR_SET_CH2(1U)
28980
28981#define S_IMM_DATA_PERR_SET_CH1 1
28982#define V_IMM_DATA_PERR_SET_CH1(x) ((x) << S_IMM_DATA_PERR_SET_CH1)
28983#define F_IMM_DATA_PERR_SET_CH1 V_IMM_DATA_PERR_SET_CH1(1U)
28984
28985#define S_IMM_DATA_PERR_SET_CH0 0
28986#define V_IMM_DATA_PERR_SET_CH0(x) ((x) << S_IMM_DATA_PERR_SET_CH0)
28987#define F_IMM_DATA_PERR_SET_CH0 V_IMM_DATA_PERR_SET_CH0(1U)
28988
28989#define A_ULP_TX_INT_CAUSE 0x8dcc
28990#define A_ULP_TX_PERR_ENABLE 0x8dd0
28991#define A_ULP_TX_TPT_LLIMIT 0x8dd4
28992#define A_ULP_TX_TPT_ULIMIT 0x8dd8
28993#define A_ULP_TX_PBL_LLIMIT 0x8ddc
28994#define A_ULP_TX_PBL_ULIMIT 0x8de0
28995#define A_ULP_TX_CPL_ERR_OFFSET 0x8de4
28996#define A_ULP_TX_TLS_CTL 0x8de4
28997
28998#define S_TLSPERREN 4
28999#define V_TLSPERREN(x) ((x) << S_TLSPERREN)
29000#define F_TLSPERREN V_TLSPERREN(1U)
29001
29002#define S_TLSPATHCTL 3
29003#define V_TLSPATHCTL(x) ((x) << S_TLSPATHCTL)
29004#define F_TLSPATHCTL V_TLSPATHCTL(1U)
29005
29006#define S_TLSDISABLEIFUSE 2
29007#define V_TLSDISABLEIFUSE(x) ((x) << S_TLSDISABLEIFUSE)
29008#define F_TLSDISABLEIFUSE V_TLSDISABLEIFUSE(1U)
29009
29010#define S_TLSDISABLECFUSE 1
29011#define V_TLSDISABLECFUSE(x) ((x) << S_TLSDISABLECFUSE)
29012#define F_TLSDISABLECFUSE V_TLSDISABLECFUSE(1U)
29013
29014#define S_TLSDISABLE 0
29015#define V_TLSDISABLE(x) ((x) << S_TLSDISABLE)
29016#define F_TLSDISABLE V_TLSDISABLE(1U)
29017
29018#define A_ULP_TX_CPL_ERR_MASK_L 0x8de8
29019#define A_ULP_TX_CPL_ERR_MASK_H 0x8dec
29020#define A_ULP_TX_CPL_ERR_VALUE_L 0x8df0
29021#define A_ULP_TX_CPL_ERR_VALUE_H 0x8df4
29022#define A_ULP_TX_CPL_PACK_SIZE1 0x8df8
29023
29024#define S_CH3SIZE1 24
29025#define M_CH3SIZE1 0xffU
29026#define V_CH3SIZE1(x) ((x) << S_CH3SIZE1)
29027#define G_CH3SIZE1(x) (((x) >> S_CH3SIZE1) & M_CH3SIZE1)
29028
29029#define S_CH2SIZE1 16
29030#define M_CH2SIZE1 0xffU
29031#define V_CH2SIZE1(x) ((x) << S_CH2SIZE1)
29032#define G_CH2SIZE1(x) (((x) >> S_CH2SIZE1) & M_CH2SIZE1)
29033
29034#define S_CH1SIZE1 8
29035#define M_CH1SIZE1 0xffU
29036#define V_CH1SIZE1(x) ((x) << S_CH1SIZE1)
29037#define G_CH1SIZE1(x) (((x) >> S_CH1SIZE1) & M_CH1SIZE1)
29038
29039#define S_CH0SIZE1 0
29040#define M_CH0SIZE1 0xffU
29041#define V_CH0SIZE1(x) ((x) << S_CH0SIZE1)
29042#define G_CH0SIZE1(x) (((x) >> S_CH0SIZE1) & M_CH0SIZE1)
29043
29044#define A_ULP_TX_CPL_PACK_SIZE2 0x8dfc
29045
29046#define S_CH3SIZE2 24
29047#define M_CH3SIZE2 0xffU
29048#define V_CH3SIZE2(x) ((x) << S_CH3SIZE2)
29049#define G_CH3SIZE2(x) (((x) >> S_CH3SIZE2) & M_CH3SIZE2)
29050
29051#define S_CH2SIZE2 16
29052#define M_CH2SIZE2 0xffU
29053#define V_CH2SIZE2(x) ((x) << S_CH2SIZE2)
29054#define G_CH2SIZE2(x) (((x) >> S_CH2SIZE2) & M_CH2SIZE2)
29055
29056#define S_CH1SIZE2 8
29057#define M_CH1SIZE2 0xffU
29058#define V_CH1SIZE2(x) ((x) << S_CH1SIZE2)
29059#define G_CH1SIZE2(x) (((x) >> S_CH1SIZE2) & M_CH1SIZE2)
29060
29061#define S_CH0SIZE2 0
29062#define M_CH0SIZE2 0xffU
29063#define V_CH0SIZE2(x) ((x) << S_CH0SIZE2)
29064#define G_CH0SIZE2(x) (((x) >> S_CH0SIZE2) & M_CH0SIZE2)
29065
29066#define A_ULP_TX_ERR_MSG2CIM 0x8e00
29067#define A_ULP_TX_ERR_TABLE_BASE 0x8e04
29068#define A_ULP_TX_ERR_CNT_CH0 0x8e10
29069
29070#define S_ERR_CNT0 0
29071#define M_ERR_CNT0 0xfffffU
29072#define V_ERR_CNT0(x) ((x) << S_ERR_CNT0)
29073#define G_ERR_CNT0(x) (((x) >> S_ERR_CNT0) & M_ERR_CNT0)
29074
29075#define A_ULP_TX_ERR_CNT_CH1 0x8e14
29076
29077#define S_ERR_CNT1 0
29078#define M_ERR_CNT1 0xfffffU
29079#define V_ERR_CNT1(x) ((x) << S_ERR_CNT1)
29080#define G_ERR_CNT1(x) (((x) >> S_ERR_CNT1) & M_ERR_CNT1)
29081
29082#define A_ULP_TX_ERR_CNT_CH2 0x8e18
29083
29084#define S_ERR_CNT2 0
29085#define M_ERR_CNT2 0xfffffU
29086#define V_ERR_CNT2(x) ((x) << S_ERR_CNT2)
29087#define G_ERR_CNT2(x) (((x) >> S_ERR_CNT2) & M_ERR_CNT2)
29088
29089#define A_ULP_TX_ERR_CNT_CH3 0x8e1c
29090
29091#define S_ERR_CNT3 0
29092#define M_ERR_CNT3 0xfffffU
29093#define V_ERR_CNT3(x) ((x) << S_ERR_CNT3)
29094#define G_ERR_CNT3(x) (((x) >> S_ERR_CNT3) & M_ERR_CNT3)
29095
29096#define A_ULP_TX_FC_SOF 0x8e20
29097
29098#define S_SOF_FS3 24
29099#define M_SOF_FS3 0xffU
29100#define V_SOF_FS3(x) ((x) << S_SOF_FS3)
29101#define G_SOF_FS3(x) (((x) >> S_SOF_FS3) & M_SOF_FS3)
29102
29103#define S_SOF_FS2 16
29104#define M_SOF_FS2 0xffU
29105#define V_SOF_FS2(x) ((x) << S_SOF_FS2)
29106#define G_SOF_FS2(x) (((x) >> S_SOF_FS2) & M_SOF_FS2)
29107
29108#define S_SOF_3 8
29109#define M_SOF_3 0xffU
29110#define V_SOF_3(x) ((x) << S_SOF_3)
29111#define G_SOF_3(x) (((x) >> S_SOF_3) & M_SOF_3)
29112
29113#define S_SOF_2 0
29114#define M_SOF_2 0xffU
29115#define V_SOF_2(x) ((x) << S_SOF_2)
29116#define G_SOF_2(x) (((x) >> S_SOF_2) & M_SOF_2)
29117
29118#define A_ULP_TX_FC_EOF 0x8e24
29119
29120#define S_EOF_LS3 24
29121#define M_EOF_LS3 0xffU
29122#define V_EOF_LS3(x) ((x) << S_EOF_LS3)
29123#define G_EOF_LS3(x) (((x) >> S_EOF_LS3) & M_EOF_LS3)
29124
29125#define S_EOF_LS2 16
29126#define M_EOF_LS2 0xffU
29127#define V_EOF_LS2(x) ((x) << S_EOF_LS2)
29128#define G_EOF_LS2(x) (((x) >> S_EOF_LS2) & M_EOF_LS2)
29129
29130#define S_EOF_3 8
29131#define M_EOF_3 0xffU
29132#define V_EOF_3(x) ((x) << S_EOF_3)
29133#define G_EOF_3(x) (((x) >> S_EOF_3) & M_EOF_3)
29134
29135#define S_EOF_2 0
29136#define M_EOF_2 0xffU
29137#define V_EOF_2(x) ((x) << S_EOF_2)
29138#define G_EOF_2(x) (((x) >> S_EOF_2) & M_EOF_2)
29139
29140#define A_ULP_TX_CGEN_GLOBAL 0x8e28
29141
29142#define S_ULP_TX_GLOBAL_CGEN 0
29143#define V_ULP_TX_GLOBAL_CGEN(x) ((x) << S_ULP_TX_GLOBAL_CGEN)
29144#define F_ULP_TX_GLOBAL_CGEN V_ULP_TX_GLOBAL_CGEN(1U)
29145
29146#define A_ULP_TX_CGEN 0x8e2c
29147
29148#define S_ULP_TX_CGEN_STORAGE 8
29149#define M_ULP_TX_CGEN_STORAGE 0xfU
29150#define V_ULP_TX_CGEN_STORAGE(x) ((x) << S_ULP_TX_CGEN_STORAGE)
29151#define G_ULP_TX_CGEN_STORAGE(x) (((x) >> S_ULP_TX_CGEN_STORAGE) & M_ULP_TX_CGEN_STORAGE)
29152
29153#define S_ULP_TX_CGEN_RDMA 4
29154#define M_ULP_TX_CGEN_RDMA 0xfU
29155#define V_ULP_TX_CGEN_RDMA(x) ((x) << S_ULP_TX_CGEN_RDMA)
29156#define G_ULP_TX_CGEN_RDMA(x) (((x) >> S_ULP_TX_CGEN_RDMA) & M_ULP_TX_CGEN_RDMA)
29157
29158#define S_ULP_TX_CGEN_CHANNEL 0
29159#define M_ULP_TX_CGEN_CHANNEL 0xfU
29160#define V_ULP_TX_CGEN_CHANNEL(x) ((x) << S_ULP_TX_CGEN_CHANNEL)
29161#define G_ULP_TX_CGEN_CHANNEL(x) (((x) >> S_ULP_TX_CGEN_CHANNEL) & M_ULP_TX_CGEN_CHANNEL)
29162
29163#define A_ULP_TX_ULP2TP_BIST_CMD 0x8e30
29164#define A_ULP_TX_MEM_CFG 0x8e30
29165
29166#define S_WRREQ_SZ 0
29167#define M_WRREQ_SZ 0x7U
29168#define V_WRREQ_SZ(x) ((x) << S_WRREQ_SZ)
29169#define G_WRREQ_SZ(x) (((x) >> S_WRREQ_SZ) & M_WRREQ_SZ)
29170
29171#define A_ULP_TX_ULP2TP_BIST_ERROR_CNT 0x8e34
29172#define A_ULP_TX_PERR_INJECT_2 0x8e34
29173
29174#define S_T5_MEMSEL 1
29175#define M_T5_MEMSEL 0x7U
29176#define V_T5_MEMSEL(x) ((x) << S_T5_MEMSEL)
29177#define G_T5_MEMSEL(x) (((x) >> S_T5_MEMSEL) & M_T5_MEMSEL)
29178
29179#define S_MEMSEL_ULPTX 1
29180#define M_MEMSEL_ULPTX 0x1fU
29181#define V_MEMSEL_ULPTX(x) ((x) << S_MEMSEL_ULPTX)
29182#define G_MEMSEL_ULPTX(x) (((x) >> S_MEMSEL_ULPTX) & M_MEMSEL_ULPTX)
29183
29184#define A_ULP_TX_FPGA_CMD_CTRL 0x8e38
29185#define A_ULP_TX_T5_FPGA_CMD_CTRL 0x8e38
29186
29187#define S_CHANNEL_SEL 12
29188#define M_CHANNEL_SEL 0x3U
29189#define V_CHANNEL_SEL(x) ((x) << S_CHANNEL_SEL)
29190#define G_CHANNEL_SEL(x) (((x) >> S_CHANNEL_SEL) & M_CHANNEL_SEL)
29191
29192#define S_INTF_SEL 4
29193#define M_INTF_SEL 0xfU
29194#define V_INTF_SEL(x) ((x) << S_INTF_SEL)
29195#define G_INTF_SEL(x) (((x) >> S_INTF_SEL) & M_INTF_SEL)
29196
29197#define S_NUM_FLITS 1
29198#define M_NUM_FLITS 0x7U
29199#define V_NUM_FLITS(x) ((x) << S_NUM_FLITS)
29200#define G_NUM_FLITS(x) (((x) >> S_NUM_FLITS) & M_NUM_FLITS)
29201
29202#define S_CMD_GEN_EN 0
29203#define V_CMD_GEN_EN(x) ((x) << S_CMD_GEN_EN)
29204#define F_CMD_GEN_EN V_CMD_GEN_EN(1U)
29205
29206#define A_ULP_TX_FPGA_CMD_0 0x8e3c
29207#define A_ULP_TX_T5_FPGA_CMD_0 0x8e3c
29208#define A_ULP_TX_FPGA_CMD_1 0x8e40
29209#define A_ULP_TX_T5_FPGA_CMD_1 0x8e40
29210#define A_ULP_TX_FPGA_CMD_2 0x8e44
29211#define A_ULP_TX_T5_FPGA_CMD_2 0x8e44
29212#define A_ULP_TX_FPGA_CMD_3 0x8e48
29213#define A_ULP_TX_T5_FPGA_CMD_3 0x8e48
29214#define A_ULP_TX_FPGA_CMD_4 0x8e4c
29215#define A_ULP_TX_T5_FPGA_CMD_4 0x8e4c
29216#define A_ULP_TX_FPGA_CMD_5 0x8e50
29217#define A_ULP_TX_T5_FPGA_CMD_5 0x8e50
29218#define A_ULP_TX_FPGA_CMD_6 0x8e54
29219#define A_ULP_TX_T5_FPGA_CMD_6 0x8e54
29220#define A_ULP_TX_FPGA_CMD_7 0x8e58
29221#define A_ULP_TX_T5_FPGA_CMD_7 0x8e58
29222#define A_ULP_TX_FPGA_CMD_8 0x8e5c
29223#define A_ULP_TX_T5_FPGA_CMD_8 0x8e5c
29224#define A_ULP_TX_FPGA_CMD_9 0x8e60
29225#define A_ULP_TX_T5_FPGA_CMD_9 0x8e60
29226#define A_ULP_TX_FPGA_CMD_10 0x8e64
29227#define A_ULP_TX_T5_FPGA_CMD_10 0x8e64
29228#define A_ULP_TX_FPGA_CMD_11 0x8e68
29229#define A_ULP_TX_T5_FPGA_CMD_11 0x8e68
29230#define A_ULP_TX_FPGA_CMD_12 0x8e6c
29231#define A_ULP_TX_T5_FPGA_CMD_12 0x8e6c
29232#define A_ULP_TX_FPGA_CMD_13 0x8e70
29233#define A_ULP_TX_T5_FPGA_CMD_13 0x8e70
29234#define A_ULP_TX_FPGA_CMD_14 0x8e74
29235#define A_ULP_TX_T5_FPGA_CMD_14 0x8e74
29236#define A_ULP_TX_FPGA_CMD_15 0x8e78
29237#define A_ULP_TX_T5_FPGA_CMD_15 0x8e78
29238#define A_ULP_TX_INT_ENABLE_2 0x8e7c
29239
29240#define S_SMARBT2ULP_DATA_PERR_SET 12
29241#define V_SMARBT2ULP_DATA_PERR_SET(x) ((x) << S_SMARBT2ULP_DATA_PERR_SET)
29242#define F_SMARBT2ULP_DATA_PERR_SET V_SMARBT2ULP_DATA_PERR_SET(1U)
29243
29244#define S_ULP2TP_DATA_PERR_SET 11
29245#define V_ULP2TP_DATA_PERR_SET(x) ((x) << S_ULP2TP_DATA_PERR_SET)
29246#define F_ULP2TP_DATA_PERR_SET V_ULP2TP_DATA_PERR_SET(1U)
29247
29248#define S_MA2ULP_DATA_PERR_SET 10
29249#define V_MA2ULP_DATA_PERR_SET(x) ((x) << S_MA2ULP_DATA_PERR_SET)
29250#define F_MA2ULP_DATA_PERR_SET V_MA2ULP_DATA_PERR_SET(1U)
29251
29252#define S_SGE2ULP_DATA_PERR_SET 9
29253#define V_SGE2ULP_DATA_PERR_SET(x) ((x) << S_SGE2ULP_DATA_PERR_SET)
29254#define F_SGE2ULP_DATA_PERR_SET V_SGE2ULP_DATA_PERR_SET(1U)
29255
29256#define S_CIM2ULP_DATA_PERR_SET 8
29257#define V_CIM2ULP_DATA_PERR_SET(x) ((x) << S_CIM2ULP_DATA_PERR_SET)
29258#define F_CIM2ULP_DATA_PERR_SET V_CIM2ULP_DATA_PERR_SET(1U)
29259
29260#define S_FSO_HDR_SRAM_PERR_SET3 7
29261#define V_FSO_HDR_SRAM_PERR_SET3(x) ((x) << S_FSO_HDR_SRAM_PERR_SET3)
29262#define F_FSO_HDR_SRAM_PERR_SET3 V_FSO_HDR_SRAM_PERR_SET3(1U)
29263
29264#define S_FSO_HDR_SRAM_PERR_SET2 6
29265#define V_FSO_HDR_SRAM_PERR_SET2(x) ((x) << S_FSO_HDR_SRAM_PERR_SET2)
29266#define F_FSO_HDR_SRAM_PERR_SET2 V_FSO_HDR_SRAM_PERR_SET2(1U)
29267
29268#define S_FSO_HDR_SRAM_PERR_SET1 5
29269#define V_FSO_HDR_SRAM_PERR_SET1(x) ((x) << S_FSO_HDR_SRAM_PERR_SET1)
29270#define F_FSO_HDR_SRAM_PERR_SET1 V_FSO_HDR_SRAM_PERR_SET1(1U)
29271
29272#define S_FSO_HDR_SRAM_PERR_SET0 4
29273#define V_FSO_HDR_SRAM_PERR_SET0(x) ((x) << S_FSO_HDR_SRAM_PERR_SET0)
29274#define F_FSO_HDR_SRAM_PERR_SET0 V_FSO_HDR_SRAM_PERR_SET0(1U)
29275
29276#define S_T10_PI_SRAM_PERR_SET3 3
29277#define V_T10_PI_SRAM_PERR_SET3(x) ((x) << S_T10_PI_SRAM_PERR_SET3)
29278#define F_T10_PI_SRAM_PERR_SET3 V_T10_PI_SRAM_PERR_SET3(1U)
29279
29280#define S_T10_PI_SRAM_PERR_SET2 2
29281#define V_T10_PI_SRAM_PERR_SET2(x) ((x) << S_T10_PI_SRAM_PERR_SET2)
29282#define F_T10_PI_SRAM_PERR_SET2 V_T10_PI_SRAM_PERR_SET2(1U)
29283
29284#define S_T10_PI_SRAM_PERR_SET1 1
29285#define V_T10_PI_SRAM_PERR_SET1(x) ((x) << S_T10_PI_SRAM_PERR_SET1)
29286#define F_T10_PI_SRAM_PERR_SET1 V_T10_PI_SRAM_PERR_SET1(1U)
29287
29288#define S_T10_PI_SRAM_PERR_SET0 0
29289#define V_T10_PI_SRAM_PERR_SET0(x) ((x) << S_T10_PI_SRAM_PERR_SET0)
29290#define F_T10_PI_SRAM_PERR_SET0 V_T10_PI_SRAM_PERR_SET0(1U)
29291
29292#define S_EDMA_IN_FIFO_PERR_SET3 31
29293#define V_EDMA_IN_FIFO_PERR_SET3(x) ((x) << S_EDMA_IN_FIFO_PERR_SET3)
29294#define F_EDMA_IN_FIFO_PERR_SET3 V_EDMA_IN_FIFO_PERR_SET3(1U)
29295
29296#define S_EDMA_IN_FIFO_PERR_SET2 30
29297#define V_EDMA_IN_FIFO_PERR_SET2(x) ((x) << S_EDMA_IN_FIFO_PERR_SET2)
29298#define F_EDMA_IN_FIFO_PERR_SET2 V_EDMA_IN_FIFO_PERR_SET2(1U)
29299
29300#define S_EDMA_IN_FIFO_PERR_SET1 29
29301#define V_EDMA_IN_FIFO_PERR_SET1(x) ((x) << S_EDMA_IN_FIFO_PERR_SET1)
29302#define F_EDMA_IN_FIFO_PERR_SET1 V_EDMA_IN_FIFO_PERR_SET1(1U)
29303
29304#define S_EDMA_IN_FIFO_PERR_SET0 28
29305#define V_EDMA_IN_FIFO_PERR_SET0(x) ((x) << S_EDMA_IN_FIFO_PERR_SET0)
29306#define F_EDMA_IN_FIFO_PERR_SET0 V_EDMA_IN_FIFO_PERR_SET0(1U)
29307
29308#define S_ALIGN_CTL_FIFO_PERR_SET3 27
29309#define V_ALIGN_CTL_FIFO_PERR_SET3(x) ((x) << S_ALIGN_CTL_FIFO_PERR_SET3)
29310#define F_ALIGN_CTL_FIFO_PERR_SET3 V_ALIGN_CTL_FIFO_PERR_SET3(1U)
29311
29312#define S_ALIGN_CTL_FIFO_PERR_SET2 26
29313#define V_ALIGN_CTL_FIFO_PERR_SET2(x) ((x) << S_ALIGN_CTL_FIFO_PERR_SET2)
29314#define F_ALIGN_CTL_FIFO_PERR_SET2 V_ALIGN_CTL_FIFO_PERR_SET2(1U)
29315
29316#define S_ALIGN_CTL_FIFO_PERR_SET1 25
29317#define V_ALIGN_CTL_FIFO_PERR_SET1(x) ((x) << S_ALIGN_CTL_FIFO_PERR_SET1)
29318#define F_ALIGN_CTL_FIFO_PERR_SET1 V_ALIGN_CTL_FIFO_PERR_SET1(1U)
29319
29320#define S_ALIGN_CTL_FIFO_PERR_SET0 24
29321#define V_ALIGN_CTL_FIFO_PERR_SET0(x) ((x) << S_ALIGN_CTL_FIFO_PERR_SET0)
29322#define F_ALIGN_CTL_FIFO_PERR_SET0 V_ALIGN_CTL_FIFO_PERR_SET0(1U)
29323
29324#define S_SGE_FIFO_PERR_SET3 23
29325#define V_SGE_FIFO_PERR_SET3(x) ((x) << S_SGE_FIFO_PERR_SET3)
29326#define F_SGE_FIFO_PERR_SET3 V_SGE_FIFO_PERR_SET3(1U)
29327
29328#define S_SGE_FIFO_PERR_SET2 22
29329#define V_SGE_FIFO_PERR_SET2(x) ((x) << S_SGE_FIFO_PERR_SET2)
29330#define F_SGE_FIFO_PERR_SET2 V_SGE_FIFO_PERR_SET2(1U)
29331
29332#define S_SGE_FIFO_PERR_SET1 21
29333#define V_SGE_FIFO_PERR_SET1(x) ((x) << S_SGE_FIFO_PERR_SET1)
29334#define F_SGE_FIFO_PERR_SET1 V_SGE_FIFO_PERR_SET1(1U)
29335
29336#define S_SGE_FIFO_PERR_SET0 20
29337#define V_SGE_FIFO_PERR_SET0(x) ((x) << S_SGE_FIFO_PERR_SET0)
29338#define F_SGE_FIFO_PERR_SET0 V_SGE_FIFO_PERR_SET0(1U)
29339
29340#define S_STAG_FIFO_PERR_SET3 19
29341#define V_STAG_FIFO_PERR_SET3(x) ((x) << S_STAG_FIFO_PERR_SET3)
29342#define F_STAG_FIFO_PERR_SET3 V_STAG_FIFO_PERR_SET3(1U)
29343
29344#define S_STAG_FIFO_PERR_SET2 18
29345#define V_STAG_FIFO_PERR_SET2(x) ((x) << S_STAG_FIFO_PERR_SET2)
29346#define F_STAG_FIFO_PERR_SET2 V_STAG_FIFO_PERR_SET2(1U)
29347
29348#define S_STAG_FIFO_PERR_SET1 17
29349#define V_STAG_FIFO_PERR_SET1(x) ((x) << S_STAG_FIFO_PERR_SET1)
29350#define F_STAG_FIFO_PERR_SET1 V_STAG_FIFO_PERR_SET1(1U)
29351
29352#define S_STAG_FIFO_PERR_SET0 16
29353#define V_STAG_FIFO_PERR_SET0(x) ((x) << S_STAG_FIFO_PERR_SET0)
29354#define F_STAG_FIFO_PERR_SET0 V_STAG_FIFO_PERR_SET0(1U)
29355
29356#define S_MAP_FIFO_PERR_SET3 15
29357#define V_MAP_FIFO_PERR_SET3(x) ((x) << S_MAP_FIFO_PERR_SET3)
29358#define F_MAP_FIFO_PERR_SET3 V_MAP_FIFO_PERR_SET3(1U)
29359
29360#define S_MAP_FIFO_PERR_SET2 14
29361#define V_MAP_FIFO_PERR_SET2(x) ((x) << S_MAP_FIFO_PERR_SET2)
29362#define F_MAP_FIFO_PERR_SET2 V_MAP_FIFO_PERR_SET2(1U)
29363
29364#define S_MAP_FIFO_PERR_SET1 13
29365#define V_MAP_FIFO_PERR_SET1(x) ((x) << S_MAP_FIFO_PERR_SET1)
29366#define F_MAP_FIFO_PERR_SET1 V_MAP_FIFO_PERR_SET1(1U)
29367
29368#define S_MAP_FIFO_PERR_SET0 12
29369#define V_MAP_FIFO_PERR_SET0(x) ((x) << S_MAP_FIFO_PERR_SET0)
29370#define F_MAP_FIFO_PERR_SET0 V_MAP_FIFO_PERR_SET0(1U)
29371
29372#define S_DMA_FIFO_PERR_SET3 11
29373#define V_DMA_FIFO_PERR_SET3(x) ((x) << S_DMA_FIFO_PERR_SET3)
29374#define F_DMA_FIFO_PERR_SET3 V_DMA_FIFO_PERR_SET3(1U)
29375
29376#define S_DMA_FIFO_PERR_SET2 10
29377#define V_DMA_FIFO_PERR_SET2(x) ((x) << S_DMA_FIFO_PERR_SET2)
29378#define F_DMA_FIFO_PERR_SET2 V_DMA_FIFO_PERR_SET2(1U)
29379
29380#define S_DMA_FIFO_PERR_SET1 9
29381#define V_DMA_FIFO_PERR_SET1(x) ((x) << S_DMA_FIFO_PERR_SET1)
29382#define F_DMA_FIFO_PERR_SET1 V_DMA_FIFO_PERR_SET1(1U)
29383
29384#define S_DMA_FIFO_PERR_SET0 8
29385#define V_DMA_FIFO_PERR_SET0(x) ((x) << S_DMA_FIFO_PERR_SET0)
29386#define F_DMA_FIFO_PERR_SET0 V_DMA_FIFO_PERR_SET0(1U)
29387
29388#define A_ULP_TX_INT_CAUSE_2 0x8e80
29389#define A_ULP_TX_PERR_ENABLE_2 0x8e84
29390#define A_ULP_TX_SE_CNT_ERR 0x8ea0
29391
29392#define S_ERR_CH3 12
29393#define M_ERR_CH3 0xfU
29394#define V_ERR_CH3(x) ((x) << S_ERR_CH3)
29395#define G_ERR_CH3(x) (((x) >> S_ERR_CH3) & M_ERR_CH3)
29396
29397#define S_ERR_CH2 8
29398#define M_ERR_CH2 0xfU
29399#define V_ERR_CH2(x) ((x) << S_ERR_CH2)
29400#define G_ERR_CH2(x) (((x) >> S_ERR_CH2) & M_ERR_CH2)
29401
29402#define S_ERR_CH1 4
29403#define M_ERR_CH1 0xfU
29404#define V_ERR_CH1(x) ((x) << S_ERR_CH1)
29405#define G_ERR_CH1(x) (((x) >> S_ERR_CH1) & M_ERR_CH1)
29406
29407#define S_ERR_CH0 0
29408#define M_ERR_CH0 0xfU
29409#define V_ERR_CH0(x) ((x) << S_ERR_CH0)
29410#define G_ERR_CH0(x) (((x) >> S_ERR_CH0) & M_ERR_CH0)
29411
29412#define A_ULP_TX_T5_SE_CNT_ERR 0x8ea0
29413#define A_ULP_TX_SE_CNT_CLR 0x8ea4
29414
29415#define S_CLR_DROP 16
29416#define M_CLR_DROP 0xfU
29417#define V_CLR_DROP(x) ((x) << S_CLR_DROP)
29418#define G_CLR_DROP(x) (((x) >> S_CLR_DROP) & M_CLR_DROP)
29419
29420#define S_CLR_CH3 12
29421#define M_CLR_CH3 0xfU
29422#define V_CLR_CH3(x) ((x) << S_CLR_CH3)
29423#define G_CLR_CH3(x) (((x) >> S_CLR_CH3) & M_CLR_CH3)
29424
29425#define S_CLR_CH2 8
29426#define M_CLR_CH2 0xfU
29427#define V_CLR_CH2(x) ((x) << S_CLR_CH2)
29428#define G_CLR_CH2(x) (((x) >> S_CLR_CH2) & M_CLR_CH2)
29429
29430#define S_CLR_CH1 4
29431#define M_CLR_CH1 0xfU
29432#define V_CLR_CH1(x) ((x) << S_CLR_CH1)
29433#define G_CLR_CH1(x) (((x) >> S_CLR_CH1) & M_CLR_CH1)
29434
29435#define S_CLR_CH0 0
29436#define M_CLR_CH0 0xfU
29437#define V_CLR_CH0(x) ((x) << S_CLR_CH0)
29438#define G_CLR_CH0(x) (((x) >> S_CLR_CH0) & M_CLR_CH0)
29439
29440#define A_ULP_TX_T5_SE_CNT_CLR 0x8ea4
29441#define A_ULP_TX_SE_CNT_CH0 0x8ea8
29442
29443#define S_SOP_CNT_ULP2TP 28
29444#define M_SOP_CNT_ULP2TP 0xfU
29445#define V_SOP_CNT_ULP2TP(x) ((x) << S_SOP_CNT_ULP2TP)
29446#define G_SOP_CNT_ULP2TP(x) (((x) >> S_SOP_CNT_ULP2TP) & M_SOP_CNT_ULP2TP)
29447
29448#define S_EOP_CNT_ULP2TP 24
29449#define M_EOP_CNT_ULP2TP 0xfU
29450#define V_EOP_CNT_ULP2TP(x) ((x) << S_EOP_CNT_ULP2TP)
29451#define G_EOP_CNT_ULP2TP(x) (((x) >> S_EOP_CNT_ULP2TP) & M_EOP_CNT_ULP2TP)
29452
29453#define S_SOP_CNT_LSO_IN 20
29454#define M_SOP_CNT_LSO_IN 0xfU
29455#define V_SOP_CNT_LSO_IN(x) ((x) << S_SOP_CNT_LSO_IN)
29456#define G_SOP_CNT_LSO_IN(x) (((x) >> S_SOP_CNT_LSO_IN) & M_SOP_CNT_LSO_IN)
29457
29458#define S_EOP_CNT_LSO_IN 16
29459#define M_EOP_CNT_LSO_IN 0xfU
29460#define V_EOP_CNT_LSO_IN(x) ((x) << S_EOP_CNT_LSO_IN)
29461#define G_EOP_CNT_LSO_IN(x) (((x) >> S_EOP_CNT_LSO_IN) & M_EOP_CNT_LSO_IN)
29462
29463#define S_SOP_CNT_ALG_IN 12
29464#define M_SOP_CNT_ALG_IN 0xfU
29465#define V_SOP_CNT_ALG_IN(x) ((x) << S_SOP_CNT_ALG_IN)
29466#define G_SOP_CNT_ALG_IN(x) (((x) >> S_SOP_CNT_ALG_IN) & M_SOP_CNT_ALG_IN)
29467
29468#define S_EOP_CNT_ALG_IN 8
29469#define M_EOP_CNT_ALG_IN 0xfU
29470#define V_EOP_CNT_ALG_IN(x) ((x) << S_EOP_CNT_ALG_IN)
29471#define G_EOP_CNT_ALG_IN(x) (((x) >> S_EOP_CNT_ALG_IN) & M_EOP_CNT_ALG_IN)
29472
29473#define S_SOP_CNT_CIM2ULP 4
29474#define M_SOP_CNT_CIM2ULP 0xfU
29475#define V_SOP_CNT_CIM2ULP(x) ((x) << S_SOP_CNT_CIM2ULP)
29476#define G_SOP_CNT_CIM2ULP(x) (((x) >> S_SOP_CNT_CIM2ULP) & M_SOP_CNT_CIM2ULP)
29477
29478#define S_EOP_CNT_CIM2ULP 0
29479#define M_EOP_CNT_CIM2ULP 0xfU
29480#define V_EOP_CNT_CIM2ULP(x) ((x) << S_EOP_CNT_CIM2ULP)
29481#define G_EOP_CNT_CIM2ULP(x) (((x) >> S_EOP_CNT_CIM2ULP) & M_EOP_CNT_CIM2ULP)
29482
29483#define A_ULP_TX_T5_SE_CNT_CH0 0x8ea8
29484#define A_ULP_TX_SE_CNT_CH1 0x8eac
29485#define A_ULP_TX_T5_SE_CNT_CH1 0x8eac
29486#define A_ULP_TX_SE_CNT_CH2 0x8eb0
29487#define A_ULP_TX_T5_SE_CNT_CH2 0x8eb0
29488#define A_ULP_TX_SE_CNT_CH3 0x8eb4
29489#define A_ULP_TX_T5_SE_CNT_CH3 0x8eb4
29490#define A_ULP_TX_DROP_CNT 0x8eb8
29491
29492#define S_DROP_CH3 12
29493#define M_DROP_CH3 0xfU
29494#define V_DROP_CH3(x) ((x) << S_DROP_CH3)
29495#define G_DROP_CH3(x) (((x) >> S_DROP_CH3) & M_DROP_CH3)
29496
29497#define S_DROP_CH2 8
29498#define M_DROP_CH2 0xfU
29499#define V_DROP_CH2(x) ((x) << S_DROP_CH2)
29500#define G_DROP_CH2(x) (((x) >> S_DROP_CH2) & M_DROP_CH2)
29501
29502#define S_DROP_CH1 4
29503#define M_DROP_CH1 0xfU
29504#define V_DROP_CH1(x) ((x) << S_DROP_CH1)
29505#define G_DROP_CH1(x) (((x) >> S_DROP_CH1) & M_DROP_CH1)
29506
29507#define S_DROP_CH0 0
29508#define M_DROP_CH0 0xfU
29509#define V_DROP_CH0(x) ((x) << S_DROP_CH0)
29510#define G_DROP_CH0(x) (((x) >> S_DROP_CH0) & M_DROP_CH0)
29511
29512#define A_ULP_TX_T5_DROP_CNT 0x8eb8
29513
29514#define S_DROP_INVLD_MC_CH3 28
29515#define M_DROP_INVLD_MC_CH3 0xfU
29516#define V_DROP_INVLD_MC_CH3(x) ((x) << S_DROP_INVLD_MC_CH3)
29517#define G_DROP_INVLD_MC_CH3(x) (((x) >> S_DROP_INVLD_MC_CH3) & M_DROP_INVLD_MC_CH3)
29518
29519#define S_DROP_INVLD_MC_CH2 24
29520#define M_DROP_INVLD_MC_CH2 0xfU
29521#define V_DROP_INVLD_MC_CH2(x) ((x) << S_DROP_INVLD_MC_CH2)
29522#define G_DROP_INVLD_MC_CH2(x) (((x) >> S_DROP_INVLD_MC_CH2) & M_DROP_INVLD_MC_CH2)
29523
29524#define S_DROP_INVLD_MC_CH1 20
29525#define M_DROP_INVLD_MC_CH1 0xfU
29526#define V_DROP_INVLD_MC_CH1(x) ((x) << S_DROP_INVLD_MC_CH1)
29527#define G_DROP_INVLD_MC_CH1(x) (((x) >> S_DROP_INVLD_MC_CH1) & M_DROP_INVLD_MC_CH1)
29528
29529#define S_DROP_INVLD_MC_CH0 16
29530#define M_DROP_INVLD_MC_CH0 0xfU
29531#define V_DROP_INVLD_MC_CH0(x) ((x) << S_DROP_INVLD_MC_CH0)
29532#define G_DROP_INVLD_MC_CH0(x) (((x) >> S_DROP_INVLD_MC_CH0) & M_DROP_INVLD_MC_CH0)
29533
29534#define A_ULP_TX_CSU_REVISION 0x8ebc
29535#define A_ULP_TX_LA_RDPTR_0 0x8ec0
29536#define A_ULP_TX_LA_RDDATA_0 0x8ec4
29537#define A_ULP_TX_LA_WRPTR_0 0x8ec8
29538#define A_ULP_TX_LA_RESERVED_0 0x8ecc
29539#define A_ULP_TX_LA_RDPTR_1 0x8ed0
29540#define A_ULP_TX_LA_RDDATA_1 0x8ed4
29541#define A_ULP_TX_LA_WRPTR_1 0x8ed8
29542#define A_ULP_TX_LA_RESERVED_1 0x8edc
29543#define A_ULP_TX_LA_RDPTR_2 0x8ee0
29544#define A_ULP_TX_LA_RDDATA_2 0x8ee4
29545#define A_ULP_TX_LA_WRPTR_2 0x8ee8
29546#define A_ULP_TX_LA_RESERVED_2 0x8eec
29547#define A_ULP_TX_LA_RDPTR_3 0x8ef0
29548#define A_ULP_TX_LA_RDDATA_3 0x8ef4
29549#define A_ULP_TX_LA_WRPTR_3 0x8ef8
29550#define A_ULP_TX_LA_RESERVED_3 0x8efc
29551#define A_ULP_TX_LA_RDPTR_4 0x8f00
29552#define A_ULP_TX_LA_RDDATA_4 0x8f04
29553#define A_ULP_TX_LA_WRPTR_4 0x8f08
29554#define A_ULP_TX_LA_RESERVED_4 0x8f0c
29555#define A_ULP_TX_LA_RDPTR_5 0x8f10
29556#define A_ULP_TX_LA_RDDATA_5 0x8f14
29557#define A_ULP_TX_LA_WRPTR_5 0x8f18
29558#define A_ULP_TX_LA_RESERVED_5 0x8f1c
29559#define A_ULP_TX_LA_RDPTR_6 0x8f20
29560#define A_ULP_TX_LA_RDDATA_6 0x8f24
29561#define A_ULP_TX_LA_WRPTR_6 0x8f28
29562#define A_ULP_TX_LA_RESERVED_6 0x8f2c
29563#define A_ULP_TX_LA_RDPTR_7 0x8f30
29564#define A_ULP_TX_LA_RDDATA_7 0x8f34
29565#define A_ULP_TX_LA_WRPTR_7 0x8f38
29566#define A_ULP_TX_LA_RESERVED_7 0x8f3c
29567#define A_ULP_TX_LA_RDPTR_8 0x8f40
29568#define A_ULP_TX_LA_RDDATA_8 0x8f44
29569#define A_ULP_TX_LA_WRPTR_8 0x8f48
29570#define A_ULP_TX_LA_RESERVED_8 0x8f4c
29571#define A_ULP_TX_LA_RDPTR_9 0x8f50
29572#define A_ULP_TX_LA_RDDATA_9 0x8f54
29573#define A_ULP_TX_LA_WRPTR_9 0x8f58
29574#define A_ULP_TX_LA_RESERVED_9 0x8f5c
29575#define A_ULP_TX_LA_RDPTR_10 0x8f60
29576#define A_ULP_TX_LA_RDDATA_10 0x8f64
29577#define A_ULP_TX_LA_WRPTR_10 0x8f68
29578#define A_ULP_TX_LA_RESERVED_10 0x8f6c
29579#define A_ULP_TX_ASIC_DEBUG_CTRL 0x8f70
29580
29581#define S_LA_WR0 0
29582#define V_LA_WR0(x) ((x) << S_LA_WR0)
29583#define F_LA_WR0 V_LA_WR0(1U)
29584
29585#define A_ULP_TX_ASIC_DEBUG_0 0x8f74
29586#define A_ULP_TX_ASIC_DEBUG_1 0x8f78
29587#define A_ULP_TX_ASIC_DEBUG_2 0x8f7c
29588#define A_ULP_TX_ASIC_DEBUG_3 0x8f80
29589#define A_ULP_TX_ASIC_DEBUG_4 0x8f84
29590#define A_ULP_TX_CPL_TX_DATA_FLAGS_MASK 0x8f88
29591
29592#define S_BYPASS_FIRST 26
29593#define V_BYPASS_FIRST(x) ((x) << S_BYPASS_FIRST)
29594#define F_BYPASS_FIRST V_BYPASS_FIRST(1U)
29595
29596#define S_BYPASS_MIDDLE 25
29597#define V_BYPASS_MIDDLE(x) ((x) << S_BYPASS_MIDDLE)
29598#define F_BYPASS_MIDDLE V_BYPASS_MIDDLE(1U)
29599
29600#define S_BYPASS_LAST 24
29601#define V_BYPASS_LAST(x) ((x) << S_BYPASS_LAST)
29602#define F_BYPASS_LAST V_BYPASS_LAST(1U)
29603
29604#define S_PUSH_FIRST 22
29605#define V_PUSH_FIRST(x) ((x) << S_PUSH_FIRST)
29606#define F_PUSH_FIRST V_PUSH_FIRST(1U)
29607
29608#define S_PUSH_MIDDLE 21
29609#define V_PUSH_MIDDLE(x) ((x) << S_PUSH_MIDDLE)
29610#define F_PUSH_MIDDLE V_PUSH_MIDDLE(1U)
29611
29612#define S_PUSH_LAST 20
29613#define V_PUSH_LAST(x) ((x) << S_PUSH_LAST)
29614#define F_PUSH_LAST V_PUSH_LAST(1U)
29615
29616#define S_SAVE_FIRST 18
29617#define V_SAVE_FIRST(x) ((x) << S_SAVE_FIRST)
29618#define F_SAVE_FIRST V_SAVE_FIRST(1U)
29619
29620#define S_SAVE_MIDDLE 17
29621#define V_SAVE_MIDDLE(x) ((x) << S_SAVE_MIDDLE)
29622#define F_SAVE_MIDDLE V_SAVE_MIDDLE(1U)
29623
29624#define S_SAVE_LAST 16
29625#define V_SAVE_LAST(x) ((x) << S_SAVE_LAST)
29626#define F_SAVE_LAST V_SAVE_LAST(1U)
29627
29628#define S_FLUSH_FIRST 14
29629#define V_FLUSH_FIRST(x) ((x) << S_FLUSH_FIRST)
29630#define F_FLUSH_FIRST V_FLUSH_FIRST(1U)
29631
29632#define S_FLUSH_MIDDLE 13
29633#define V_FLUSH_MIDDLE(x) ((x) << S_FLUSH_MIDDLE)
29634#define F_FLUSH_MIDDLE V_FLUSH_MIDDLE(1U)
29635
29636#define S_FLUSH_LAST 12
29637#define V_FLUSH_LAST(x) ((x) << S_FLUSH_LAST)
29638#define F_FLUSH_LAST V_FLUSH_LAST(1U)
29639
29640#define S_URGENT_FIRST 10
29641#define V_URGENT_FIRST(x) ((x) << S_URGENT_FIRST)
29642#define F_URGENT_FIRST V_URGENT_FIRST(1U)
29643
29644#define S_URGENT_MIDDLE 9
29645#define V_URGENT_MIDDLE(x) ((x) << S_URGENT_MIDDLE)
29646#define F_URGENT_MIDDLE V_URGENT_MIDDLE(1U)
29647
29648#define S_URGENT_LAST 8
29649#define V_URGENT_LAST(x) ((x) << S_URGENT_LAST)
29650#define F_URGENT_LAST V_URGENT_LAST(1U)
29651
29652#define S_MORE_FIRST 6
29653#define V_MORE_FIRST(x) ((x) << S_MORE_FIRST)
29654#define F_MORE_FIRST V_MORE_FIRST(1U)
29655
29656#define S_MORE_MIDDLE 5
29657#define V_MORE_MIDDLE(x) ((x) << S_MORE_MIDDLE)
29658#define F_MORE_MIDDLE V_MORE_MIDDLE(1U)
29659
29660#define S_MORE_LAST 4
29661#define V_MORE_LAST(x) ((x) << S_MORE_LAST)
29662#define F_MORE_LAST V_MORE_LAST(1U)
29663
29664#define S_SHOVE_FIRST 2
29665#define V_SHOVE_FIRST(x) ((x) << S_SHOVE_FIRST)
29666#define F_SHOVE_FIRST V_SHOVE_FIRST(1U)
29667
29668#define S_SHOVE_MIDDLE 1
29669#define V_SHOVE_MIDDLE(x) ((x) << S_SHOVE_MIDDLE)
29670#define F_SHOVE_MIDDLE V_SHOVE_MIDDLE(1U)
29671
29672#define S_SHOVE_LAST 0
29673#define V_SHOVE_LAST(x) ((x) << S_SHOVE_LAST)
29674#define F_SHOVE_LAST V_SHOVE_LAST(1U)
29675
29676#define A_ULP_TX_TLS_IND_CMD 0x8fb8
29677
29678#define S_TLS_TX_REG_OFF_ADDR 0
29679#define M_TLS_TX_REG_OFF_ADDR 0x3ffU
29680#define V_TLS_TX_REG_OFF_ADDR(x) ((x) << S_TLS_TX_REG_OFF_ADDR)
29681#define G_TLS_TX_REG_OFF_ADDR(x) (((x) >> S_TLS_TX_REG_OFF_ADDR) & M_TLS_TX_REG_OFF_ADDR)
29682
29683#define A_ULP_TX_TLS_IND_DATA 0x8fbc
29684
29685/* registers for module PM_RX */
29686#define PM_RX_BASE_ADDR 0x8fc0
29687
29688#define A_PM_RX_CFG 0x8fc0
29689#define A_PM_RX_MODE 0x8fc4
29690
29691#define S_RX_USE_BUNDLE_LEN 4
29692#define V_RX_USE_BUNDLE_LEN(x) ((x) << S_RX_USE_BUNDLE_LEN)
29693#define F_RX_USE_BUNDLE_LEN V_RX_USE_BUNDLE_LEN(1U)
29694
29695#define S_STAT_TO_CH 3
29696#define V_STAT_TO_CH(x) ((x) << S_STAT_TO_CH)
29697#define F_STAT_TO_CH V_STAT_TO_CH(1U)
29698
29699#define S_STAT_FROM_CH 1
29700#define M_STAT_FROM_CH 0x3U
29701#define V_STAT_FROM_CH(x) ((x) << S_STAT_FROM_CH)
29702#define G_STAT_FROM_CH(x) (((x) >> S_STAT_FROM_CH) & M_STAT_FROM_CH)
29703
29704#define S_PREFETCH_ENABLE 0
29705#define V_PREFETCH_ENABLE(x) ((x) << S_PREFETCH_ENABLE)
29706#define F_PREFETCH_ENABLE V_PREFETCH_ENABLE(1U)
29707
29708#define A_PM_RX_STAT_CONFIG 0x8fc8
29709#define A_PM_RX_STAT_COUNT 0x8fcc
29710#define A_PM_RX_STAT_LSB 0x8fd0
29711#define A_PM_RX_DBG_CTRL 0x8fd0
29712
29713#define S_OSPIWRBUSY_T5 21
29714#define M_OSPIWRBUSY_T5 0x3U
29715#define V_OSPIWRBUSY_T5(x) ((x) << S_OSPIWRBUSY_T5)
29716#define G_OSPIWRBUSY_T5(x) (((x) >> S_OSPIWRBUSY_T5) & M_OSPIWRBUSY_T5)
29717
29718#define S_ISPIWRBUSY 17
29719#define M_ISPIWRBUSY 0xfU
29720#define V_ISPIWRBUSY(x) ((x) << S_ISPIWRBUSY)
29721#define G_ISPIWRBUSY(x) (((x) >> S_ISPIWRBUSY) & M_ISPIWRBUSY)
29722
29723#define S_PMDBGADDR 0
29724#define M_PMDBGADDR 0x1ffffU
29725#define V_PMDBGADDR(x) ((x) << S_PMDBGADDR)
29726#define G_PMDBGADDR(x) (((x) >> S_PMDBGADDR) & M_PMDBGADDR)
29727
29728#define A_PM_RX_STAT_MSB 0x8fd4
29729#define A_PM_RX_DBG_DATA 0x8fd4
29730#define A_PM_RX_INT_ENABLE 0x8fd8
29731
29732#define S_ZERO_E_CMD_ERROR 22
29733#define V_ZERO_E_CMD_ERROR(x) ((x) << S_ZERO_E_CMD_ERROR)
29734#define F_ZERO_E_CMD_ERROR V_ZERO_E_CMD_ERROR(1U)
29735
29736#define S_IESPI0_FIFO2X_RX_FRAMING_ERROR 21
29737#define V_IESPI0_FIFO2X_RX_FRAMING_ERROR(x) ((x) << S_IESPI0_FIFO2X_RX_FRAMING_ERROR)
29738#define F_IESPI0_FIFO2X_RX_FRAMING_ERROR V_IESPI0_FIFO2X_RX_FRAMING_ERROR(1U)
29739
29740#define S_IESPI1_FIFO2X_RX_FRAMING_ERROR 20
29741#define V_IESPI1_FIFO2X_RX_FRAMING_ERROR(x) ((x) << S_IESPI1_FIFO2X_RX_FRAMING_ERROR)
29742#define F_IESPI1_FIFO2X_RX_FRAMING_ERROR V_IESPI1_FIFO2X_RX_FRAMING_ERROR(1U)
29743
29744#define S_IESPI2_FIFO2X_RX_FRAMING_ERROR 19
29745#define V_IESPI2_FIFO2X_RX_FRAMING_ERROR(x) ((x) << S_IESPI2_FIFO2X_RX_FRAMING_ERROR)
29746#define F_IESPI2_FIFO2X_RX_FRAMING_ERROR V_IESPI2_FIFO2X_RX_FRAMING_ERROR(1U)
29747
29748#define S_IESPI3_FIFO2X_RX_FRAMING_ERROR 18
29749#define V_IESPI3_FIFO2X_RX_FRAMING_ERROR(x) ((x) << S_IESPI3_FIFO2X_RX_FRAMING_ERROR)
29750#define F_IESPI3_FIFO2X_RX_FRAMING_ERROR V_IESPI3_FIFO2X_RX_FRAMING_ERROR(1U)
29751
29752#define S_IESPI0_RX_FRAMING_ERROR 17
29753#define V_IESPI0_RX_FRAMING_ERROR(x) ((x) << S_IESPI0_RX_FRAMING_ERROR)
29754#define F_IESPI0_RX_FRAMING_ERROR V_IESPI0_RX_FRAMING_ERROR(1U)
29755
29756#define S_IESPI1_RX_FRAMING_ERROR 16
29757#define V_IESPI1_RX_FRAMING_ERROR(x) ((x) << S_IESPI1_RX_FRAMING_ERROR)
29758#define F_IESPI1_RX_FRAMING_ERROR V_IESPI1_RX_FRAMING_ERROR(1U)
29759
29760#define S_IESPI2_RX_FRAMING_ERROR 15
29761#define V_IESPI2_RX_FRAMING_ERROR(x) ((x) << S_IESPI2_RX_FRAMING_ERROR)
29762#define F_IESPI2_RX_FRAMING_ERROR V_IESPI2_RX_FRAMING_ERROR(1U)
29763
29764#define S_IESPI3_RX_FRAMING_ERROR 14
29765#define V_IESPI3_RX_FRAMING_ERROR(x) ((x) << S_IESPI3_RX_FRAMING_ERROR)
29766#define F_IESPI3_RX_FRAMING_ERROR V_IESPI3_RX_FRAMING_ERROR(1U)
29767
29768#define S_IESPI0_TX_FRAMING_ERROR 13
29769#define V_IESPI0_TX_FRAMING_ERROR(x) ((x) << S_IESPI0_TX_FRAMING_ERROR)
29770#define F_IESPI0_TX_FRAMING_ERROR V_IESPI0_TX_FRAMING_ERROR(1U)
29771
29772#define S_IESPI1_TX_FRAMING_ERROR 12
29773#define V_IESPI1_TX_FRAMING_ERROR(x) ((x) << S_IESPI1_TX_FRAMING_ERROR)
29774#define F_IESPI1_TX_FRAMING_ERROR V_IESPI1_TX_FRAMING_ERROR(1U)
29775
29776#define S_IESPI2_TX_FRAMING_ERROR 11
29777#define V_IESPI2_TX_FRAMING_ERROR(x) ((x) << S_IESPI2_TX_FRAMING_ERROR)
29778#define F_IESPI2_TX_FRAMING_ERROR V_IESPI2_TX_FRAMING_ERROR(1U)
29779
29780#define S_IESPI3_TX_FRAMING_ERROR 10
29781#define V_IESPI3_TX_FRAMING_ERROR(x) ((x) << S_IESPI3_TX_FRAMING_ERROR)
29782#define F_IESPI3_TX_FRAMING_ERROR V_IESPI3_TX_FRAMING_ERROR(1U)
29783
29784#define S_OCSPI0_RX_FRAMING_ERROR 9
29785#define V_OCSPI0_RX_FRAMING_ERROR(x) ((x) << S_OCSPI0_RX_FRAMING_ERROR)
29786#define F_OCSPI0_RX_FRAMING_ERROR V_OCSPI0_RX_FRAMING_ERROR(1U)
29787
29788#define S_OCSPI1_RX_FRAMING_ERROR 8
29789#define V_OCSPI1_RX_FRAMING_ERROR(x) ((x) << S_OCSPI1_RX_FRAMING_ERROR)
29790#define F_OCSPI1_RX_FRAMING_ERROR V_OCSPI1_RX_FRAMING_ERROR(1U)
29791
29792#define S_OCSPI0_TX_FRAMING_ERROR 7
29793#define V_OCSPI0_TX_FRAMING_ERROR(x) ((x) << S_OCSPI0_TX_FRAMING_ERROR)
29794#define F_OCSPI0_TX_FRAMING_ERROR V_OCSPI0_TX_FRAMING_ERROR(1U)
29795
29796#define S_OCSPI1_TX_FRAMING_ERROR 6
29797#define V_OCSPI1_TX_FRAMING_ERROR(x) ((x) << S_OCSPI1_TX_FRAMING_ERROR)
29798#define F_OCSPI1_TX_FRAMING_ERROR V_OCSPI1_TX_FRAMING_ERROR(1U)
29799
29800#define S_OCSPI0_OFIFO2X_TX_FRAMING_ERROR 5
29801#define V_OCSPI0_OFIFO2X_TX_FRAMING_ERROR(x) ((x) << S_OCSPI0_OFIFO2X_TX_FRAMING_ERROR)
29802#define F_OCSPI0_OFIFO2X_TX_FRAMING_ERROR V_OCSPI0_OFIFO2X_TX_FRAMING_ERROR(1U)
29803
29804#define S_OCSPI1_OFIFO2X_TX_FRAMING_ERROR 4
29805#define V_OCSPI1_OFIFO2X_TX_FRAMING_ERROR(x) ((x) << S_OCSPI1_OFIFO2X_TX_FRAMING_ERROR)
29806#define F_OCSPI1_OFIFO2X_TX_FRAMING_ERROR V_OCSPI1_OFIFO2X_TX_FRAMING_ERROR(1U)
29807
29808#define S_OCSPI_PAR_ERROR 3
29809#define V_OCSPI_PAR_ERROR(x) ((x) << S_OCSPI_PAR_ERROR)
29810#define F_OCSPI_PAR_ERROR V_OCSPI_PAR_ERROR(1U)
29811
29812#define S_DB_OPTIONS_PAR_ERROR 2
29813#define V_DB_OPTIONS_PAR_ERROR(x) ((x) << S_DB_OPTIONS_PAR_ERROR)
29814#define F_DB_OPTIONS_PAR_ERROR V_DB_OPTIONS_PAR_ERROR(1U)
29815
29816#define S_IESPI_PAR_ERROR 1
29817#define V_IESPI_PAR_ERROR(x) ((x) << S_IESPI_PAR_ERROR)
29818#define F_IESPI_PAR_ERROR V_IESPI_PAR_ERROR(1U)
29819
29820#define S_E_PCMD_PAR_ERROR 0
29821#define V_E_PCMD_PAR_ERROR(x) ((x) << S_E_PCMD_PAR_ERROR)
29822#define F_E_PCMD_PAR_ERROR V_E_PCMD_PAR_ERROR(1U)
29823
29824#define S_OSPI_OVERFLOW1 28
29825#define V_OSPI_OVERFLOW1(x) ((x) << S_OSPI_OVERFLOW1)
29826#define F_OSPI_OVERFLOW1 V_OSPI_OVERFLOW1(1U)
29827
29828#define S_OSPI_OVERFLOW0 27
29829#define V_OSPI_OVERFLOW0(x) ((x) << S_OSPI_OVERFLOW0)
29830#define F_OSPI_OVERFLOW0 V_OSPI_OVERFLOW0(1U)
29831
29832#define S_MA_INTF_SDC_ERR 26
29833#define V_MA_INTF_SDC_ERR(x) ((x) << S_MA_INTF_SDC_ERR)
29834#define F_MA_INTF_SDC_ERR V_MA_INTF_SDC_ERR(1U)
29835
29836#define S_BUNDLE_LEN_PARERR 25
29837#define V_BUNDLE_LEN_PARERR(x) ((x) << S_BUNDLE_LEN_PARERR)
29838#define F_BUNDLE_LEN_PARERR V_BUNDLE_LEN_PARERR(1U)
29839
29840#define S_BUNDLE_LEN_OVFL 24
29841#define V_BUNDLE_LEN_OVFL(x) ((x) << S_BUNDLE_LEN_OVFL)
29842#define F_BUNDLE_LEN_OVFL V_BUNDLE_LEN_OVFL(1U)
29843
29844#define S_SDC_ERR 23
29845#define V_SDC_ERR(x) ((x) << S_SDC_ERR)
29846#define F_SDC_ERR V_SDC_ERR(1U)
29847
29848#define A_PM_RX_INT_CAUSE 0x8fdc
29849#define A_PM_RX_ISPI_DBG_4B_DATA0 0x10000
29850#define A_PM_RX_ISPI_DBG_4B_DATA1 0x10001
29851#define A_PM_RX_ISPI_DBG_4B_DATA2 0x10002
29852#define A_PM_RX_ISPI_DBG_4B_DATA3 0x10003
29853#define A_PM_RX_ISPI_DBG_4B_DATA4 0x10004
29854#define A_PM_RX_ISPI_DBG_4B_DATA5 0x10005
29855#define A_PM_RX_ISPI_DBG_4B_DATA6 0x10006
29856#define A_PM_RX_ISPI_DBG_4B_DATA7 0x10007
29857#define A_PM_RX_ISPI_DBG_4B_DATA8 0x10008
29858#define A_PM_RX_OSPI_DBG_4B_DATA0 0x10009
29859#define A_PM_RX_OSPI_DBG_4B_DATA1 0x1000a
29860#define A_PM_RX_OSPI_DBG_4B_DATA2 0x1000b
29861#define A_PM_RX_OSPI_DBG_4B_DATA3 0x1000c
29862#define A_PM_RX_OSPI_DBG_4B_DATA4 0x1000d
29863#define A_PM_RX_OSPI_DBG_4B_DATA5 0x1000e
29864#define A_PM_RX_OSPI_DBG_4B_DATA6 0x1000f
29865#define A_PM_RX_OSPI_DBG_4B_DATA7 0x10010
29866#define A_PM_RX_OSPI_DBG_4B_DATA8 0x10011
29867#define A_PM_RX_OSPI_DBG_4B_DATA9 0x10012
29868#define A_PM_RX_DBG_STAT_MSB 0x10013
29869#define A_PM_RX_DBG_STAT_LSB 0x10014
29870#define A_PM_RX_DBG_RSVD_FLIT_CNT 0x10015
29871
29872#define S_I_TO_O_PATH_RSVD_FLIT_BACKUP 12
29873#define M_I_TO_O_PATH_RSVD_FLIT_BACKUP 0xfU
29874#define V_I_TO_O_PATH_RSVD_FLIT_BACKUP(x) ((x) << S_I_TO_O_PATH_RSVD_FLIT_BACKUP)
29875#define G_I_TO_O_PATH_RSVD_FLIT_BACKUP(x) (((x) >> S_I_TO_O_PATH_RSVD_FLIT_BACKUP) & M_I_TO_O_PATH_RSVD_FLIT_BACKUP)
29876
29877#define S_I_TO_O_PATH_RSVD_FLIT 8
29878#define M_I_TO_O_PATH_RSVD_FLIT 0xfU
29879#define V_I_TO_O_PATH_RSVD_FLIT(x) ((x) << S_I_TO_O_PATH_RSVD_FLIT)
29880#define G_I_TO_O_PATH_RSVD_FLIT(x) (((x) >> S_I_TO_O_PATH_RSVD_FLIT) & M_I_TO_O_PATH_RSVD_FLIT)
29881
29882#define S_PRFCH_RSVD_FLIT 4
29883#define M_PRFCH_RSVD_FLIT 0xfU
29884#define V_PRFCH_RSVD_FLIT(x) ((x) << S_PRFCH_RSVD_FLIT)
29885#define G_PRFCH_RSVD_FLIT(x) (((x) >> S_PRFCH_RSVD_FLIT) & M_PRFCH_RSVD_FLIT)
29886
29887#define S_OSPI_RSVD_FLIT 0
29888#define M_OSPI_RSVD_FLIT 0xfU
29889#define V_OSPI_RSVD_FLIT(x) ((x) << S_OSPI_RSVD_FLIT)
29890#define G_OSPI_RSVD_FLIT(x) (((x) >> S_OSPI_RSVD_FLIT) & M_OSPI_RSVD_FLIT)
29891
29892#define A_PM_RX_SDC_EN 0x10016
29893
29894#define S_SDC_EN 0
29895#define V_SDC_EN(x) ((x) << S_SDC_EN)
29896#define F_SDC_EN V_SDC_EN(1U)
29897
29898#define A_PM_RX_INOUT_FIFO_DBG_CHNL_SEL 0x10017
29899
29900#define S_CHNL_3_SEL 3
29901#define V_CHNL_3_SEL(x) ((x) << S_CHNL_3_SEL)
29902#define F_CHNL_3_SEL V_CHNL_3_SEL(1U)
29903
29904#define S_CHNL_2_SEL 2
29905#define V_CHNL_2_SEL(x) ((x) << S_CHNL_2_SEL)
29906#define F_CHNL_2_SEL V_CHNL_2_SEL(1U)
29907
29908#define S_CHNL_1_SEL 1
29909#define V_CHNL_1_SEL(x) ((x) << S_CHNL_1_SEL)
29910#define F_CHNL_1_SEL V_CHNL_1_SEL(1U)
29911
29912#define S_CHNL_0_SEL 0
29913#define V_CHNL_0_SEL(x) ((x) << S_CHNL_0_SEL)
29914#define F_CHNL_0_SEL V_CHNL_0_SEL(1U)
29915
29916#define A_PM_RX_INOUT_FIFO_DBG_WR 0x10018
29917
29918#define S_O_FIFO_WRITE 3
29919#define V_O_FIFO_WRITE(x) ((x) << S_O_FIFO_WRITE)
29920#define F_O_FIFO_WRITE V_O_FIFO_WRITE(1U)
29921
29922#define S_I_FIFO_WRITE 2
29923#define V_I_FIFO_WRITE(x) ((x) << S_I_FIFO_WRITE)
29924#define F_I_FIFO_WRITE V_I_FIFO_WRITE(1U)
29925
29926#define S_O_FIFO_READ 1
29927#define V_O_FIFO_READ(x) ((x) << S_O_FIFO_READ)
29928#define F_O_FIFO_READ V_O_FIFO_READ(1U)
29929
29930#define S_I_FIFO_READ 0
29931#define V_I_FIFO_READ(x) ((x) << S_I_FIFO_READ)
29932#define F_I_FIFO_READ V_I_FIFO_READ(1U)
29933
29934#define A_PM_RX_INPUT_FIFO_STR_FWD_EN 0x10019
29935
29936#define S_ISPI_STR_FWD_EN 0
29937#define V_ISPI_STR_FWD_EN(x) ((x) << S_ISPI_STR_FWD_EN)
29938#define F_ISPI_STR_FWD_EN V_ISPI_STR_FWD_EN(1U)
29939
29940#define A_PM_RX_PRFTCH_ACROSS_BNDLE_EN 0x1001a
29941
29942#define S_PRFTCH_ACROSS_BNDLE_EN 0
29943#define V_PRFTCH_ACROSS_BNDLE_EN(x) ((x) << S_PRFTCH_ACROSS_BNDLE_EN)
29944#define F_PRFTCH_ACROSS_BNDLE_EN V_PRFTCH_ACROSS_BNDLE_EN(1U)
29945
29946#define A_PM_RX_PRFTCH_WRR_ENABLE 0x1001b
29947
29948#define S_PRFTCH_WRR_ENABLE 0
29949#define V_PRFTCH_WRR_ENABLE(x) ((x) << S_PRFTCH_WRR_ENABLE)
29950#define F_PRFTCH_WRR_ENABLE V_PRFTCH_WRR_ENABLE(1U)
29951
29952#define A_PM_RX_PRFTCH_WRR_MAX_DEFICIT_CNT 0x1001c
29953
29954#define S_CHNL1_MAX_DEFICIT_CNT 16
29955#define M_CHNL1_MAX_DEFICIT_CNT 0xffffU
29956#define V_CHNL1_MAX_DEFICIT_CNT(x) ((x) << S_CHNL1_MAX_DEFICIT_CNT)
29957#define G_CHNL1_MAX_DEFICIT_CNT(x) (((x) >> S_CHNL1_MAX_DEFICIT_CNT) & M_CHNL1_MAX_DEFICIT_CNT)
29958
29959#define S_CHNL0_MAX_DEFICIT_CNT 0
29960#define M_CHNL0_MAX_DEFICIT_CNT 0xffffU
29961#define V_CHNL0_MAX_DEFICIT_CNT(x) ((x) << S_CHNL0_MAX_DEFICIT_CNT)
29962#define G_CHNL0_MAX_DEFICIT_CNT(x) (((x) >> S_CHNL0_MAX_DEFICIT_CNT) & M_CHNL0_MAX_DEFICIT_CNT)
29963
29964#define A_PM_RX_FEATURE_EN 0x1001d
29965
29966#define S_PIO_CH_DEFICIT_CTL_EN_RX 0
29967#define V_PIO_CH_DEFICIT_CTL_EN_RX(x) ((x) << S_PIO_CH_DEFICIT_CTL_EN_RX)
29968#define F_PIO_CH_DEFICIT_CTL_EN_RX V_PIO_CH_DEFICIT_CTL_EN_RX(1U)
29969
29970#define A_PM_RX_CH0_OSPI_DEFICIT_THRSHLD 0x1001e
29971
29972#define S_CH0_OSPI_DEFICIT_THRSHLD 0
29973#define M_CH0_OSPI_DEFICIT_THRSHLD 0xfffU
29974#define V_CH0_OSPI_DEFICIT_THRSHLD(x) ((x) << S_CH0_OSPI_DEFICIT_THRSHLD)
29975#define G_CH0_OSPI_DEFICIT_THRSHLD(x) (((x) >> S_CH0_OSPI_DEFICIT_THRSHLD) & M_CH0_OSPI_DEFICIT_THRSHLD)
29976
29977#define A_PM_RX_CH1_OSPI_DEFICIT_THRSHLD 0x1001f
29978
29979#define S_CH1_OSPI_DEFICIT_THRSHLD 0
29980#define M_CH1_OSPI_DEFICIT_THRSHLD 0xfffU
29981#define V_CH1_OSPI_DEFICIT_THRSHLD(x) ((x) << S_CH1_OSPI_DEFICIT_THRSHLD)
29982#define G_CH1_OSPI_DEFICIT_THRSHLD(x) (((x) >> S_CH1_OSPI_DEFICIT_THRSHLD) & M_CH1_OSPI_DEFICIT_THRSHLD)
29983
29984#define A_PM_RX_INT_CAUSE_MASK_HALT 0x10020
29985#define A_PM_RX_DBG_STAT0 0x10021
29986
29987#define S_RX_RD_I_BUSY 29
29988#define V_RX_RD_I_BUSY(x) ((x) << S_RX_RD_I_BUSY)
29989#define F_RX_RD_I_BUSY V_RX_RD_I_BUSY(1U)
29990
29991#define S_RX_WR_TO_O_BUSY 28
29992#define V_RX_WR_TO_O_BUSY(x) ((x) << S_RX_WR_TO_O_BUSY)
29993#define F_RX_WR_TO_O_BUSY V_RX_WR_TO_O_BUSY(1U)
29994
29995#define S_RX_M_TO_O_BUSY 27
29996#define V_RX_M_TO_O_BUSY(x) ((x) << S_RX_M_TO_O_BUSY)
29997#define F_RX_M_TO_O_BUSY V_RX_M_TO_O_BUSY(1U)
29998
29999#define S_RX_I_TO_M_BUSY 26
30000#define V_RX_I_TO_M_BUSY(x) ((x) << S_RX_I_TO_M_BUSY)
30001#define F_RX_I_TO_M_BUSY V_RX_I_TO_M_BUSY(1U)
30002
30003#define S_RX_PCMD_FB_ONLY 25
30004#define V_RX_PCMD_FB_ONLY(x) ((x) << S_RX_PCMD_FB_ONLY)
30005#define F_RX_PCMD_FB_ONLY V_RX_PCMD_FB_ONLY(1U)
30006
30007#define S_RX_PCMD_MEM 24
30008#define V_RX_PCMD_MEM(x) ((x) << S_RX_PCMD_MEM)
30009#define F_RX_PCMD_MEM V_RX_PCMD_MEM(1U)
30010
30011#define S_RX_PCMD_BYPASS 23
30012#define V_RX_PCMD_BYPASS(x) ((x) << S_RX_PCMD_BYPASS)
30013#define F_RX_PCMD_BYPASS V_RX_PCMD_BYPASS(1U)
30014
30015#define S_RX_PCMD_EOP 22
30016#define V_RX_PCMD_EOP(x) ((x) << S_RX_PCMD_EOP)
30017#define F_RX_PCMD_EOP V_RX_PCMD_EOP(1U)
30018
30019#define S_RX_DUMPLICATE_PCMD_EOP 21
30020#define V_RX_DUMPLICATE_PCMD_EOP(x) ((x) << S_RX_DUMPLICATE_PCMD_EOP)
30021#define F_RX_DUMPLICATE_PCMD_EOP V_RX_DUMPLICATE_PCMD_EOP(1U)
30022
30023#define S_RX_PCMD_EOB 20
30024#define V_RX_PCMD_EOB(x) ((x) << S_RX_PCMD_EOB)
30025#define F_RX_PCMD_EOB V_RX_PCMD_EOB(1U)
30026
30027#define S_RX_PCMD_FB 16
30028#define M_RX_PCMD_FB 0xfU
30029#define V_RX_PCMD_FB(x) ((x) << S_RX_PCMD_FB)
30030#define G_RX_PCMD_FB(x) (((x) >> S_RX_PCMD_FB) & M_RX_PCMD_FB)
30031
30032#define S_RX_PCMD_LEN 0
30033#define M_RX_PCMD_LEN 0xffffU
30034#define V_RX_PCMD_LEN(x) ((x) << S_RX_PCMD_LEN)
30035#define G_RX_PCMD_LEN(x) (((x) >> S_RX_PCMD_LEN) & M_RX_PCMD_LEN)
30036
30037#define A_PM_RX_DBG_STAT1 0x10022
30038
30039#define S_RX_PCMD0_MEM 30
30040#define V_RX_PCMD0_MEM(x) ((x) << S_RX_PCMD0_MEM)
30041#define F_RX_PCMD0_MEM V_RX_PCMD0_MEM(1U)
30042
30043#define S_RX_FREE_OSPI_CNT0 18
30044#define M_RX_FREE_OSPI_CNT0 0xfffU
30045#define V_RX_FREE_OSPI_CNT0(x) ((x) << S_RX_FREE_OSPI_CNT0)
30046#define G_RX_FREE_OSPI_CNT0(x) (((x) >> S_RX_FREE_OSPI_CNT0) & M_RX_FREE_OSPI_CNT0)
30047
30048#define S_RX_PCMD0_FLIT_LEN 6
30049#define M_RX_PCMD0_FLIT_LEN 0xfffU
30050#define V_RX_PCMD0_FLIT_LEN(x) ((x) << S_RX_PCMD0_FLIT_LEN)
30051#define G_RX_PCMD0_FLIT_LEN(x) (((x) >> S_RX_PCMD0_FLIT_LEN) & M_RX_PCMD0_FLIT_LEN)
30052
30053#define S_RX_PCMD0_CMD 2
30054#define M_RX_PCMD0_CMD 0xfU
30055#define V_RX_PCMD0_CMD(x) ((x) << S_RX_PCMD0_CMD)
30056#define G_RX_PCMD0_CMD(x) (((x) >> S_RX_PCMD0_CMD) & M_RX_PCMD0_CMD)
30057
30058#define S_RX_OFIFO_FULL0 1
30059#define V_RX_OFIFO_FULL0(x) ((x) << S_RX_OFIFO_FULL0)
30060#define F_RX_OFIFO_FULL0 V_RX_OFIFO_FULL0(1U)
30061
30062#define S_RX_PCMD0_BYPASS 0
30063#define V_RX_PCMD0_BYPASS(x) ((x) << S_RX_PCMD0_BYPASS)
30064#define F_RX_PCMD0_BYPASS V_RX_PCMD0_BYPASS(1U)
30065
30066#define A_PM_RX_DBG_STAT2 0x10023
30067
30068#define S_RX_PCMD1_MEM 30
30069#define V_RX_PCMD1_MEM(x) ((x) << S_RX_PCMD1_MEM)
30070#define F_RX_PCMD1_MEM V_RX_PCMD1_MEM(1U)
30071
30072#define S_RX_FREE_OSPI_CNT1 18
30073#define M_RX_FREE_OSPI_CNT1 0xfffU
30074#define V_RX_FREE_OSPI_CNT1(x) ((x) << S_RX_FREE_OSPI_CNT1)
30075#define G_RX_FREE_OSPI_CNT1(x) (((x) >> S_RX_FREE_OSPI_CNT1) & M_RX_FREE_OSPI_CNT1)
30076
30077#define S_RX_PCMD1_FLIT_LEN 6
30078#define M_RX_PCMD1_FLIT_LEN 0xfffU
30079#define V_RX_PCMD1_FLIT_LEN(x) ((x) << S_RX_PCMD1_FLIT_LEN)
30080#define G_RX_PCMD1_FLIT_LEN(x) (((x) >> S_RX_PCMD1_FLIT_LEN) & M_RX_PCMD1_FLIT_LEN)
30081
30082#define S_RX_PCMD1_CMD 2
30083#define M_RX_PCMD1_CMD 0xfU
30084#define V_RX_PCMD1_CMD(x) ((x) << S_RX_PCMD1_CMD)
30085#define G_RX_PCMD1_CMD(x) (((x) >> S_RX_PCMD1_CMD) & M_RX_PCMD1_CMD)
30086
30087#define S_RX_OFIFO_FULL1 1
30088#define V_RX_OFIFO_FULL1(x) ((x) << S_RX_OFIFO_FULL1)
30089#define F_RX_OFIFO_FULL1 V_RX_OFIFO_FULL1(1U)
30090
30091#define S_RX_PCMD1_BYPASS 0
30092#define V_RX_PCMD1_BYPASS(x) ((x) << S_RX_PCMD1_BYPASS)
30093#define F_RX_PCMD1_BYPASS V_RX_PCMD1_BYPASS(1U)
30094
30095#define A_PM_RX_DBG_STAT3 0x10024
30096
30097#define S_RX_SET_PCMD_RES_RDY_RD 10
30098#define M_RX_SET_PCMD_RES_RDY_RD 0x3U
30099#define V_RX_SET_PCMD_RES_RDY_RD(x) ((x) << S_RX_SET_PCMD_RES_RDY_RD)
30100#define G_RX_SET_PCMD_RES_RDY_RD(x) (((x) >> S_RX_SET_PCMD_RES_RDY_RD) & M_RX_SET_PCMD_RES_RDY_RD)
30101
30102#define S_RX_ISSUED_PREFETCH_RD_E_CLR 8
30103#define M_RX_ISSUED_PREFETCH_RD_E_CLR 0x3U
30104#define V_RX_ISSUED_PREFETCH_RD_E_CLR(x) ((x) << S_RX_ISSUED_PREFETCH_RD_E_CLR)
30105#define G_RX_ISSUED_PREFETCH_RD_E_CLR(x) (((x) >> S_RX_ISSUED_PREFETCH_RD_E_CLR) & M_RX_ISSUED_PREFETCH_RD_E_CLR)
30106
30107#define S_RX_ISSUED_PREFETCH_RD 6
30108#define M_RX_ISSUED_PREFETCH_RD 0x3U
30109#define V_RX_ISSUED_PREFETCH_RD(x) ((x) << S_RX_ISSUED_PREFETCH_RD)
30110#define G_RX_ISSUED_PREFETCH_RD(x) (((x) >> S_RX_ISSUED_PREFETCH_RD) & M_RX_ISSUED_PREFETCH_RD)
30111
30112#define S_RX_PCMD_RES_RDY 4
30113#define M_RX_PCMD_RES_RDY 0x3U
30114#define V_RX_PCMD_RES_RDY(x) ((x) << S_RX_PCMD_RES_RDY)
30115#define G_RX_PCMD_RES_RDY(x) (((x) >> S_RX_PCMD_RES_RDY) & M_RX_PCMD_RES_RDY)
30116
30117#define S_RX_DB_VLD 3
30118#define V_RX_DB_VLD(x) ((x) << S_RX_DB_VLD)
30119#define F_RX_DB_VLD V_RX_DB_VLD(1U)
30120
30121#define S_RX_FIRST_BUNDLE 1
30122#define M_RX_FIRST_BUNDLE 0x3U
30123#define V_RX_FIRST_BUNDLE(x) ((x) << S_RX_FIRST_BUNDLE)
30124#define G_RX_FIRST_BUNDLE(x) (((x) >> S_RX_FIRST_BUNDLE) & M_RX_FIRST_BUNDLE)
30125
30126#define S_RX_SDC_DRDY 0
30127#define V_RX_SDC_DRDY(x) ((x) << S_RX_SDC_DRDY)
30128#define F_RX_SDC_DRDY V_RX_SDC_DRDY(1U)
30129
30130#define A_PM_RX_DBG_STAT4 0x10025
30131
30132#define S_RX_PCMD_VLD 26
30133#define V_RX_PCMD_VLD(x) ((x) << S_RX_PCMD_VLD)
30134#define F_RX_PCMD_VLD V_RX_PCMD_VLD(1U)
30135
30136#define S_RX_PCMD_TO_CH 25
30137#define V_RX_PCMD_TO_CH(x) ((x) << S_RX_PCMD_TO_CH)
30138#define F_RX_PCMD_TO_CH V_RX_PCMD_TO_CH(1U)
30139
30140#define S_RX_PCMD_FROM_CH 23
30141#define M_RX_PCMD_FROM_CH 0x3U
30142#define V_RX_PCMD_FROM_CH(x) ((x) << S_RX_PCMD_FROM_CH)
30143#define G_RX_PCMD_FROM_CH(x) (((x) >> S_RX_PCMD_FROM_CH) & M_RX_PCMD_FROM_CH)
30144
30145#define S_RX_LINE 18
30146#define M_RX_LINE 0x1fU
30147#define V_RX_LINE(x) ((x) << S_RX_LINE)
30148#define G_RX_LINE(x) (((x) >> S_RX_LINE) & M_RX_LINE)
30149
30150#define S_RX_IESPI_TXVALID 14
30151#define M_RX_IESPI_TXVALID 0xfU
30152#define V_RX_IESPI_TXVALID(x) ((x) << S_RX_IESPI_TXVALID)
30153#define G_RX_IESPI_TXVALID(x) (((x) >> S_RX_IESPI_TXVALID) & M_RX_IESPI_TXVALID)
30154
30155#define S_RX_IESPI_TXFULL 10
30156#define M_RX_IESPI_TXFULL 0xfU
30157#define V_RX_IESPI_TXFULL(x) ((x) << S_RX_IESPI_TXFULL)
30158#define G_RX_IESPI_TXFULL(x) (((x) >> S_RX_IESPI_TXFULL) & M_RX_IESPI_TXFULL)
30159
30160#define S_RX_PCMD_SRDY 8
30161#define M_RX_PCMD_SRDY 0x3U
30162#define V_RX_PCMD_SRDY(x) ((x) << S_RX_PCMD_SRDY)
30163#define G_RX_PCMD_SRDY(x) (((x) >> S_RX_PCMD_SRDY) & M_RX_PCMD_SRDY)
30164
30165#define S_RX_PCMD_DRDY 6
30166#define M_RX_PCMD_DRDY 0x3U
30167#define V_RX_PCMD_DRDY(x) ((x) << S_RX_PCMD_DRDY)
30168#define G_RX_PCMD_DRDY(x) (((x) >> S_RX_PCMD_DRDY) & M_RX_PCMD_DRDY)
30169
30170#define S_RX_PCMD_CMD 2
30171#define M_RX_PCMD_CMD 0xfU
30172#define V_RX_PCMD_CMD(x) ((x) << S_RX_PCMD_CMD)
30173#define G_RX_PCMD_CMD(x) (((x) >> S_RX_PCMD_CMD) & M_RX_PCMD_CMD)
30174
30175#define S_DUPLICATE 0
30176#define M_DUPLICATE 0x3U
30177#define V_DUPLICATE(x) ((x) << S_DUPLICATE)
30178#define G_DUPLICATE(x) (((x) >> S_DUPLICATE) & M_DUPLICATE)
30179
30180#define S_RX_PCMD_SRDY_STAT4 8
30181#define M_RX_PCMD_SRDY_STAT4 0x3U
30182#define V_RX_PCMD_SRDY_STAT4(x) ((x) << S_RX_PCMD_SRDY_STAT4)
30183#define G_RX_PCMD_SRDY_STAT4(x) (((x) >> S_RX_PCMD_SRDY_STAT4) & M_RX_PCMD_SRDY_STAT4)
30184
30185#define S_RX_PCMD_DRDY_STAT4 6
30186#define M_RX_PCMD_DRDY_STAT4 0x3U
30187#define V_RX_PCMD_DRDY_STAT4(x) ((x) << S_RX_PCMD_DRDY_STAT4)
30188#define G_RX_PCMD_DRDY_STAT4(x) (((x) >> S_RX_PCMD_DRDY_STAT4) & M_RX_PCMD_DRDY_STAT4)
30189
30190#define A_PM_RX_DBG_STAT5 0x10026
30191
30192#define S_RX_ATLST_1_PCMD_CH1 29
30193#define V_RX_ATLST_1_PCMD_CH1(x) ((x) << S_RX_ATLST_1_PCMD_CH1)
30194#define F_RX_ATLST_1_PCMD_CH1 V_RX_ATLST_1_PCMD_CH1(1U)
30195
30196#define S_RX_ATLST_1_PCMD_CH0 28
30197#define V_RX_ATLST_1_PCMD_CH0(x) ((x) << S_RX_ATLST_1_PCMD_CH0)
30198#define F_RX_ATLST_1_PCMD_CH0 V_RX_ATLST_1_PCMD_CH0(1U)
30199
30200#define S_T5_RX_PCMD_DRDY 26
30201#define M_T5_RX_PCMD_DRDY 0x3U
30202#define V_T5_RX_PCMD_DRDY(x) ((x) << S_T5_RX_PCMD_DRDY)
30203#define G_T5_RX_PCMD_DRDY(x) (((x) >> S_T5_RX_PCMD_DRDY) & M_T5_RX_PCMD_DRDY)
30204
30205#define S_T5_RX_PCMD_SRDY 24
30206#define M_T5_RX_PCMD_SRDY 0x3U
30207#define V_T5_RX_PCMD_SRDY(x) ((x) << S_T5_RX_PCMD_SRDY)
30208#define G_T5_RX_PCMD_SRDY(x) (((x) >> S_T5_RX_PCMD_SRDY) & M_T5_RX_PCMD_SRDY)
30209
30210#define S_RX_ISPI_TXVALID 20
30211#define M_RX_ISPI_TXVALID 0xfU
30212#define V_RX_ISPI_TXVALID(x) ((x) << S_RX_ISPI_TXVALID)
30213#define G_RX_ISPI_TXVALID(x) (((x) >> S_RX_ISPI_TXVALID) & M_RX_ISPI_TXVALID)
30214
30215#define S_RX_ISPI_FULL 16
30216#define M_RX_ISPI_FULL 0xfU
30217#define V_RX_ISPI_FULL(x) ((x) << S_RX_ISPI_FULL)
30218#define G_RX_ISPI_FULL(x) (((x) >> S_RX_ISPI_FULL) & M_RX_ISPI_FULL)
30219
30220#define S_RX_OSPI_TXVALID 14
30221#define M_RX_OSPI_TXVALID 0x3U
30222#define V_RX_OSPI_TXVALID(x) ((x) << S_RX_OSPI_TXVALID)
30223#define G_RX_OSPI_TXVALID(x) (((x) >> S_RX_OSPI_TXVALID) & M_RX_OSPI_TXVALID)
30224
30225#define S_RX_OSPI_FULL 12
30226#define M_RX_OSPI_FULL 0x3U
30227#define V_RX_OSPI_FULL(x) ((x) << S_RX_OSPI_FULL)
30228#define G_RX_OSPI_FULL(x) (((x) >> S_RX_OSPI_FULL) & M_RX_OSPI_FULL)
30229
30230#define S_RX_E_RXVALID 8
30231#define M_RX_E_RXVALID 0xfU
30232#define V_RX_E_RXVALID(x) ((x) << S_RX_E_RXVALID)
30233#define G_RX_E_RXVALID(x) (((x) >> S_RX_E_RXVALID) & M_RX_E_RXVALID)
30234
30235#define S_RX_E_RXAFULL 4
30236#define M_RX_E_RXAFULL 0xfU
30237#define V_RX_E_RXAFULL(x) ((x) << S_RX_E_RXAFULL)
30238#define G_RX_E_RXAFULL(x) (((x) >> S_RX_E_RXAFULL) & M_RX_E_RXAFULL)
30239
30240#define S_RX_C_TXVALID 2
30241#define M_RX_C_TXVALID 0x3U
30242#define V_RX_C_TXVALID(x) ((x) << S_RX_C_TXVALID)
30243#define G_RX_C_TXVALID(x) (((x) >> S_RX_C_TXVALID) & M_RX_C_TXVALID)
30244
30245#define S_RX_C_TXAFULL 0
30246#define M_RX_C_TXAFULL 0x3U
30247#define V_RX_C_TXAFULL(x) ((x) << S_RX_C_TXAFULL)
30248#define G_RX_C_TXAFULL(x) (((x) >> S_RX_C_TXAFULL) & M_RX_C_TXAFULL)
30249
30250#define S_T6_RX_PCMD_DRDY 26
30251#define M_T6_RX_PCMD_DRDY 0x3U
30252#define V_T6_RX_PCMD_DRDY(x) ((x) << S_T6_RX_PCMD_DRDY)
30253#define G_T6_RX_PCMD_DRDY(x) (((x) >> S_T6_RX_PCMD_DRDY) & M_T6_RX_PCMD_DRDY)
30254
30255#define S_T6_RX_PCMD_SRDY 24
30256#define M_T6_RX_PCMD_SRDY 0x3U
30257#define V_T6_RX_PCMD_SRDY(x) ((x) << S_T6_RX_PCMD_SRDY)
30258#define G_T6_RX_PCMD_SRDY(x) (((x) >> S_T6_RX_PCMD_SRDY) & M_T6_RX_PCMD_SRDY)
30259
30260#define A_PM_RX_DBG_STAT6 0x10027
30261
30262#define S_RX_M_INTRNL_FIFO_CNT 4
30263#define M_RX_M_INTRNL_FIFO_CNT 0x3U
30264#define V_RX_M_INTRNL_FIFO_CNT(x) ((x) << S_RX_M_INTRNL_FIFO_CNT)
30265#define G_RX_M_INTRNL_FIFO_CNT(x) (((x) >> S_RX_M_INTRNL_FIFO_CNT) & M_RX_M_INTRNL_FIFO_CNT)
30266
30267#define S_RX_M_REQADDRRDY 3
30268#define V_RX_M_REQADDRRDY(x) ((x) << S_RX_M_REQADDRRDY)
30269#define F_RX_M_REQADDRRDY V_RX_M_REQADDRRDY(1U)
30270
30271#define S_RX_M_REQWRITE 2
30272#define V_RX_M_REQWRITE(x) ((x) << S_RX_M_REQWRITE)
30273#define F_RX_M_REQWRITE V_RX_M_REQWRITE(1U)
30274
30275#define S_RX_M_REQDATAVLD 1
30276#define V_RX_M_REQDATAVLD(x) ((x) << S_RX_M_REQDATAVLD)
30277#define F_RX_M_REQDATAVLD V_RX_M_REQDATAVLD(1U)
30278
30279#define S_RX_M_REQDATARDY 0
30280#define V_RX_M_REQDATARDY(x) ((x) << S_RX_M_REQDATARDY)
30281#define F_RX_M_REQDATARDY V_RX_M_REQDATARDY(1U)
30282
30283#define S_T6_RX_M_INTRNL_FIFO_CNT 7
30284#define M_T6_RX_M_INTRNL_FIFO_CNT 0x3U
30285#define V_T6_RX_M_INTRNL_FIFO_CNT(x) ((x) << S_T6_RX_M_INTRNL_FIFO_CNT)
30286#define G_T6_RX_M_INTRNL_FIFO_CNT(x) (((x) >> S_T6_RX_M_INTRNL_FIFO_CNT) & M_T6_RX_M_INTRNL_FIFO_CNT)
30287
30288#define S_RX_M_RSPVLD 6
30289#define V_RX_M_RSPVLD(x) ((x) << S_RX_M_RSPVLD)
30290#define F_RX_M_RSPVLD V_RX_M_RSPVLD(1U)
30291
30292#define S_RX_M_RSPRDY 5
30293#define V_RX_M_RSPRDY(x) ((x) << S_RX_M_RSPRDY)
30294#define F_RX_M_RSPRDY V_RX_M_RSPRDY(1U)
30295
30296#define S_RX_M_REQADDRVLD 4
30297#define V_RX_M_REQADDRVLD(x) ((x) << S_RX_M_REQADDRVLD)
30298#define F_RX_M_REQADDRVLD V_RX_M_REQADDRVLD(1U)
30299
30300#define A_PM_RX_DBG_STAT7 0x10028
30301
30302#define S_RX_PCMD1_FREE_CNT 7
30303#define M_RX_PCMD1_FREE_CNT 0x7fU
30304#define V_RX_PCMD1_FREE_CNT(x) ((x) << S_RX_PCMD1_FREE_CNT)
30305#define G_RX_PCMD1_FREE_CNT(x) (((x) >> S_RX_PCMD1_FREE_CNT) & M_RX_PCMD1_FREE_CNT)
30306
30307#define S_RX_PCMD0_FREE_CNT 0
30308#define M_RX_PCMD0_FREE_CNT 0x7fU
30309#define V_RX_PCMD0_FREE_CNT(x) ((x) << S_RX_PCMD0_FREE_CNT)
30310#define G_RX_PCMD0_FREE_CNT(x) (((x) >> S_RX_PCMD0_FREE_CNT) & M_RX_PCMD0_FREE_CNT)
30311
30312#define A_PM_RX_DBG_STAT8 0x10029
30313
30314#define S_RX_IN_EOP_CNT3 28
30315#define M_RX_IN_EOP_CNT3 0xfU
30316#define V_RX_IN_EOP_CNT3(x) ((x) << S_RX_IN_EOP_CNT3)
30317#define G_RX_IN_EOP_CNT3(x) (((x) >> S_RX_IN_EOP_CNT3) & M_RX_IN_EOP_CNT3)
30318
30319#define S_RX_IN_EOP_CNT2 24
30320#define M_RX_IN_EOP_CNT2 0xfU
30321#define V_RX_IN_EOP_CNT2(x) ((x) << S_RX_IN_EOP_CNT2)
30322#define G_RX_IN_EOP_CNT2(x) (((x) >> S_RX_IN_EOP_CNT2) & M_RX_IN_EOP_CNT2)
30323
30324#define S_RX_IN_EOP_CNT1 20
30325#define M_RX_IN_EOP_CNT1 0xfU
30326#define V_RX_IN_EOP_CNT1(x) ((x) << S_RX_IN_EOP_CNT1)
30327#define G_RX_IN_EOP_CNT1(x) (((x) >> S_RX_IN_EOP_CNT1) & M_RX_IN_EOP_CNT1)
30328
30329#define S_RX_IN_EOP_CNT0 16
30330#define M_RX_IN_EOP_CNT0 0xfU
30331#define V_RX_IN_EOP_CNT0(x) ((x) << S_RX_IN_EOP_CNT0)
30332#define G_RX_IN_EOP_CNT0(x) (((x) >> S_RX_IN_EOP_CNT0) & M_RX_IN_EOP_CNT0)
30333
30334#define S_RX_IN_SOP_CNT3 12
30335#define M_RX_IN_SOP_CNT3 0xfU
30336#define V_RX_IN_SOP_CNT3(x) ((x) << S_RX_IN_SOP_CNT3)
30337#define G_RX_IN_SOP_CNT3(x) (((x) >> S_RX_IN_SOP_CNT3) & M_RX_IN_SOP_CNT3)
30338
30339#define S_RX_IN_SOP_CNT2 8
30340#define M_RX_IN_SOP_CNT2 0xfU
30341#define V_RX_IN_SOP_CNT2(x) ((x) << S_RX_IN_SOP_CNT2)
30342#define G_RX_IN_SOP_CNT2(x) (((x) >> S_RX_IN_SOP_CNT2) & M_RX_IN_SOP_CNT2)
30343
30344#define S_RX_IN_SOP_CNT1 4
30345#define M_RX_IN_SOP_CNT1 0xfU
30346#define V_RX_IN_SOP_CNT1(x) ((x) << S_RX_IN_SOP_CNT1)
30347#define G_RX_IN_SOP_CNT1(x) (((x) >> S_RX_IN_SOP_CNT1) & M_RX_IN_SOP_CNT1)
30348
30349#define S_RX_IN_SOP_CNT0 0
30350#define M_RX_IN_SOP_CNT0 0xfU
30351#define V_RX_IN_SOP_CNT0(x) ((x) << S_RX_IN_SOP_CNT0)
30352#define G_RX_IN_SOP_CNT0(x) (((x) >> S_RX_IN_SOP_CNT0) & M_RX_IN_SOP_CNT0)
30353
30354#define A_PM_RX_DBG_STAT9 0x1002a
30355
30356#define S_RX_RSVD0 28
30357#define M_RX_RSVD0 0xfU
30358#define V_RX_RSVD0(x) ((x) << S_RX_RSVD0)
30359#define G_RX_RSVD0(x) (((x) >> S_RX_RSVD0) & M_RX_RSVD0)
30360
30361#define S_RX_RSVD1 24
30362#define M_RX_RSVD1 0xfU
30363#define V_RX_RSVD1(x) ((x) << S_RX_RSVD1)
30364#define G_RX_RSVD1(x) (((x) >> S_RX_RSVD1) & M_RX_RSVD1)
30365
30366#define S_RX_OUT_EOP_CNT1 20
30367#define M_RX_OUT_EOP_CNT1 0xfU
30368#define V_RX_OUT_EOP_CNT1(x) ((x) << S_RX_OUT_EOP_CNT1)
30369#define G_RX_OUT_EOP_CNT1(x) (((x) >> S_RX_OUT_EOP_CNT1) & M_RX_OUT_EOP_CNT1)
30370
30371#define S_RX_OUT_EOP_CNT0 16
30372#define M_RX_OUT_EOP_CNT0 0xfU
30373#define V_RX_OUT_EOP_CNT0(x) ((x) << S_RX_OUT_EOP_CNT0)
30374#define G_RX_OUT_EOP_CNT0(x) (((x) >> S_RX_OUT_EOP_CNT0) & M_RX_OUT_EOP_CNT0)
30375
30376#define S_RX_RSVD2 12
30377#define M_RX_RSVD2 0xfU
30378#define V_RX_RSVD2(x) ((x) << S_RX_RSVD2)
30379#define G_RX_RSVD2(x) (((x) >> S_RX_RSVD2) & M_RX_RSVD2)
30380
30381#define S_RX_RSVD3 8
30382#define M_RX_RSVD3 0xfU
30383#define V_RX_RSVD3(x) ((x) << S_RX_RSVD3)
30384#define G_RX_RSVD3(x) (((x) >> S_RX_RSVD3) & M_RX_RSVD3)
30385
30386#define S_RX_OUT_SOP_CNT1 4
30387#define M_RX_OUT_SOP_CNT1 0xfU
30388#define V_RX_OUT_SOP_CNT1(x) ((x) << S_RX_OUT_SOP_CNT1)
30389#define G_RX_OUT_SOP_CNT1(x) (((x) >> S_RX_OUT_SOP_CNT1) & M_RX_OUT_SOP_CNT1)
30390
30391#define S_RX_OUT_SOP_CNT0 0
30392#define M_RX_OUT_SOP_CNT0 0xfU
30393#define V_RX_OUT_SOP_CNT0(x) ((x) << S_RX_OUT_SOP_CNT0)
30394#define G_RX_OUT_SOP_CNT0(x) (((x) >> S_RX_OUT_SOP_CNT0) & M_RX_OUT_SOP_CNT0)
30395
30396#define A_PM_RX_DBG_STAT10 0x1002b
30397
30398#define S_RX_CH_DEFICIT_BLOWED 24
30399#define V_RX_CH_DEFICIT_BLOWED(x) ((x) << S_RX_CH_DEFICIT_BLOWED)
30400#define F_RX_CH_DEFICIT_BLOWED V_RX_CH_DEFICIT_BLOWED(1U)
30401
30402#define S_RX_CH1_DEFICIT 12
30403#define M_RX_CH1_DEFICIT 0xfffU
30404#define V_RX_CH1_DEFICIT(x) ((x) << S_RX_CH1_DEFICIT)
30405#define G_RX_CH1_DEFICIT(x) (((x) >> S_RX_CH1_DEFICIT) & M_RX_CH1_DEFICIT)
30406
30407#define S_RX_CH0_DEFICIT 0
30408#define M_RX_CH0_DEFICIT 0xfffU
30409#define V_RX_CH0_DEFICIT(x) ((x) << S_RX_CH0_DEFICIT)
30410#define G_RX_CH0_DEFICIT(x) (((x) >> S_RX_CH0_DEFICIT) & M_RX_CH0_DEFICIT)
30411
30412#define A_PM_RX_DBG_STAT11 0x1002c
30413
30414#define S_RX_BUNDLE_LEN_SRDY 30
30415#define M_RX_BUNDLE_LEN_SRDY 0x3U
30416#define V_RX_BUNDLE_LEN_SRDY(x) ((x) << S_RX_BUNDLE_LEN_SRDY)
30417#define G_RX_BUNDLE_LEN_SRDY(x) (((x) >> S_RX_BUNDLE_LEN_SRDY) & M_RX_BUNDLE_LEN_SRDY)
30418
30419#define S_RX_RSVD11_1 28
30420#define M_RX_RSVD11_1 0x3U
30421#define V_RX_RSVD11_1(x) ((x) << S_RX_RSVD11_1)
30422#define G_RX_RSVD11_1(x) (((x) >> S_RX_RSVD11_1) & M_RX_RSVD11_1)
30423
30424#define S_RX_BUNDLE_LEN1 16
30425#define M_RX_BUNDLE_LEN1 0xfffU
30426#define V_RX_BUNDLE_LEN1(x) ((x) << S_RX_BUNDLE_LEN1)
30427#define G_RX_BUNDLE_LEN1(x) (((x) >> S_RX_BUNDLE_LEN1) & M_RX_BUNDLE_LEN1)
30428
30429#define S_RX_RSVD11 12
30430#define M_RX_RSVD11 0xfU
30431#define V_RX_RSVD11(x) ((x) << S_RX_RSVD11)
30432#define G_RX_RSVD11(x) (((x) >> S_RX_RSVD11) & M_RX_RSVD11)
30433
30434#define S_RX_BUNDLE_LEN0 0
30435#define M_RX_BUNDLE_LEN0 0xfffU
30436#define V_RX_BUNDLE_LEN0(x) ((x) << S_RX_BUNDLE_LEN0)
30437#define G_RX_BUNDLE_LEN0(x) (((x) >> S_RX_BUNDLE_LEN0) & M_RX_BUNDLE_LEN0)
30438
30439/* registers for module PM_TX */
30440#define PM_TX_BASE_ADDR 0x8fe0
30441
30442#define A_PM_TX_CFG 0x8fe0
30443
30444#define S_CH3_OUTPUT 17
30445#define M_CH3_OUTPUT 0x1fU
30446#define V_CH3_OUTPUT(x) ((x) << S_CH3_OUTPUT)
30447#define G_CH3_OUTPUT(x) (((x) >> S_CH3_OUTPUT) & M_CH3_OUTPUT)
30448
30449#define A_PM_TX_MODE 0x8fe4
30450
30451#define S_CONG_THRESH3 25
30452#define M_CONG_THRESH3 0x7fU
30453#define V_CONG_THRESH3(x) ((x) << S_CONG_THRESH3)
30454#define G_CONG_THRESH3(x) (((x) >> S_CONG_THRESH3) & M_CONG_THRESH3)
30455
30456#define S_CONG_THRESH2 18
30457#define M_CONG_THRESH2 0x7fU
30458#define V_CONG_THRESH2(x) ((x) << S_CONG_THRESH2)
30459#define G_CONG_THRESH2(x) (((x) >> S_CONG_THRESH2) & M_CONG_THRESH2)
30460
30461#define S_CONG_THRESH1 11
30462#define M_CONG_THRESH1 0x7fU
30463#define V_CONG_THRESH1(x) ((x) << S_CONG_THRESH1)
30464#define G_CONG_THRESH1(x) (((x) >> S_CONG_THRESH1) & M_CONG_THRESH1)
30465
30466#define S_CONG_THRESH0 4
30467#define M_CONG_THRESH0 0x7fU
30468#define V_CONG_THRESH0(x) ((x) << S_CONG_THRESH0)
30469#define G_CONG_THRESH0(x) (((x) >> S_CONG_THRESH0) & M_CONG_THRESH0)
30470
30471#define S_TX_USE_BUNDLE_LEN 3
30472#define V_TX_USE_BUNDLE_LEN(x) ((x) << S_TX_USE_BUNDLE_LEN)
30473#define F_TX_USE_BUNDLE_LEN V_TX_USE_BUNDLE_LEN(1U)
30474
30475#define S_STAT_CHANNEL 1
30476#define M_STAT_CHANNEL 0x3U
30477#define V_STAT_CHANNEL(x) ((x) << S_STAT_CHANNEL)
30478#define G_STAT_CHANNEL(x) (((x) >> S_STAT_CHANNEL) & M_STAT_CHANNEL)
30479
30480#define A_PM_TX_STAT_CONFIG 0x8fe8
30481#define A_PM_TX_STAT_COUNT 0x8fec
30482#define A_PM_TX_STAT_LSB 0x8ff0
30483#define A_PM_TX_DBG_CTRL 0x8ff0
30484
30485#define S_OSPIWRBUSY 21
30486#define M_OSPIWRBUSY 0xfU
30487#define V_OSPIWRBUSY(x) ((x) << S_OSPIWRBUSY)
30488#define G_OSPIWRBUSY(x) (((x) >> S_OSPIWRBUSY) & M_OSPIWRBUSY)
30489
30490#define A_PM_TX_STAT_MSB 0x8ff4
30491#define A_PM_TX_DBG_DATA 0x8ff4
30492#define A_PM_TX_INT_ENABLE 0x8ff8
30493
30494#define S_PCMD_LEN_OVFL0 31
30495#define V_PCMD_LEN_OVFL0(x) ((x) << S_PCMD_LEN_OVFL0)
30496#define F_PCMD_LEN_OVFL0 V_PCMD_LEN_OVFL0(1U)
30497
30498#define S_PCMD_LEN_OVFL1 30
30499#define V_PCMD_LEN_OVFL1(x) ((x) << S_PCMD_LEN_OVFL1)
30500#define F_PCMD_LEN_OVFL1 V_PCMD_LEN_OVFL1(1U)
30501
30502#define S_PCMD_LEN_OVFL2 29
30503#define V_PCMD_LEN_OVFL2(x) ((x) << S_PCMD_LEN_OVFL2)
30504#define F_PCMD_LEN_OVFL2 V_PCMD_LEN_OVFL2(1U)
30505
30506#define S_ZERO_C_CMD_ERRO 28
30507#define V_ZERO_C_CMD_ERRO(x) ((x) << S_ZERO_C_CMD_ERRO)
30508#define F_ZERO_C_CMD_ERRO V_ZERO_C_CMD_ERRO(1U)
30509
30510#define S_ICSPI0_FIFO2X_RX_FRAMING_ERROR 27
30511#define V_ICSPI0_FIFO2X_RX_FRAMING_ERROR(x) ((x) << S_ICSPI0_FIFO2X_RX_FRAMING_ERROR)
30512#define F_ICSPI0_FIFO2X_RX_FRAMING_ERROR V_ICSPI0_FIFO2X_RX_FRAMING_ERROR(1U)
30513
30514#define S_ICSPI1_FIFO2X_RX_FRAMING_ERROR 26
30515#define V_ICSPI1_FIFO2X_RX_FRAMING_ERROR(x) ((x) << S_ICSPI1_FIFO2X_RX_FRAMING_ERROR)
30516#define F_ICSPI1_FIFO2X_RX_FRAMING_ERROR V_ICSPI1_FIFO2X_RX_FRAMING_ERROR(1U)
30517
30518#define S_ICSPI2_FIFO2X_RX_FRAMING_ERROR 25
30519#define V_ICSPI2_FIFO2X_RX_FRAMING_ERROR(x) ((x) << S_ICSPI2_FIFO2X_RX_FRAMING_ERROR)
30520#define F_ICSPI2_FIFO2X_RX_FRAMING_ERROR V_ICSPI2_FIFO2X_RX_FRAMING_ERROR(1U)
30521
30522#define S_ICSPI3_FIFO2X_RX_FRAMING_ERROR 24
30523#define V_ICSPI3_FIFO2X_RX_FRAMING_ERROR(x) ((x) << S_ICSPI3_FIFO2X_RX_FRAMING_ERROR)
30524#define F_ICSPI3_FIFO2X_RX_FRAMING_ERROR V_ICSPI3_FIFO2X_RX_FRAMING_ERROR(1U)
30525
30526#define S_ICSPI0_RX_FRAMING_ERROR 23
30527#define V_ICSPI0_RX_FRAMING_ERROR(x) ((x) << S_ICSPI0_RX_FRAMING_ERROR)
30528#define F_ICSPI0_RX_FRAMING_ERROR V_ICSPI0_RX_FRAMING_ERROR(1U)
30529
30530#define S_ICSPI1_RX_FRAMING_ERROR 22
30531#define V_ICSPI1_RX_FRAMING_ERROR(x) ((x) << S_ICSPI1_RX_FRAMING_ERROR)
30532#define F_ICSPI1_RX_FRAMING_ERROR V_ICSPI1_RX_FRAMING_ERROR(1U)
30533
30534#define S_ICSPI2_RX_FRAMING_ERROR 21
30535#define V_ICSPI2_RX_FRAMING_ERROR(x) ((x) << S_ICSPI2_RX_FRAMING_ERROR)
30536#define F_ICSPI2_RX_FRAMING_ERROR V_ICSPI2_RX_FRAMING_ERROR(1U)
30537
30538#define S_ICSPI3_RX_FRAMING_ERROR 20
30539#define V_ICSPI3_RX_FRAMING_ERROR(x) ((x) << S_ICSPI3_RX_FRAMING_ERROR)
30540#define F_ICSPI3_RX_FRAMING_ERROR V_ICSPI3_RX_FRAMING_ERROR(1U)
30541
30542#define S_ICSPI0_TX_FRAMING_ERROR 19
30543#define V_ICSPI0_TX_FRAMING_ERROR(x) ((x) << S_ICSPI0_TX_FRAMING_ERROR)
30544#define F_ICSPI0_TX_FRAMING_ERROR V_ICSPI0_TX_FRAMING_ERROR(1U)
30545
30546#define S_ICSPI1_TX_FRAMING_ERROR 18
30547#define V_ICSPI1_TX_FRAMING_ERROR(x) ((x) << S_ICSPI1_TX_FRAMING_ERROR)
30548#define F_ICSPI1_TX_FRAMING_ERROR V_ICSPI1_TX_FRAMING_ERROR(1U)
30549
30550#define S_ICSPI2_TX_FRAMING_ERROR 17
30551#define V_ICSPI2_TX_FRAMING_ERROR(x) ((x) << S_ICSPI2_TX_FRAMING_ERROR)
30552#define F_ICSPI2_TX_FRAMING_ERROR V_ICSPI2_TX_FRAMING_ERROR(1U)
30553
30554#define S_ICSPI3_TX_FRAMING_ERROR 16
30555#define V_ICSPI3_TX_FRAMING_ERROR(x) ((x) << S_ICSPI3_TX_FRAMING_ERROR)
30556#define F_ICSPI3_TX_FRAMING_ERROR V_ICSPI3_TX_FRAMING_ERROR(1U)
30557
30558#define S_OESPI0_RX_FRAMING_ERROR 15
30559#define V_OESPI0_RX_FRAMING_ERROR(x) ((x) << S_OESPI0_RX_FRAMING_ERROR)
30560#define F_OESPI0_RX_FRAMING_ERROR V_OESPI0_RX_FRAMING_ERROR(1U)
30561
30562#define S_OESPI1_RX_FRAMING_ERROR 14
30563#define V_OESPI1_RX_FRAMING_ERROR(x) ((x) << S_OESPI1_RX_FRAMING_ERROR)
30564#define F_OESPI1_RX_FRAMING_ERROR V_OESPI1_RX_FRAMING_ERROR(1U)
30565
30566#define S_OESPI2_RX_FRAMING_ERROR 13
30567#define V_OESPI2_RX_FRAMING_ERROR(x) ((x) << S_OESPI2_RX_FRAMING_ERROR)
30568#define F_OESPI2_RX_FRAMING_ERROR V_OESPI2_RX_FRAMING_ERROR(1U)
30569
30570#define S_OESPI3_RX_FRAMING_ERROR 12
30571#define V_OESPI3_RX_FRAMING_ERROR(x) ((x) << S_OESPI3_RX_FRAMING_ERROR)
30572#define F_OESPI3_RX_FRAMING_ERROR V_OESPI3_RX_FRAMING_ERROR(1U)
30573
30574#define S_OESPI0_TX_FRAMING_ERROR 11
30575#define V_OESPI0_TX_FRAMING_ERROR(x) ((x) << S_OESPI0_TX_FRAMING_ERROR)
30576#define F_OESPI0_TX_FRAMING_ERROR V_OESPI0_TX_FRAMING_ERROR(1U)
30577
30578#define S_OESPI1_TX_FRAMING_ERROR 10
30579#define V_OESPI1_TX_FRAMING_ERROR(x) ((x) << S_OESPI1_TX_FRAMING_ERROR)
30580#define F_OESPI1_TX_FRAMING_ERROR V_OESPI1_TX_FRAMING_ERROR(1U)
30581
30582#define S_OESPI2_TX_FRAMING_ERROR 9
30583#define V_OESPI2_TX_FRAMING_ERROR(x) ((x) << S_OESPI2_TX_FRAMING_ERROR)
30584#define F_OESPI2_TX_FRAMING_ERROR V_OESPI2_TX_FRAMING_ERROR(1U)
30585
30586#define S_OESPI3_TX_FRAMING_ERROR 8
30587#define V_OESPI3_TX_FRAMING_ERROR(x) ((x) << S_OESPI3_TX_FRAMING_ERROR)
30588#define F_OESPI3_TX_FRAMING_ERROR V_OESPI3_TX_FRAMING_ERROR(1U)
30589
30590#define S_OESPI0_OFIFO2X_TX_FRAMING_ERROR 7
30591#define V_OESPI0_OFIFO2X_TX_FRAMING_ERROR(x) ((x) << S_OESPI0_OFIFO2X_TX_FRAMING_ERROR)
30592#define F_OESPI0_OFIFO2X_TX_FRAMING_ERROR V_OESPI0_OFIFO2X_TX_FRAMING_ERROR(1U)
30593
30594#define S_OESPI1_OFIFO2X_TX_FRAMING_ERROR 6
30595#define V_OESPI1_OFIFO2X_TX_FRAMING_ERROR(x) ((x) << S_OESPI1_OFIFO2X_TX_FRAMING_ERROR)
30596#define F_OESPI1_OFIFO2X_TX_FRAMING_ERROR V_OESPI1_OFIFO2X_TX_FRAMING_ERROR(1U)
30597
30598#define S_OESPI2_OFIFO2X_TX_FRAMING_ERROR 5
30599#define V_OESPI2_OFIFO2X_TX_FRAMING_ERROR(x) ((x) << S_OESPI2_OFIFO2X_TX_FRAMING_ERROR)
30600#define F_OESPI2_OFIFO2X_TX_FRAMING_ERROR V_OESPI2_OFIFO2X_TX_FRAMING_ERROR(1U)
30601
30602#define S_OESPI3_OFIFO2X_TX_FRAMING_ERROR 4
30603#define V_OESPI3_OFIFO2X_TX_FRAMING_ERROR(x) ((x) << S_OESPI3_OFIFO2X_TX_FRAMING_ERROR)
30604#define F_OESPI3_OFIFO2X_TX_FRAMING_ERROR V_OESPI3_OFIFO2X_TX_FRAMING_ERROR(1U)
30605
30606#define S_OESPI_PAR_ERROR 3
30607#define V_OESPI_PAR_ERROR(x) ((x) << S_OESPI_PAR_ERROR)
30608#define F_OESPI_PAR_ERROR V_OESPI_PAR_ERROR(1U)
30609
30610#define S_ICSPI_PAR_ERROR 1
30611#define V_ICSPI_PAR_ERROR(x) ((x) << S_ICSPI_PAR_ERROR)
30612#define F_ICSPI_PAR_ERROR V_ICSPI_PAR_ERROR(1U)
30613
30614#define S_C_PCMD_PAR_ERROR 0
30615#define V_C_PCMD_PAR_ERROR(x) ((x) << S_C_PCMD_PAR_ERROR)
30616#define F_C_PCMD_PAR_ERROR V_C_PCMD_PAR_ERROR(1U)
30617
30618#define A_PM_TX_INT_CAUSE 0x8ffc
30619
30620#define S_ZERO_C_CMD_ERROR 28
30621#define V_ZERO_C_CMD_ERROR(x) ((x) << S_ZERO_C_CMD_ERROR)
30622#define F_ZERO_C_CMD_ERROR V_ZERO_C_CMD_ERROR(1U)
30623
30624#define S_OSPI_OR_BUNDLE_LEN_PAR_ERR 3
30625#define V_OSPI_OR_BUNDLE_LEN_PAR_ERR(x) ((x) << S_OSPI_OR_BUNDLE_LEN_PAR_ERR)
30626#define F_OSPI_OR_BUNDLE_LEN_PAR_ERR V_OSPI_OR_BUNDLE_LEN_PAR_ERR(1U)
30627
30628#define A_PM_TX_ISPI_DBG_4B_DATA0 0x10000
30629#define A_PM_TX_ISPI_DBG_4B_DATA1 0x10001
30630#define A_PM_TX_ISPI_DBG_4B_DATA2 0x10002
30631#define A_PM_TX_ISPI_DBG_4B_DATA3 0x10003
30632#define A_PM_TX_ISPI_DBG_4B_DATA4 0x10004
30633#define A_PM_TX_ISPI_DBG_4B_DATA5 0x10005
30634#define A_PM_TX_ISPI_DBG_4B_DATA6 0x10006
30635#define A_PM_TX_ISPI_DBG_4B_DATA7 0x10007
30636#define A_PM_TX_ISPI_DBG_4B_DATA8 0x10008
30637#define A_PM_TX_OSPI_DBG_4B_DATA0 0x10009
30638#define A_PM_TX_OSPI_DBG_4B_DATA1 0x1000a
30639#define A_PM_TX_OSPI_DBG_4B_DATA2 0x1000b
30640#define A_PM_TX_OSPI_DBG_4B_DATA3 0x1000c
30641#define A_PM_TX_OSPI_DBG_4B_DATA4 0x1000d
30642#define A_PM_TX_OSPI_DBG_4B_DATA5 0x1000e
30643#define A_PM_TX_OSPI_DBG_4B_DATA6 0x1000f
30644#define A_PM_TX_OSPI_DBG_4B_DATA7 0x10010
30645#define A_PM_TX_OSPI_DBG_4B_DATA8 0x10011
30646#define A_PM_TX_OSPI_DBG_4B_DATA9 0x10012
30647#define A_PM_TX_OSPI_DBG_4B_DATA10 0x10013
30648#define A_PM_TX_OSPI_DBG_4B_DATA11 0x10014
30649#define A_PM_TX_OSPI_DBG_4B_DATA12 0x10015
30650#define A_PM_TX_OSPI_DBG_4B_DATA13 0x10016
30651#define A_PM_TX_OSPI_DBG_4B_DATA14 0x10017
30652#define A_PM_TX_OSPI_DBG_4B_DATA15 0x10018
30653#define A_PM_TX_OSPI_DBG_4B_DATA16 0x10019
30654#define A_PM_TX_DBG_STAT_MSB 0x1001a
30655#define A_PM_TX_DBG_STAT_LSB 0x1001b
30656#define A_PM_TX_DBG_RSVD_FLIT_CNT 0x1001c
30657#define A_PM_TX_SDC_EN 0x1001d
30658#define A_PM_TX_INOUT_FIFO_DBG_CHNL_SEL 0x1001e
30659#define A_PM_TX_INOUT_FIFO_DBG_WR 0x1001f
30660#define A_PM_TX_INPUT_FIFO_STR_FWD_EN 0x10020
30661#define A_PM_TX_FEATURE_EN 0x10021
30662
30663#define S_PIO_CH_DEFICIT_CTL_EN 2
30664#define V_PIO_CH_DEFICIT_CTL_EN(x) ((x) << S_PIO_CH_DEFICIT_CTL_EN)
30665#define F_PIO_CH_DEFICIT_CTL_EN V_PIO_CH_DEFICIT_CTL_EN(1U)
30666
30667#define S_PIO_WRR_BASED_PRFTCH_EN 1
30668#define V_PIO_WRR_BASED_PRFTCH_EN(x) ((x) << S_PIO_WRR_BASED_PRFTCH_EN)
30669#define F_PIO_WRR_BASED_PRFTCH_EN V_PIO_WRR_BASED_PRFTCH_EN(1U)
30670
30671#define A_PM_TX_T5_PM_TX_INT_ENABLE 0x10022
30672
30673#define S_OSPI_OVERFLOW3 7
30674#define V_OSPI_OVERFLOW3(x) ((x) << S_OSPI_OVERFLOW3)
30675#define F_OSPI_OVERFLOW3 V_OSPI_OVERFLOW3(1U)
30676
30677#define S_OSPI_OVERFLOW2 6
30678#define V_OSPI_OVERFLOW2(x) ((x) << S_OSPI_OVERFLOW2)
30679#define F_OSPI_OVERFLOW2 V_OSPI_OVERFLOW2(1U)
30680
30681#define S_T5_OSPI_OVERFLOW1 5
30682#define V_T5_OSPI_OVERFLOW1(x) ((x) << S_T5_OSPI_OVERFLOW1)
30683#define F_T5_OSPI_OVERFLOW1 V_T5_OSPI_OVERFLOW1(1U)
30684
30685#define S_T5_OSPI_OVERFLOW0 4
30686#define V_T5_OSPI_OVERFLOW0(x) ((x) << S_T5_OSPI_OVERFLOW0)
30687#define F_T5_OSPI_OVERFLOW0 V_T5_OSPI_OVERFLOW0(1U)
30688
30689#define S_M_INTFPERREN 3
30690#define V_M_INTFPERREN(x) ((x) << S_M_INTFPERREN)
30691#define F_M_INTFPERREN V_M_INTFPERREN(1U)
30692
30693#define S_BUNDLE_LEN_PARERR_EN 2
30694#define V_BUNDLE_LEN_PARERR_EN(x) ((x) << S_BUNDLE_LEN_PARERR_EN)
30695#define F_BUNDLE_LEN_PARERR_EN V_BUNDLE_LEN_PARERR_EN(1U)
30696
30697#define S_BUNDLE_LEN_OVFL_EN 1
30698#define V_BUNDLE_LEN_OVFL_EN(x) ((x) << S_BUNDLE_LEN_OVFL_EN)
30699#define F_BUNDLE_LEN_OVFL_EN V_BUNDLE_LEN_OVFL_EN(1U)
30700
30701#define S_SDC_ERR_EN 0
30702#define V_SDC_ERR_EN(x) ((x) << S_SDC_ERR_EN)
30703#define F_SDC_ERR_EN V_SDC_ERR_EN(1U)
30704
30705#define S_OSPI_OVERFLOW3_T5 7
30706#define V_OSPI_OVERFLOW3_T5(x) ((x) << S_OSPI_OVERFLOW3_T5)
30707#define F_OSPI_OVERFLOW3_T5 V_OSPI_OVERFLOW3_T5(1U)
30708
30709#define S_OSPI_OVERFLOW2_T5 6
30710#define V_OSPI_OVERFLOW2_T5(x) ((x) << S_OSPI_OVERFLOW2_T5)
30711#define F_OSPI_OVERFLOW2_T5 V_OSPI_OVERFLOW2_T5(1U)
30712
30713#define S_OSPI_OVERFLOW1_T5 5
30714#define V_OSPI_OVERFLOW1_T5(x) ((x) << S_OSPI_OVERFLOW1_T5)
30715#define F_OSPI_OVERFLOW1_T5 V_OSPI_OVERFLOW1_T5(1U)
30716
30717#define S_OSPI_OVERFLOW0_T5 4
30718#define V_OSPI_OVERFLOW0_T5(x) ((x) << S_OSPI_OVERFLOW0_T5)
30719#define F_OSPI_OVERFLOW0_T5 V_OSPI_OVERFLOW0_T5(1U)
30720
30721#define A_PM_TX_PRFTCH_WRR_WAIT_CNT_THRSHLD0 0x10023
30722#define A_PM_TX_PRFTCH_WRR_WAIT_CNT_THRSHLD1 0x10024
30723#define A_PM_TX_PRFTCH_WRR_WAIT_CNT_THRSHLD2 0x10025
30724#define A_PM_TX_PRFTCH_WRR_WAIT_CNT_THRSHLD3 0x10026
30725#define A_PM_TX_CH0_OSPI_DEFICIT_THRSHLD 0x10027
30726#define A_PM_TX_CH1_OSPI_DEFICIT_THRSHLD 0x10028
30727#define A_PM_TX_CH2_OSPI_DEFICIT_THRSHLD 0x10029
30728
30729#define S_CH2_OSPI_DEFICIT_THRSHLD 0
30730#define M_CH2_OSPI_DEFICIT_THRSHLD 0xfffU
30731#define V_CH2_OSPI_DEFICIT_THRSHLD(x) ((x) << S_CH2_OSPI_DEFICIT_THRSHLD)
30732#define G_CH2_OSPI_DEFICIT_THRSHLD(x) (((x) >> S_CH2_OSPI_DEFICIT_THRSHLD) & M_CH2_OSPI_DEFICIT_THRSHLD)
30733
30734#define A_PM_TX_CH3_OSPI_DEFICIT_THRSHLD 0x1002a
30735
30736#define S_CH3_OSPI_DEFICIT_THRSHLD 0
30737#define M_CH3_OSPI_DEFICIT_THRSHLD 0xfffU
30738#define V_CH3_OSPI_DEFICIT_THRSHLD(x) ((x) << S_CH3_OSPI_DEFICIT_THRSHLD)
30739#define G_CH3_OSPI_DEFICIT_THRSHLD(x) (((x) >> S_CH3_OSPI_DEFICIT_THRSHLD) & M_CH3_OSPI_DEFICIT_THRSHLD)
30740
30741#define A_PM_TX_INT_CAUSE_MASK_HALT 0x1002b
30742#define A_PM_TX_DBG_STAT0 0x1002c
30743
30744#define S_RD_I_BUSY 29
30745#define V_RD_I_BUSY(x) ((x) << S_RD_I_BUSY)
30746#define F_RD_I_BUSY V_RD_I_BUSY(1U)
30747
30748#define S_WR_O_BUSY 28
30749#define V_WR_O_BUSY(x) ((x) << S_WR_O_BUSY)
30750#define F_WR_O_BUSY V_WR_O_BUSY(1U)
30751
30752#define S_M_TO_O_BUSY 27
30753#define V_M_TO_O_BUSY(x) ((x) << S_M_TO_O_BUSY)
30754#define F_M_TO_O_BUSY V_M_TO_O_BUSY(1U)
30755
30756#define S_I_TO_M_BUSY 26
30757#define V_I_TO_M_BUSY(x) ((x) << S_I_TO_M_BUSY)
30758#define F_I_TO_M_BUSY V_I_TO_M_BUSY(1U)
30759
30760#define S_PCMD_FB_ONLY 25
30761#define V_PCMD_FB_ONLY(x) ((x) << S_PCMD_FB_ONLY)
30762#define F_PCMD_FB_ONLY V_PCMD_FB_ONLY(1U)
30763
30764#define S_PCMD_MEM 24
30765#define V_PCMD_MEM(x) ((x) << S_PCMD_MEM)
30766#define F_PCMD_MEM V_PCMD_MEM(1U)
30767
30768#define S_PCMD_BYPASS 23
30769#define V_PCMD_BYPASS(x) ((x) << S_PCMD_BYPASS)
30770#define F_PCMD_BYPASS V_PCMD_BYPASS(1U)
30771
30772#define S_PCMD_EOP2 22
30773#define V_PCMD_EOP2(x) ((x) << S_PCMD_EOP2)
30774#define F_PCMD_EOP2 V_PCMD_EOP2(1U)
30775
30776#define S_PCMD_EOP 21
30777#define V_PCMD_EOP(x) ((x) << S_PCMD_EOP)
30778#define F_PCMD_EOP V_PCMD_EOP(1U)
30779
30780#define S_PCMD_END_BUNDLE 20
30781#define V_PCMD_END_BUNDLE(x) ((x) << S_PCMD_END_BUNDLE)
30782#define F_PCMD_END_BUNDLE V_PCMD_END_BUNDLE(1U)
30783
30784#define S_PCMD_FB_CMD 16
30785#define M_PCMD_FB_CMD 0xfU
30786#define V_PCMD_FB_CMD(x) ((x) << S_PCMD_FB_CMD)
30787#define G_PCMD_FB_CMD(x) (((x) >> S_PCMD_FB_CMD) & M_PCMD_FB_CMD)
30788
30789#define S_CUR_PCMD_LEN 0
30790#define M_CUR_PCMD_LEN 0xffffU
30791#define V_CUR_PCMD_LEN(x) ((x) << S_CUR_PCMD_LEN)
30792#define G_CUR_PCMD_LEN(x) (((x) >> S_CUR_PCMD_LEN) & M_CUR_PCMD_LEN)
30793
30794#define S_T6_RD_I_BUSY 28
30795#define V_T6_RD_I_BUSY(x) ((x) << S_T6_RD_I_BUSY)
30796#define F_T6_RD_I_BUSY V_T6_RD_I_BUSY(1U)
30797
30798#define S_T6_WR_O_BUSY 27
30799#define V_T6_WR_O_BUSY(x) ((x) << S_T6_WR_O_BUSY)
30800#define F_T6_WR_O_BUSY V_T6_WR_O_BUSY(1U)
30801
30802#define S_T6_M_TO_O_BUSY 26
30803#define V_T6_M_TO_O_BUSY(x) ((x) << S_T6_M_TO_O_BUSY)
30804#define F_T6_M_TO_O_BUSY V_T6_M_TO_O_BUSY(1U)
30805
30806#define S_T6_I_TO_M_BUSY 25
30807#define V_T6_I_TO_M_BUSY(x) ((x) << S_T6_I_TO_M_BUSY)
30808#define F_T6_I_TO_M_BUSY V_T6_I_TO_M_BUSY(1U)
30809
30810#define S_T6_PCMD_FB_ONLY 24
30811#define V_T6_PCMD_FB_ONLY(x) ((x) << S_T6_PCMD_FB_ONLY)
30812#define F_T6_PCMD_FB_ONLY V_T6_PCMD_FB_ONLY(1U)
30813
30814#define S_T6_PCMD_MEM 23
30815#define V_T6_PCMD_MEM(x) ((x) << S_T6_PCMD_MEM)
30816#define F_T6_PCMD_MEM V_T6_PCMD_MEM(1U)
30817
30818#define S_T6_PCMD_BYPASS 22
30819#define V_T6_PCMD_BYPASS(x) ((x) << S_T6_PCMD_BYPASS)
30820#define F_T6_PCMD_BYPASS V_T6_PCMD_BYPASS(1U)
30821
30822#define A_PM_TX_DBG_STAT1 0x1002d
30823
30824#define S_PCMD_MEM0 31
30825#define V_PCMD_MEM0(x) ((x) << S_PCMD_MEM0)
30826#define F_PCMD_MEM0 V_PCMD_MEM0(1U)
30827
30828#define S_FREE_OESPI_CNT0 19
30829#define M_FREE_OESPI_CNT0 0xfffU
30830#define V_FREE_OESPI_CNT0(x) ((x) << S_FREE_OESPI_CNT0)
30831#define G_FREE_OESPI_CNT0(x) (((x) >> S_FREE_OESPI_CNT0) & M_FREE_OESPI_CNT0)
30832
30833#define S_PCMD_FLIT_LEN0 7
30834#define M_PCMD_FLIT_LEN0 0xfffU
30835#define V_PCMD_FLIT_LEN0(x) ((x) << S_PCMD_FLIT_LEN0)
30836#define G_PCMD_FLIT_LEN0(x) (((x) >> S_PCMD_FLIT_LEN0) & M_PCMD_FLIT_LEN0)
30837
30838#define S_PCMD_CMD0 3
30839#define M_PCMD_CMD0 0xfU
30840#define V_PCMD_CMD0(x) ((x) << S_PCMD_CMD0)
30841#define G_PCMD_CMD0(x) (((x) >> S_PCMD_CMD0) & M_PCMD_CMD0)
30842
30843#define S_OFIFO_FULL0 2
30844#define V_OFIFO_FULL0(x) ((x) << S_OFIFO_FULL0)
30845#define F_OFIFO_FULL0 V_OFIFO_FULL0(1U)
30846
30847#define S_GCSUM_DRDY0 1
30848#define V_GCSUM_DRDY0(x) ((x) << S_GCSUM_DRDY0)
30849#define F_GCSUM_DRDY0 V_GCSUM_DRDY0(1U)
30850
30851#define S_BYPASS0 0
30852#define V_BYPASS0(x) ((x) << S_BYPASS0)
30853#define F_BYPASS0 V_BYPASS0(1U)
30854
30855#define A_PM_TX_DBG_STAT2 0x1002e
30856
30857#define S_PCMD_MEM1 31
30858#define V_PCMD_MEM1(x) ((x) << S_PCMD_MEM1)
30859#define F_PCMD_MEM1 V_PCMD_MEM1(1U)
30860
30861#define S_FREE_OESPI_CNT1 19
30862#define M_FREE_OESPI_CNT1 0xfffU
30863#define V_FREE_OESPI_CNT1(x) ((x) << S_FREE_OESPI_CNT1)
30864#define G_FREE_OESPI_CNT1(x) (((x) >> S_FREE_OESPI_CNT1) & M_FREE_OESPI_CNT1)
30865
30866#define S_PCMD_FLIT_LEN1 7
30867#define M_PCMD_FLIT_LEN1 0xfffU
30868#define V_PCMD_FLIT_LEN1(x) ((x) << S_PCMD_FLIT_LEN1)
30869#define G_PCMD_FLIT_LEN1(x) (((x) >> S_PCMD_FLIT_LEN1) & M_PCMD_FLIT_LEN1)
30870
30871#define S_PCMD_CMD1 3
30872#define M_PCMD_CMD1 0xfU
30873#define V_PCMD_CMD1(x) ((x) << S_PCMD_CMD1)
30874#define G_PCMD_CMD1(x) (((x) >> S_PCMD_CMD1) & M_PCMD_CMD1)
30875
30876#define S_OFIFO_FULL1 2
30877#define V_OFIFO_FULL1(x) ((x) << S_OFIFO_FULL1)
30878#define F_OFIFO_FULL1 V_OFIFO_FULL1(1U)
30879
30880#define S_GCSUM_DRDY1 1
30881#define V_GCSUM_DRDY1(x) ((x) << S_GCSUM_DRDY1)
30882#define F_GCSUM_DRDY1 V_GCSUM_DRDY1(1U)
30883
30884#define S_BYPASS1 0
30885#define V_BYPASS1(x) ((x) << S_BYPASS1)
30886#define F_BYPASS1 V_BYPASS1(1U)
30887
30888#define A_PM_TX_DBG_STAT3 0x1002f
30889
30890#define S_PCMD_MEM2 31
30891#define V_PCMD_MEM2(x) ((x) << S_PCMD_MEM2)
30892#define F_PCMD_MEM2 V_PCMD_MEM2(1U)
30893
30894#define S_FREE_OESPI_CNT2 19
30895#define M_FREE_OESPI_CNT2 0xfffU
30896#define V_FREE_OESPI_CNT2(x) ((x) << S_FREE_OESPI_CNT2)
30897#define G_FREE_OESPI_CNT2(x) (((x) >> S_FREE_OESPI_CNT2) & M_FREE_OESPI_CNT2)
30898
30899#define S_PCMD_FLIT_LEN2 7
30900#define M_PCMD_FLIT_LEN2 0xfffU
30901#define V_PCMD_FLIT_LEN2(x) ((x) << S_PCMD_FLIT_LEN2)
30902#define G_PCMD_FLIT_LEN2(x) (((x) >> S_PCMD_FLIT_LEN2) & M_PCMD_FLIT_LEN2)
30903
30904#define S_PCMD_CMD2 3
30905#define M_PCMD_CMD2 0xfU
30906#define V_PCMD_CMD2(x) ((x) << S_PCMD_CMD2)
30907#define G_PCMD_CMD2(x) (((x) >> S_PCMD_CMD2) & M_PCMD_CMD2)
30908
30909#define S_OFIFO_FULL2 2
30910#define V_OFIFO_FULL2(x) ((x) << S_OFIFO_FULL2)
30911#define F_OFIFO_FULL2 V_OFIFO_FULL2(1U)
30912
30913#define S_GCSUM_DRDY2 1
30914#define V_GCSUM_DRDY2(x) ((x) << S_GCSUM_DRDY2)
30915#define F_GCSUM_DRDY2 V_GCSUM_DRDY2(1U)
30916
30917#define S_BYPASS2 0
30918#define V_BYPASS2(x) ((x) << S_BYPASS2)
30919#define F_BYPASS2 V_BYPASS2(1U)
30920
30921#define A_PM_TX_DBG_STAT4 0x10030
30922
30923#define S_PCMD_MEM3 31
30924#define V_PCMD_MEM3(x) ((x) << S_PCMD_MEM3)
30925#define F_PCMD_MEM3 V_PCMD_MEM3(1U)
30926
30927#define S_FREE_OESPI_CNT3 19
30928#define M_FREE_OESPI_CNT3 0xfffU
30929#define V_FREE_OESPI_CNT3(x) ((x) << S_FREE_OESPI_CNT3)
30930#define G_FREE_OESPI_CNT3(x) (((x) >> S_FREE_OESPI_CNT3) & M_FREE_OESPI_CNT3)
30931
30932#define S_PCMD_FLIT_LEN3 7
30933#define M_PCMD_FLIT_LEN3 0xfffU
30934#define V_PCMD_FLIT_LEN3(x) ((x) << S_PCMD_FLIT_LEN3)
30935#define G_PCMD_FLIT_LEN3(x) (((x) >> S_PCMD_FLIT_LEN3) & M_PCMD_FLIT_LEN3)
30936
30937#define S_PCMD_CMD3 3
30938#define M_PCMD_CMD3 0xfU
30939#define V_PCMD_CMD3(x) ((x) << S_PCMD_CMD3)
30940#define G_PCMD_CMD3(x) (((x) >> S_PCMD_CMD3) & M_PCMD_CMD3)
30941
30942#define S_OFIFO_FULL3 2
30943#define V_OFIFO_FULL3(x) ((x) << S_OFIFO_FULL3)
30944#define F_OFIFO_FULL3 V_OFIFO_FULL3(1U)
30945
30946#define S_GCSUM_DRDY3 1
30947#define V_GCSUM_DRDY3(x) ((x) << S_GCSUM_DRDY3)
30948#define F_GCSUM_DRDY3 V_GCSUM_DRDY3(1U)
30949
30950#define S_BYPASS3 0
30951#define V_BYPASS3(x) ((x) << S_BYPASS3)
30952#define F_BYPASS3 V_BYPASS3(1U)
30953
30954#define A_PM_TX_DBG_STAT5 0x10031
30955
30956#define S_SET_PCMD_RES_RDY_RD 24
30957#define M_SET_PCMD_RES_RDY_RD 0xfU
30958#define V_SET_PCMD_RES_RDY_RD(x) ((x) << S_SET_PCMD_RES_RDY_RD)
30959#define G_SET_PCMD_RES_RDY_RD(x) (((x) >> S_SET_PCMD_RES_RDY_RD) & M_SET_PCMD_RES_RDY_RD)
30960
30961#define S_ISSUED_PREF_RD_ER_CLR 20
30962#define M_ISSUED_PREF_RD_ER_CLR 0xfU
30963#define V_ISSUED_PREF_RD_ER_CLR(x) ((x) << S_ISSUED_PREF_RD_ER_CLR)
30964#define G_ISSUED_PREF_RD_ER_CLR(x) (((x) >> S_ISSUED_PREF_RD_ER_CLR) & M_ISSUED_PREF_RD_ER_CLR)
30965
30966#define S_ISSUED_PREF_RD 16
30967#define M_ISSUED_PREF_RD 0xfU
30968#define V_ISSUED_PREF_RD(x) ((x) << S_ISSUED_PREF_RD)
30969#define G_ISSUED_PREF_RD(x) (((x) >> S_ISSUED_PREF_RD) & M_ISSUED_PREF_RD)
30970
30971#define S_PCMD_RES_RDY 12
30972#define M_PCMD_RES_RDY 0xfU
30973#define V_PCMD_RES_RDY(x) ((x) << S_PCMD_RES_RDY)
30974#define G_PCMD_RES_RDY(x) (((x) >> S_PCMD_RES_RDY) & M_PCMD_RES_RDY)
30975
30976#define S_DB_VLD 11
30977#define V_DB_VLD(x) ((x) << S_DB_VLD)
30978#define F_DB_VLD V_DB_VLD(1U)
30979
30980#define S_INJECT0_DRDY 10
30981#define V_INJECT0_DRDY(x) ((x) << S_INJECT0_DRDY)
30982#define F_INJECT0_DRDY V_INJECT0_DRDY(1U)
30983
30984#define S_INJECT1_DRDY 9
30985#define V_INJECT1_DRDY(x) ((x) << S_INJECT1_DRDY)
30986#define F_INJECT1_DRDY V_INJECT1_DRDY(1U)
30987
30988#define S_FIRST_BUNDLE 5
30989#define M_FIRST_BUNDLE 0xfU
30990#define V_FIRST_BUNDLE(x) ((x) << S_FIRST_BUNDLE)
30991#define G_FIRST_BUNDLE(x) (((x) >> S_FIRST_BUNDLE) & M_FIRST_BUNDLE)
30992
30993#define S_GCSUM_MORE_THAN_2_LEFT 1
30994#define M_GCSUM_MORE_THAN_2_LEFT 0xfU
30995#define V_GCSUM_MORE_THAN_2_LEFT(x) ((x) << S_GCSUM_MORE_THAN_2_LEFT)
30996#define G_GCSUM_MORE_THAN_2_LEFT(x) (((x) >> S_GCSUM_MORE_THAN_2_LEFT) & M_GCSUM_MORE_THAN_2_LEFT)
30997
30998#define S_SDC_DRDY 0
30999#define V_SDC_DRDY(x) ((x) << S_SDC_DRDY)
31000#define F_SDC_DRDY V_SDC_DRDY(1U)
31001
31002#define A_PM_TX_DBG_STAT6 0x10032
31003
31004#define S_PCMD_VLD 31
31005#define V_PCMD_VLD(x) ((x) << S_PCMD_VLD)
31006#define F_PCMD_VLD V_PCMD_VLD(1U)
31007
31008#define S_PCMD_CH 29
31009#define M_PCMD_CH 0x3U
31010#define V_PCMD_CH(x) ((x) << S_PCMD_CH)
31011#define G_PCMD_CH(x) (((x) >> S_PCMD_CH) & M_PCMD_CH)
31012
31013#define S_STATE_MACHINE_LOC 24
31014#define M_STATE_MACHINE_LOC 0x1fU
31015#define V_STATE_MACHINE_LOC(x) ((x) << S_STATE_MACHINE_LOC)
31016#define G_STATE_MACHINE_LOC(x) (((x) >> S_STATE_MACHINE_LOC) & M_STATE_MACHINE_LOC)
31017
31018#define S_ICSPI_TXVALID 20
31019#define M_ICSPI_TXVALID 0xfU
31020#define V_ICSPI_TXVALID(x) ((x) << S_ICSPI_TXVALID)
31021#define G_ICSPI_TXVALID(x) (((x) >> S_ICSPI_TXVALID) & M_ICSPI_TXVALID)
31022
31023#define S_ICSPI_TXFULL 16
31024#define M_ICSPI_TXFULL 0xfU
31025#define V_ICSPI_TXFULL(x) ((x) << S_ICSPI_TXFULL)
31026#define G_ICSPI_TXFULL(x) (((x) >> S_ICSPI_TXFULL) & M_ICSPI_TXFULL)
31027
31028#define S_PCMD_SRDY 12
31029#define M_PCMD_SRDY 0xfU
31030#define V_PCMD_SRDY(x) ((x) << S_PCMD_SRDY)
31031#define G_PCMD_SRDY(x) (((x) >> S_PCMD_SRDY) & M_PCMD_SRDY)
31032
31033#define S_PCMD_DRDY 8
31034#define M_PCMD_DRDY 0xfU
31035#define V_PCMD_DRDY(x) ((x) << S_PCMD_DRDY)
31036#define G_PCMD_DRDY(x) (((x) >> S_PCMD_DRDY) & M_PCMD_DRDY)
31037
31038#define S_PCMD_CMD 4
31039#define M_PCMD_CMD 0xfU
31040#define V_PCMD_CMD(x) ((x) << S_PCMD_CMD)
31041#define G_PCMD_CMD(x) (((x) >> S_PCMD_CMD) & M_PCMD_CMD)
31042
31043#define S_OEFIFO_FULL3 3
31044#define V_OEFIFO_FULL3(x) ((x) << S_OEFIFO_FULL3)
31045#define F_OEFIFO_FULL3 V_OEFIFO_FULL3(1U)
31046
31047#define S_OEFIFO_FULL2 2
31048#define V_OEFIFO_FULL2(x) ((x) << S_OEFIFO_FULL2)
31049#define F_OEFIFO_FULL2 V_OEFIFO_FULL2(1U)
31050
31051#define S_OEFIFO_FULL1 1
31052#define V_OEFIFO_FULL1(x) ((x) << S_OEFIFO_FULL1)
31053#define F_OEFIFO_FULL1 V_OEFIFO_FULL1(1U)
31054
31055#define S_OEFIFO_FULL0 0
31056#define V_OEFIFO_FULL0(x) ((x) << S_OEFIFO_FULL0)
31057#define F_OEFIFO_FULL0 V_OEFIFO_FULL0(1U)
31058
31059#define A_PM_TX_DBG_STAT7 0x10033
31060
31061#define S_ICSPI_RXVALID 28
31062#define M_ICSPI_RXVALID 0xfU
31063#define V_ICSPI_RXVALID(x) ((x) << S_ICSPI_RXVALID)
31064#define G_ICSPI_RXVALID(x) (((x) >> S_ICSPI_RXVALID) & M_ICSPI_RXVALID)
31065
31066#define S_ICSPI_RXFULL 24
31067#define M_ICSPI_RXFULL 0xfU
31068#define V_ICSPI_RXFULL(x) ((x) << S_ICSPI_RXFULL)
31069#define G_ICSPI_RXFULL(x) (((x) >> S_ICSPI_RXFULL) & M_ICSPI_RXFULL)
31070
31071#define S_OESPI_VALID 20
31072#define M_OESPI_VALID 0xfU
31073#define V_OESPI_VALID(x) ((x) << S_OESPI_VALID)
31074#define G_OESPI_VALID(x) (((x) >> S_OESPI_VALID) & M_OESPI_VALID)
31075
31076#define S_OESPI_FULL 16
31077#define M_OESPI_FULL 0xfU
31078#define V_OESPI_FULL(x) ((x) << S_OESPI_FULL)
31079#define G_OESPI_FULL(x) (((x) >> S_OESPI_FULL) & M_OESPI_FULL)
31080
31081#define S_C_RXVALID 12
31082#define M_C_RXVALID 0xfU
31083#define V_C_RXVALID(x) ((x) << S_C_RXVALID)
31084#define G_C_RXVALID(x) (((x) >> S_C_RXVALID) & M_C_RXVALID)
31085
31086#define S_C_RXAFULL 8
31087#define M_C_RXAFULL 0xfU
31088#define V_C_RXAFULL(x) ((x) << S_C_RXAFULL)
31089#define G_C_RXAFULL(x) (((x) >> S_C_RXAFULL) & M_C_RXAFULL)
31090
31091#define S_E_TXVALID3 7
31092#define V_E_TXVALID3(x) ((x) << S_E_TXVALID3)
31093#define F_E_TXVALID3 V_E_TXVALID3(1U)
31094
31095#define S_E_TXVALID2 6
31096#define V_E_TXVALID2(x) ((x) << S_E_TXVALID2)
31097#define F_E_TXVALID2 V_E_TXVALID2(1U)
31098
31099#define S_E_TXVALID1 5
31100#define V_E_TXVALID1(x) ((x) << S_E_TXVALID1)
31101#define F_E_TXVALID1 V_E_TXVALID1(1U)
31102
31103#define S_E_TXVALID0 4
31104#define V_E_TXVALID0(x) ((x) << S_E_TXVALID0)
31105#define F_E_TXVALID0 V_E_TXVALID0(1U)
31106
31107#define S_E_TXFULL3 3
31108#define V_E_TXFULL3(x) ((x) << S_E_TXFULL3)
31109#define F_E_TXFULL3 V_E_TXFULL3(1U)
31110
31111#define S_E_TXFULL2 2
31112#define V_E_TXFULL2(x) ((x) << S_E_TXFULL2)
31113#define F_E_TXFULL2 V_E_TXFULL2(1U)
31114
31115#define S_E_TXFULL1 1
31116#define V_E_TXFULL1(x) ((x) << S_E_TXFULL1)
31117#define F_E_TXFULL1 V_E_TXFULL1(1U)
31118
31119#define S_E_TXFULL0 0
31120#define V_E_TXFULL0(x) ((x) << S_E_TXFULL0)
31121#define F_E_TXFULL0 V_E_TXFULL0(1U)
31122
31123#define A_PM_TX_DBG_STAT8 0x10034
31124
31125#define S_MC_RSP_FIFO_CNT 24
31126#define M_MC_RSP_FIFO_CNT 0x3U
31127#define V_MC_RSP_FIFO_CNT(x) ((x) << S_MC_RSP_FIFO_CNT)
31128#define G_MC_RSP_FIFO_CNT(x) (((x) >> S_MC_RSP_FIFO_CNT) & M_MC_RSP_FIFO_CNT)
31129
31130#define S_PCMD_FREE_CNT0 14
31131#define M_PCMD_FREE_CNT0 0x3ffU
31132#define V_PCMD_FREE_CNT0(x) ((x) << S_PCMD_FREE_CNT0)
31133#define G_PCMD_FREE_CNT0(x) (((x) >> S_PCMD_FREE_CNT0) & M_PCMD_FREE_CNT0)
31134
31135#define S_PCMD_FREE_CNT1 4
31136#define M_PCMD_FREE_CNT1 0x3ffU
31137#define V_PCMD_FREE_CNT1(x) ((x) << S_PCMD_FREE_CNT1)
31138#define G_PCMD_FREE_CNT1(x) (((x) >> S_PCMD_FREE_CNT1) & M_PCMD_FREE_CNT1)
31139
31140#define S_M_REQADDRRDY 3
31141#define V_M_REQADDRRDY(x) ((x) << S_M_REQADDRRDY)
31142#define F_M_REQADDRRDY V_M_REQADDRRDY(1U)
31143
31144#define S_M_REQWRITE 2
31145#define V_M_REQWRITE(x) ((x) << S_M_REQWRITE)
31146#define F_M_REQWRITE V_M_REQWRITE(1U)
31147
31148#define S_M_REQDATAVLD 1
31149#define V_M_REQDATAVLD(x) ((x) << S_M_REQDATAVLD)
31150#define F_M_REQDATAVLD V_M_REQDATAVLD(1U)
31151
31152#define S_M_REQDATARDY 0
31153#define V_M_REQDATARDY(x) ((x) << S_M_REQDATARDY)
31154#define F_M_REQDATARDY V_M_REQDATARDY(1U)
31155
31156#define S_T6_MC_RSP_FIFO_CNT 27
31157#define M_T6_MC_RSP_FIFO_CNT 0x3U
31158#define V_T6_MC_RSP_FIFO_CNT(x) ((x) << S_T6_MC_RSP_FIFO_CNT)
31159#define G_T6_MC_RSP_FIFO_CNT(x) (((x) >> S_T6_MC_RSP_FIFO_CNT) & M_T6_MC_RSP_FIFO_CNT)
31160
31161#define S_T6_PCMD_FREE_CNT0 17
31162#define M_T6_PCMD_FREE_CNT0 0x3ffU
31163#define V_T6_PCMD_FREE_CNT0(x) ((x) << S_T6_PCMD_FREE_CNT0)
31164#define G_T6_PCMD_FREE_CNT0(x) (((x) >> S_T6_PCMD_FREE_CNT0) & M_T6_PCMD_FREE_CNT0)
31165
31166#define S_T6_PCMD_FREE_CNT1 7
31167#define M_T6_PCMD_FREE_CNT1 0x3ffU
31168#define V_T6_PCMD_FREE_CNT1(x) ((x) << S_T6_PCMD_FREE_CNT1)
31169#define G_T6_PCMD_FREE_CNT1(x) (((x) >> S_T6_PCMD_FREE_CNT1) & M_T6_PCMD_FREE_CNT1)
31170
31171#define S_M_RSPVLD 6
31172#define V_M_RSPVLD(x) ((x) << S_M_RSPVLD)
31173#define F_M_RSPVLD V_M_RSPVLD(1U)
31174
31175#define S_M_RSPRDY 5
31176#define V_M_RSPRDY(x) ((x) << S_M_RSPRDY)
31177#define F_M_RSPRDY V_M_RSPRDY(1U)
31178
31179#define S_M_REQADDRVLD 4
31180#define V_M_REQADDRVLD(x) ((x) << S_M_REQADDRVLD)
31181#define F_M_REQADDRVLD V_M_REQADDRVLD(1U)
31182
31183#define A_PM_TX_DBG_STAT9 0x10035
31184
31185#define S_PCMD_FREE_CNT2 10
31186#define M_PCMD_FREE_CNT2 0x3ffU
31187#define V_PCMD_FREE_CNT2(x) ((x) << S_PCMD_FREE_CNT2)
31188#define G_PCMD_FREE_CNT2(x) (((x) >> S_PCMD_FREE_CNT2) & M_PCMD_FREE_CNT2)
31189
31190#define S_PCMD_FREE_CNT3 0
31191#define M_PCMD_FREE_CNT3 0x3ffU
31192#define V_PCMD_FREE_CNT3(x) ((x) << S_PCMD_FREE_CNT3)
31193#define G_PCMD_FREE_CNT3(x) (((x) >> S_PCMD_FREE_CNT3) & M_PCMD_FREE_CNT3)
31194
31195#define A_PM_TX_DBG_STAT10 0x10036
31196
31197#define S_IN_EOP_CNT3 28
31198#define M_IN_EOP_CNT3 0xfU
31199#define V_IN_EOP_CNT3(x) ((x) << S_IN_EOP_CNT3)
31200#define G_IN_EOP_CNT3(x) (((x) >> S_IN_EOP_CNT3) & M_IN_EOP_CNT3)
31201
31202#define S_IN_EOP_CNT2 24
31203#define M_IN_EOP_CNT2 0xfU
31204#define V_IN_EOP_CNT2(x) ((x) << S_IN_EOP_CNT2)
31205#define G_IN_EOP_CNT2(x) (((x) >> S_IN_EOP_CNT2) & M_IN_EOP_CNT2)
31206
31207#define S_IN_EOP_CNT1 20
31208#define M_IN_EOP_CNT1 0xfU
31209#define V_IN_EOP_CNT1(x) ((x) << S_IN_EOP_CNT1)
31210#define G_IN_EOP_CNT1(x) (((x) >> S_IN_EOP_CNT1) & M_IN_EOP_CNT1)
31211
31212#define S_IN_EOP_CNT0 16
31213#define M_IN_EOP_CNT0 0xfU
31214#define V_IN_EOP_CNT0(x) ((x) << S_IN_EOP_CNT0)
31215#define G_IN_EOP_CNT0(x) (((x) >> S_IN_EOP_CNT0) & M_IN_EOP_CNT0)
31216
31217#define S_IN_SOP_CNT3 12
31218#define M_IN_SOP_CNT3 0xfU
31219#define V_IN_SOP_CNT3(x) ((x) << S_IN_SOP_CNT3)
31220#define G_IN_SOP_CNT3(x) (((x) >> S_IN_SOP_CNT3) & M_IN_SOP_CNT3)
31221
31222#define S_IN_SOP_CNT2 8
31223#define M_IN_SOP_CNT2 0xfU
31224#define V_IN_SOP_CNT2(x) ((x) << S_IN_SOP_CNT2)
31225#define G_IN_SOP_CNT2(x) (((x) >> S_IN_SOP_CNT2) & M_IN_SOP_CNT2)
31226
31227#define S_IN_SOP_CNT1 4
31228#define M_IN_SOP_CNT1 0xfU
31229#define V_IN_SOP_CNT1(x) ((x) << S_IN_SOP_CNT1)
31230#define G_IN_SOP_CNT1(x) (((x) >> S_IN_SOP_CNT1) & M_IN_SOP_CNT1)
31231
31232#define S_IN_SOP_CNT0 0
31233#define M_IN_SOP_CNT0 0xfU
31234#define V_IN_SOP_CNT0(x) ((x) << S_IN_SOP_CNT0)
31235#define G_IN_SOP_CNT0(x) (((x) >> S_IN_SOP_CNT0) & M_IN_SOP_CNT0)
31236
31237#define A_PM_TX_DBG_STAT11 0x10037
31238
31239#define S_OUT_EOP_CNT3 28
31240#define M_OUT_EOP_CNT3 0xfU
31241#define V_OUT_EOP_CNT3(x) ((x) << S_OUT_EOP_CNT3)
31242#define G_OUT_EOP_CNT3(x) (((x) >> S_OUT_EOP_CNT3) & M_OUT_EOP_CNT3)
31243
31244#define S_OUT_EOP_CNT2 24
31245#define M_OUT_EOP_CNT2 0xfU
31246#define V_OUT_EOP_CNT2(x) ((x) << S_OUT_EOP_CNT2)
31247#define G_OUT_EOP_CNT2(x) (((x) >> S_OUT_EOP_CNT2) & M_OUT_EOP_CNT2)
31248
31249#define S_OUT_EOP_CNT1 20
31250#define M_OUT_EOP_CNT1 0xfU
31251#define V_OUT_EOP_CNT1(x) ((x) << S_OUT_EOP_CNT1)
31252#define G_OUT_EOP_CNT1(x) (((x) >> S_OUT_EOP_CNT1) & M_OUT_EOP_CNT1)
31253
31254#define S_OUT_EOP_CNT0 16
31255#define M_OUT_EOP_CNT0 0xfU
31256#define V_OUT_EOP_CNT0(x) ((x) << S_OUT_EOP_CNT0)
31257#define G_OUT_EOP_CNT0(x) (((x) >> S_OUT_EOP_CNT0) & M_OUT_EOP_CNT0)
31258
31259#define S_OUT_SOP_CNT3 12
31260#define M_OUT_SOP_CNT3 0xfU
31261#define V_OUT_SOP_CNT3(x) ((x) << S_OUT_SOP_CNT3)
31262#define G_OUT_SOP_CNT3(x) (((x) >> S_OUT_SOP_CNT3) & M_OUT_SOP_CNT3)
31263
31264#define S_OUT_SOP_CNT2 8
31265#define M_OUT_SOP_CNT2 0xfU
31266#define V_OUT_SOP_CNT2(x) ((x) << S_OUT_SOP_CNT2)
31267#define G_OUT_SOP_CNT2(x) (((x) >> S_OUT_SOP_CNT2) & M_OUT_SOP_CNT2)
31268
31269#define S_OUT_SOP_CNT1 4
31270#define M_OUT_SOP_CNT1 0xfU
31271#define V_OUT_SOP_CNT1(x) ((x) << S_OUT_SOP_CNT1)
31272#define G_OUT_SOP_CNT1(x) (((x) >> S_OUT_SOP_CNT1) & M_OUT_SOP_CNT1)
31273
31274#define S_OUT_SOP_CNT0 0
31275#define M_OUT_SOP_CNT0 0xfU
31276#define V_OUT_SOP_CNT0(x) ((x) << S_OUT_SOP_CNT0)
31277#define G_OUT_SOP_CNT0(x) (((x) >> S_OUT_SOP_CNT0) & M_OUT_SOP_CNT0)
31278
31279#define A_PM_TX_DBG_STAT12 0x10038
31280#define A_PM_TX_DBG_STAT13 0x10039
31281
31282#define S_CH_DEFICIT_BLOWED 31
31283#define V_CH_DEFICIT_BLOWED(x) ((x) << S_CH_DEFICIT_BLOWED)
31284#define F_CH_DEFICIT_BLOWED V_CH_DEFICIT_BLOWED(1U)
31285
31286#define S_CH1_DEFICIT 16
31287#define M_CH1_DEFICIT 0xfffU
31288#define V_CH1_DEFICIT(x) ((x) << S_CH1_DEFICIT)
31289#define G_CH1_DEFICIT(x) (((x) >> S_CH1_DEFICIT) & M_CH1_DEFICIT)
31290
31291#define S_CH0_DEFICIT 0
31292#define M_CH0_DEFICIT 0xfffU
31293#define V_CH0_DEFICIT(x) ((x) << S_CH0_DEFICIT)
31294#define G_CH0_DEFICIT(x) (((x) >> S_CH0_DEFICIT) & M_CH0_DEFICIT)
31295
31296#define A_PM_TX_DBG_STAT14 0x1003a
31297
31298#define S_CH3_DEFICIT 16
31299#define M_CH3_DEFICIT 0xfffU
31300#define V_CH3_DEFICIT(x) ((x) << S_CH3_DEFICIT)
31301#define G_CH3_DEFICIT(x) (((x) >> S_CH3_DEFICIT) & M_CH3_DEFICIT)
31302
31303#define S_CH2_DEFICIT 0
31304#define M_CH2_DEFICIT 0xfffU
31305#define V_CH2_DEFICIT(x) ((x) << S_CH2_DEFICIT)
31306#define G_CH2_DEFICIT(x) (((x) >> S_CH2_DEFICIT) & M_CH2_DEFICIT)
31307
31308#define A_PM_TX_DBG_STAT15 0x1003b
31309
31310#define S_BUNDLE_LEN_SRDY 28
31311#define M_BUNDLE_LEN_SRDY 0xfU
31312#define V_BUNDLE_LEN_SRDY(x) ((x) << S_BUNDLE_LEN_SRDY)
31313#define G_BUNDLE_LEN_SRDY(x) (((x) >> S_BUNDLE_LEN_SRDY) & M_BUNDLE_LEN_SRDY)
31314
31315#define S_BUNDLE_LEN1 16
31316#define M_BUNDLE_LEN1 0xfffU
31317#define V_BUNDLE_LEN1(x) ((x) << S_BUNDLE_LEN1)
31318#define G_BUNDLE_LEN1(x) (((x) >> S_BUNDLE_LEN1) & M_BUNDLE_LEN1)
31319
31320#define S_BUNDLE_LEN0 0
31321#define M_BUNDLE_LEN0 0xfffU
31322#define V_BUNDLE_LEN0(x) ((x) << S_BUNDLE_LEN0)
31323#define G_BUNDLE_LEN0(x) (((x) >> S_BUNDLE_LEN0) & M_BUNDLE_LEN0)
31324
31325#define S_T6_BUNDLE_LEN_SRDY 24
31326#define M_T6_BUNDLE_LEN_SRDY 0x3U
31327#define V_T6_BUNDLE_LEN_SRDY(x) ((x) << S_T6_BUNDLE_LEN_SRDY)
31328#define G_T6_BUNDLE_LEN_SRDY(x) (((x) >> S_T6_BUNDLE_LEN_SRDY) & M_T6_BUNDLE_LEN_SRDY)
31329
31330#define S_T6_BUNDLE_LEN1 12
31331#define M_T6_BUNDLE_LEN1 0xfffU
31332#define V_T6_BUNDLE_LEN1(x) ((x) << S_T6_BUNDLE_LEN1)
31333#define G_T6_BUNDLE_LEN1(x) (((x) >> S_T6_BUNDLE_LEN1) & M_T6_BUNDLE_LEN1)
31334
31335#define A_PM_TX_DBG_STAT16 0x1003c
31336
31337#define S_BUNDLE_LEN3 16
31338#define M_BUNDLE_LEN3 0xfffU
31339#define V_BUNDLE_LEN3(x) ((x) << S_BUNDLE_LEN3)
31340#define G_BUNDLE_LEN3(x) (((x) >> S_BUNDLE_LEN3) & M_BUNDLE_LEN3)
31341
31342#define S_BUNDLE_LEN2 0
31343#define M_BUNDLE_LEN2 0xfffU
31344#define V_BUNDLE_LEN2(x) ((x) << S_BUNDLE_LEN2)
31345#define G_BUNDLE_LEN2(x) (((x) >> S_BUNDLE_LEN2) & M_BUNDLE_LEN2)
31346
31347/* registers for module MPS */
31348#define MPS_BASE_ADDR 0x9000
31349
31350#define A_MPS_PORT_CTL 0x0
31351
31352#define S_LPBKEN 31
31353#define V_LPBKEN(x) ((x) << S_LPBKEN)
31354#define F_LPBKEN V_LPBKEN(1U)
31355
31356#define S_PORTTXEN 30
31357#define V_PORTTXEN(x) ((x) << S_PORTTXEN)
31358#define F_PORTTXEN V_PORTTXEN(1U)
31359
31360#define S_PORTRXEN 29
31361#define V_PORTRXEN(x) ((x) << S_PORTRXEN)
31362#define F_PORTRXEN V_PORTRXEN(1U)
31363
31364#define S_PPPEN 28
31365#define V_PPPEN(x) ((x) << S_PPPEN)
31366#define F_PPPEN V_PPPEN(1U)
31367
31368#define S_FCSSTRIPEN 27
31369#define V_FCSSTRIPEN(x) ((x) << S_FCSSTRIPEN)
31370#define F_FCSSTRIPEN V_FCSSTRIPEN(1U)
31371
31372#define S_PPPANDPAUSE 26
31373#define V_PPPANDPAUSE(x) ((x) << S_PPPANDPAUSE)
31374#define F_PPPANDPAUSE V_PPPANDPAUSE(1U)
31375
31376#define S_PRIOPPPENMAP 16
31377#define M_PRIOPPPENMAP 0xffU
31378#define V_PRIOPPPENMAP(x) ((x) << S_PRIOPPPENMAP)
31379#define G_PRIOPPPENMAP(x) (((x) >> S_PRIOPPPENMAP) & M_PRIOPPPENMAP)
31380
31381#define A_MPS_VF_CTL 0x0
31382#define A_MPS_PORT_PAUSE_CTL 0x4
31383
31384#define S_TIMEUNIT 0
31385#define M_TIMEUNIT 0xffffU
31386#define V_TIMEUNIT(x) ((x) << S_TIMEUNIT)
31387#define G_TIMEUNIT(x) (((x) >> S_TIMEUNIT) & M_TIMEUNIT)
31388
31389#define A_MPS_PORT_TX_PAUSE_CTL 0x8
31390
31391#define S_REGSENDOFF 24
31392#define M_REGSENDOFF 0xffU
31393#define V_REGSENDOFF(x) ((x) << S_REGSENDOFF)
31394#define G_REGSENDOFF(x) (((x) >> S_REGSENDOFF) & M_REGSENDOFF)
31395
31396#define S_REGSENDON 16
31397#define M_REGSENDON 0xffU
31398#define V_REGSENDON(x) ((x) << S_REGSENDON)
31399#define G_REGSENDON(x) (((x) >> S_REGSENDON) & M_REGSENDON)
31400
31401#define S_SGESENDEN 8
31402#define M_SGESENDEN 0xffU
31403#define V_SGESENDEN(x) ((x) << S_SGESENDEN)
31404#define G_SGESENDEN(x) (((x) >> S_SGESENDEN) & M_SGESENDEN)
31405
31406#define S_RXSENDEN 0
31407#define M_RXSENDEN 0xffU
31408#define V_RXSENDEN(x) ((x) << S_RXSENDEN)
31409#define G_RXSENDEN(x) (((x) >> S_RXSENDEN) & M_RXSENDEN)
31410
31411#define A_MPS_PORT_TX_PAUSE_CTL2 0xc
31412
31413#define S_XOFFDISABLE 0
31414#define V_XOFFDISABLE(x) ((x) << S_XOFFDISABLE)
31415#define F_XOFFDISABLE V_XOFFDISABLE(1U)
31416
31417#define A_MPS_PORT_RX_PAUSE_CTL 0x10
31418
31419#define S_REGHALTON 8
31420#define M_REGHALTON 0xffU
31421#define V_REGHALTON(x) ((x) << S_REGHALTON)
31422#define G_REGHALTON(x) (((x) >> S_REGHALTON) & M_REGHALTON)
31423
31424#define S_RXHALTEN 0
31425#define M_RXHALTEN 0xffU
31426#define V_RXHALTEN(x) ((x) << S_RXHALTEN)
31427#define G_RXHALTEN(x) (((x) >> S_RXHALTEN) & M_RXHALTEN)
31428
31429#define A_MPS_PORT_TX_PAUSE_STATUS 0x14
31430
31431#define S_REGSENDING 16
31432#define M_REGSENDING 0xffU
31433#define V_REGSENDING(x) ((x) << S_REGSENDING)
31434#define G_REGSENDING(x) (((x) >> S_REGSENDING) & M_REGSENDING)
31435
31436#define S_SGESENDING 8
31437#define M_SGESENDING 0xffU
31438#define V_SGESENDING(x) ((x) << S_SGESENDING)
31439#define G_SGESENDING(x) (((x) >> S_SGESENDING) & M_SGESENDING)
31440
31441#define S_RXSENDING 0
31442#define M_RXSENDING 0xffU
31443#define V_RXSENDING(x) ((x) << S_RXSENDING)
31444#define G_RXSENDING(x) (((x) >> S_RXSENDING) & M_RXSENDING)
31445
31446#define A_MPS_PORT_RX_PAUSE_STATUS 0x18
31447
31448#define S_REGHALTED 8
31449#define M_REGHALTED 0xffU
31450#define V_REGHALTED(x) ((x) << S_REGHALTED)
31451#define G_REGHALTED(x) (((x) >> S_REGHALTED) & M_REGHALTED)
31452
31453#define S_RXHALTED 0
31454#define M_RXHALTED 0xffU
31455#define V_RXHALTED(x) ((x) << S_RXHALTED)
31456#define G_RXHALTED(x) (((x) >> S_RXHALTED) & M_RXHALTED)
31457
31458#define A_MPS_PORT_TX_PAUSE_DEST_L 0x1c
31459#define A_MPS_PORT_TX_PAUSE_DEST_H 0x20
31460
31461#define S_ADDR 0
31462#define M_ADDR 0xffffU
31463#define V_ADDR(x) ((x) << S_ADDR)
31464#define G_ADDR(x) (((x) >> S_ADDR) & M_ADDR)
31465
31466#define A_MPS_PORT_TX_PAUSE_SOURCE_L 0x24
31467#define A_MPS_PORT_TX_PAUSE_SOURCE_H 0x28
31468#define A_MPS_PORT_PRTY_BUFFER_GROUP_MAP 0x2c
31469
31470#define S_PRTY7 14
31471#define M_PRTY7 0x3U
31472#define V_PRTY7(x) ((x) << S_PRTY7)
31473#define G_PRTY7(x) (((x) >> S_PRTY7) & M_PRTY7)
31474
31475#define S_PRTY6 12
31476#define M_PRTY6 0x3U
31477#define V_PRTY6(x) ((x) << S_PRTY6)
31478#define G_PRTY6(x) (((x) >> S_PRTY6) & M_PRTY6)
31479
31480#define S_PRTY5 10
31481#define M_PRTY5 0x3U
31482#define V_PRTY5(x) ((x) << S_PRTY5)
31483#define G_PRTY5(x) (((x) >> S_PRTY5) & M_PRTY5)
31484
31485#define S_PRTY4 8
31486#define M_PRTY4 0x3U
31487#define V_PRTY4(x) ((x) << S_PRTY4)
31488#define G_PRTY4(x) (((x) >> S_PRTY4) & M_PRTY4)
31489
31490#define S_PRTY3 6
31491#define M_PRTY3 0x3U
31492#define V_PRTY3(x) ((x) << S_PRTY3)
31493#define G_PRTY3(x) (((x) >> S_PRTY3) & M_PRTY3)
31494
31495#define S_PRTY2 4
31496#define M_PRTY2 0x3U
31497#define V_PRTY2(x) ((x) << S_PRTY2)
31498#define G_PRTY2(x) (((x) >> S_PRTY2) & M_PRTY2)
31499
31500#define S_PRTY1 2
31501#define M_PRTY1 0x3U
31502#define V_PRTY1(x) ((x) << S_PRTY1)
31503#define G_PRTY1(x) (((x) >> S_PRTY1) & M_PRTY1)
31504
31505#define S_PRTY0 0
31506#define M_PRTY0 0x3U
31507#define V_PRTY0(x) ((x) << S_PRTY0)
31508#define G_PRTY0(x) (((x) >> S_PRTY0) & M_PRTY0)
31509
31510#define A_MPS_PORT_PRTY_BUFFER_GROUP_TH_MAP 0x30
31511
31512#define S_TXPRTY7 28
31513#define M_TXPRTY7 0xfU
31514#define V_TXPRTY7(x) ((x) << S_TXPRTY7)
31515#define G_TXPRTY7(x) (((x) >> S_TXPRTY7) & M_TXPRTY7)
31516
31517#define S_TXPRTY6 24
31518#define M_TXPRTY6 0xfU
31519#define V_TXPRTY6(x) ((x) << S_TXPRTY6)
31520#define G_TXPRTY6(x) (((x) >> S_TXPRTY6) & M_TXPRTY6)
31521
31522#define S_TXPRTY5 20
31523#define M_TXPRTY5 0xfU
31524#define V_TXPRTY5(x) ((x) << S_TXPRTY5)
31525#define G_TXPRTY5(x) (((x) >> S_TXPRTY5) & M_TXPRTY5)
31526
31527#define S_TXPRTY4 16
31528#define M_TXPRTY4 0xfU
31529#define V_TXPRTY4(x) ((x) << S_TXPRTY4)
31530#define G_TXPRTY4(x) (((x) >> S_TXPRTY4) & M_TXPRTY4)
31531
31532#define S_TXPRTY3 12
31533#define M_TXPRTY3 0xfU
31534#define V_TXPRTY3(x) ((x) << S_TXPRTY3)
31535#define G_TXPRTY3(x) (((x) >> S_TXPRTY3) & M_TXPRTY3)
31536
31537#define S_TXPRTY2 8
31538#define M_TXPRTY2 0xfU
31539#define V_TXPRTY2(x) ((x) << S_TXPRTY2)
31540#define G_TXPRTY2(x) (((x) >> S_TXPRTY2) & M_TXPRTY2)
31541
31542#define S_TXPRTY1 4
31543#define M_TXPRTY1 0xfU
31544#define V_TXPRTY1(x) ((x) << S_TXPRTY1)
31545#define G_TXPRTY1(x) (((x) >> S_TXPRTY1) & M_TXPRTY1)
31546
31547#define S_TXPRTY0 0
31548#define M_TXPRTY0 0xfU
31549#define V_TXPRTY0(x) ((x) << S_TXPRTY0)
31550#define G_TXPRTY0(x) (((x) >> S_TXPRTY0) & M_TXPRTY0)
31551
31552#define A_MPS_VF_STAT_TX_VF_BCAST_BYTES_L 0x80
31553#define A_MPS_VF_STAT_TX_VF_BCAST_BYTES_H 0x84
31554#define A_MPS_VF_STAT_TX_VF_BCAST_FRAMES_L 0x88
31555#define A_MPS_VF_STAT_TX_VF_BCAST_FRAMES_H 0x8c
31556#define A_MPS_VF_STAT_TX_VF_MCAST_BYTES_L 0x90
31557#define A_MPS_VF_STAT_TX_VF_MCAST_BYTES_H 0x94
31558#define A_MPS_VF_STAT_TX_VF_MCAST_FRAMES_L 0x98
31559#define A_MPS_VF_STAT_TX_VF_MCAST_FRAMES_H 0x9c
31560#define A_MPS_VF_STAT_TX_VF_UCAST_BYTES_L 0xa0
31561#define A_MPS_VF_STAT_TX_VF_UCAST_BYTES_H 0xa4
31562#define A_MPS_VF_STAT_TX_VF_UCAST_FRAMES_L 0xa8
31563#define A_MPS_VF_STAT_TX_VF_UCAST_FRAMES_H 0xac
31564#define A_MPS_VF_STAT_TX_VF_DROP_FRAMES_L 0xb0
31565#define A_MPS_VF_STAT_TX_VF_DROP_FRAMES_H 0xb4
31566#define A_MPS_VF_STAT_TX_VF_OFFLOAD_BYTES_L 0xb8
31567#define A_MPS_VF_STAT_TX_VF_OFFLOAD_BYTES_H 0xbc
31568#define A_MPS_VF_STAT_TX_VF_OFFLOAD_FRAMES_L 0xc0
31569#define A_MPS_VF_STAT_TX_VF_OFFLOAD_FRAMES_H 0xc4
31570#define A_MPS_VF_STAT_RX_VF_BCAST_BYTES_L 0xc8
31571#define A_MPS_VF_STAT_RX_VF_BCAST_BYTES_H 0xcc
31572#define A_MPS_VF_STAT_RX_VF_BCAST_FRAMES_L 0xd0
31573#define A_MPS_VF_STAT_RX_VF_BCAST_FRAMES_H 0xd4
31574#define A_MPS_VF_STAT_RX_VF_MCAST_BYTES_L 0xd8
31575#define A_MPS_VF_STAT_RX_VF_MCAST_BYTES_H 0xdc
31576#define A_MPS_VF_STAT_RX_VF_MCAST_FRAMES_L 0xe0
31577#define A_MPS_VF_STAT_RX_VF_MCAST_FRAMES_H 0xe4
31578#define A_MPS_VF_STAT_RX_VF_UCAST_BYTES_L 0xe8
31579#define A_MPS_VF_STAT_RX_VF_UCAST_BYTES_H 0xec
31580#define A_MPS_VF_STAT_RX_VF_UCAST_FRAMES_L 0xf0
31581#define A_MPS_VF_STAT_RX_VF_UCAST_FRAMES_H 0xf4
31582#define A_MPS_VF_STAT_RX_VF_ERR_FRAMES_L 0xf8
31583#define A_MPS_VF_STAT_RX_VF_ERR_FRAMES_H 0xfc
31584#define A_MPS_PORT_RX_CTL 0x100
31585
31586#define S_NO_RPLCT_M 20
31587#define V_NO_RPLCT_M(x) ((x) << S_NO_RPLCT_M)
31588#define F_NO_RPLCT_M V_NO_RPLCT_M(1U)
31589
31590#define S_RPLCT_SEL_L 18
31591#define M_RPLCT_SEL_L 0x3U
31592#define V_RPLCT_SEL_L(x) ((x) << S_RPLCT_SEL_L)
31593#define G_RPLCT_SEL_L(x) (((x) >> S_RPLCT_SEL_L) & M_RPLCT_SEL_L)
31594
31595#define S_FLTR_VLAN_SEL 17
31596#define V_FLTR_VLAN_SEL(x) ((x) << S_FLTR_VLAN_SEL)
31597#define F_FLTR_VLAN_SEL V_FLTR_VLAN_SEL(1U)
31598
31599#define S_PRIO_VLAN_SEL 16
31600#define V_PRIO_VLAN_SEL(x) ((x) << S_PRIO_VLAN_SEL)
31601#define F_PRIO_VLAN_SEL V_PRIO_VLAN_SEL(1U)
31602
31603#define S_CHK_8023_LEN_M 15
31604#define V_CHK_8023_LEN_M(x) ((x) << S_CHK_8023_LEN_M)
31605#define F_CHK_8023_LEN_M V_CHK_8023_LEN_M(1U)
31606
31607#define S_CHK_8023_LEN_L 14
31608#define V_CHK_8023_LEN_L(x) ((x) << S_CHK_8023_LEN_L)
31609#define F_CHK_8023_LEN_L V_CHK_8023_LEN_L(1U)
31610
31611#define S_NIV_DROP 13
31612#define V_NIV_DROP(x) ((x) << S_NIV_DROP)
31613#define F_NIV_DROP V_NIV_DROP(1U)
31614
31615#define S_NOV_DROP 12
31616#define V_NOV_DROP(x) ((x) << S_NOV_DROP)
31617#define F_NOV_DROP V_NOV_DROP(1U)
31618
31619#define S_CLS_PRT 11
31620#define V_CLS_PRT(x) ((x) << S_CLS_PRT)
31621#define F_CLS_PRT V_CLS_PRT(1U)
31622
31623#define S_RX_QFC_EN 10
31624#define V_RX_QFC_EN(x) ((x) << S_RX_QFC_EN)
31625#define F_RX_QFC_EN V_RX_QFC_EN(1U)
31626
31627#define S_QFC_FWD_UP 9
31628#define V_QFC_FWD_UP(x) ((x) << S_QFC_FWD_UP)
31629#define F_QFC_FWD_UP V_QFC_FWD_UP(1U)
31630
31631#define S_PPP_FWD_UP 8
31632#define V_PPP_FWD_UP(x) ((x) << S_PPP_FWD_UP)
31633#define F_PPP_FWD_UP V_PPP_FWD_UP(1U)
31634
31635#define S_PAUSE_FWD_UP 7
31636#define V_PAUSE_FWD_UP(x) ((x) << S_PAUSE_FWD_UP)
31637#define F_PAUSE_FWD_UP V_PAUSE_FWD_UP(1U)
31638
31639#define S_LPBK_BP 6
31640#define V_LPBK_BP(x) ((x) << S_LPBK_BP)
31641#define F_LPBK_BP V_LPBK_BP(1U)
31642
31643#define S_PASS_NO_MATCH 5
31644#define V_PASS_NO_MATCH(x) ((x) << S_PASS_NO_MATCH)
31645#define F_PASS_NO_MATCH V_PASS_NO_MATCH(1U)
31646
31647#define S_IVLAN_EN 4
31648#define V_IVLAN_EN(x) ((x) << S_IVLAN_EN)
31649#define F_IVLAN_EN V_IVLAN_EN(1U)
31650
31651#define S_OVLAN_EN3 3
31652#define V_OVLAN_EN3(x) ((x) << S_OVLAN_EN3)
31653#define F_OVLAN_EN3 V_OVLAN_EN3(1U)
31654
31655#define S_OVLAN_EN2 2
31656#define V_OVLAN_EN2(x) ((x) << S_OVLAN_EN2)
31657#define F_OVLAN_EN2 V_OVLAN_EN2(1U)
31658
31659#define S_OVLAN_EN1 1
31660#define V_OVLAN_EN1(x) ((x) << S_OVLAN_EN1)
31661#define F_OVLAN_EN1 V_OVLAN_EN1(1U)
31662
31663#define S_OVLAN_EN0 0
31664#define V_OVLAN_EN0(x) ((x) << S_OVLAN_EN0)
31665#define F_OVLAN_EN0 V_OVLAN_EN0(1U)
31666
31667#define S_PTP_FWD_UP 21
31668#define V_PTP_FWD_UP(x) ((x) << S_PTP_FWD_UP)
31669#define F_PTP_FWD_UP V_PTP_FWD_UP(1U)
31670
31671#define S_HASH_PRIO_SEL_LPBK 25
31672#define V_HASH_PRIO_SEL_LPBK(x) ((x) << S_HASH_PRIO_SEL_LPBK)
31673#define F_HASH_PRIO_SEL_LPBK V_HASH_PRIO_SEL_LPBK(1U)
31674
31675#define S_HASH_PRIO_SEL_MAC 24
31676#define V_HASH_PRIO_SEL_MAC(x) ((x) << S_HASH_PRIO_SEL_MAC)
31677#define F_HASH_PRIO_SEL_MAC V_HASH_PRIO_SEL_MAC(1U)
31678
31679#define S_HASH_EN_LPBK 23
31680#define V_HASH_EN_LPBK(x) ((x) << S_HASH_EN_LPBK)
31681#define F_HASH_EN_LPBK V_HASH_EN_LPBK(1U)
31682
31683#define S_HASH_EN_MAC 22
31684#define V_HASH_EN_MAC(x) ((x) << S_HASH_EN_MAC)
31685#define F_HASH_EN_MAC V_HASH_EN_MAC(1U)
31686
31687#define A_MPS_PORT_RX_MTU 0x104
31688#define A_MPS_PORT_RX_PF_MAP 0x108
31689#define A_MPS_PORT_RX_VF_MAP0 0x10c
31690#define A_MPS_PORT_RX_VF_MAP1 0x110
31691#define A_MPS_PORT_RX_VF_MAP2 0x114
31692#define A_MPS_PORT_RX_VF_MAP3 0x118
31693#define A_MPS_PORT_RX_IVLAN 0x11c
31694
31695#define S_IVLAN_ETYPE 0
31696#define M_IVLAN_ETYPE 0xffffU
31697#define V_IVLAN_ETYPE(x) ((x) << S_IVLAN_ETYPE)
31698#define G_IVLAN_ETYPE(x) (((x) >> S_IVLAN_ETYPE) & M_IVLAN_ETYPE)
31699
31700#define A_MPS_PORT_RX_OVLAN0 0x120
31701
31702#define S_OVLAN_MASK 16
31703#define M_OVLAN_MASK 0xffffU
31704#define V_OVLAN_MASK(x) ((x) << S_OVLAN_MASK)
31705#define G_OVLAN_MASK(x) (((x) >> S_OVLAN_MASK) & M_OVLAN_MASK)
31706
31707#define S_OVLAN_ETYPE 0
31708#define M_OVLAN_ETYPE 0xffffU
31709#define V_OVLAN_ETYPE(x) ((x) << S_OVLAN_ETYPE)
31710#define G_OVLAN_ETYPE(x) (((x) >> S_OVLAN_ETYPE) & M_OVLAN_ETYPE)
31711
31712#define A_MPS_PORT_RX_OVLAN1 0x124
31713#define A_MPS_PORT_RX_OVLAN2 0x128
31714#define A_MPS_PORT_RX_OVLAN3 0x12c
31715#define A_MPS_PORT_RX_RSS_HASH 0x130
31716#define A_MPS_PORT_RX_RSS_CONTROL 0x134
31717
31718#define S_RSS_CTRL 16
31719#define M_RSS_CTRL 0xffU
31720#define V_RSS_CTRL(x) ((x) << S_RSS_CTRL)
31721#define G_RSS_CTRL(x) (((x) >> S_RSS_CTRL) & M_RSS_CTRL)
31722
31723#define S_QUE_NUM 0
31724#define M_QUE_NUM 0xffffU
31725#define V_QUE_NUM(x) ((x) << S_QUE_NUM)
31726#define G_QUE_NUM(x) (((x) >> S_QUE_NUM) & M_QUE_NUM)
31727
31728#define A_MPS_PORT_RX_CTL1 0x138
31729
31730#define S_FIXED_PFVF_MAC 13
31731#define V_FIXED_PFVF_MAC(x) ((x) << S_FIXED_PFVF_MAC)
31732#define F_FIXED_PFVF_MAC V_FIXED_PFVF_MAC(1U)
31733
31734#define S_FIXED_PFVF_LPBK 12
31735#define V_FIXED_PFVF_LPBK(x) ((x) << S_FIXED_PFVF_LPBK)
31736#define F_FIXED_PFVF_LPBK V_FIXED_PFVF_LPBK(1U)
31737
31738#define S_FIXED_PFVF_LPBK_OV 11
31739#define V_FIXED_PFVF_LPBK_OV(x) ((x) << S_FIXED_PFVF_LPBK_OV)
31740#define F_FIXED_PFVF_LPBK_OV V_FIXED_PFVF_LPBK_OV(1U)
31741
31742#define S_FIXED_PF 8
31743#define M_FIXED_PF 0x7U
31744#define V_FIXED_PF(x) ((x) << S_FIXED_PF)
31745#define G_FIXED_PF(x) (((x) >> S_FIXED_PF) & M_FIXED_PF)
31746
31747#define S_FIXED_VF_VLD 7
31748#define V_FIXED_VF_VLD(x) ((x) << S_FIXED_VF_VLD)
31749#define F_FIXED_VF_VLD V_FIXED_VF_VLD(1U)
31750
31751#define S_FIXED_VF 0
31752#define M_FIXED_VF 0x7fU
31753#define V_FIXED_VF(x) ((x) << S_FIXED_VF)
31754#define G_FIXED_VF(x) (((x) >> S_FIXED_VF) & M_FIXED_VF)
31755
31756#define S_T6_FIXED_PFVF_MAC 14
31757#define V_T6_FIXED_PFVF_MAC(x) ((x) << S_T6_FIXED_PFVF_MAC)
31758#define F_T6_FIXED_PFVF_MAC V_T6_FIXED_PFVF_MAC(1U)
31759
31760#define S_T6_FIXED_PFVF_LPBK 13
31761#define V_T6_FIXED_PFVF_LPBK(x) ((x) << S_T6_FIXED_PFVF_LPBK)
31762#define F_T6_FIXED_PFVF_LPBK V_T6_FIXED_PFVF_LPBK(1U)
31763
31764#define S_T6_FIXED_PFVF_LPBK_OV 12
31765#define V_T6_FIXED_PFVF_LPBK_OV(x) ((x) << S_T6_FIXED_PFVF_LPBK_OV)
31766#define F_T6_FIXED_PFVF_LPBK_OV V_T6_FIXED_PFVF_LPBK_OV(1U)
31767
31768#define S_T6_FIXED_PF 9
31769#define M_T6_FIXED_PF 0x7U
31770#define V_T6_FIXED_PF(x) ((x) << S_T6_FIXED_PF)
31771#define G_T6_FIXED_PF(x) (((x) >> S_T6_FIXED_PF) & M_T6_FIXED_PF)
31772
31773#define S_T6_FIXED_VF_VLD 8
31774#define V_T6_FIXED_VF_VLD(x) ((x) << S_T6_FIXED_VF_VLD)
31775#define F_T6_FIXED_VF_VLD V_T6_FIXED_VF_VLD(1U)
31776
31777#define S_T6_FIXED_VF 0
31778#define M_T6_FIXED_VF 0xffU
31779#define V_T6_FIXED_VF(x) ((x) << S_T6_FIXED_VF)
31780#define G_T6_FIXED_VF(x) (((x) >> S_T6_FIXED_VF) & M_T6_FIXED_VF)
31781
31782#define A_MPS_PORT_RX_SPARE 0x13c
31783#define A_MPS_PORT_RX_PTP_RSS_HASH 0x140
31784#define A_MPS_PORT_RX_PTP_RSS_CONTROL 0x144
31785#define A_MPS_PORT_RX_TS_VLD 0x148
31786
31787#define S_TS_VLD 0
31788#define M_TS_VLD 0x3U
31789#define V_TS_VLD(x) ((x) << S_TS_VLD)
31790#define G_TS_VLD(x) (((x) >> S_TS_VLD) & M_TS_VLD)
31791
31792#define A_MPS_PORT_RX_TNL_LKP_INNER_SEL 0x14c
31793
31794#define S_LKP_SEL 0
31795#define V_LKP_SEL(x) ((x) << S_LKP_SEL)
31796#define F_LKP_SEL V_LKP_SEL(1U)
31797
31798#define A_MPS_PORT_RX_VF_MAP4 0x150
31799#define A_MPS_PORT_RX_VF_MAP5 0x154
31800#define A_MPS_PORT_RX_VF_MAP6 0x158
31801#define A_MPS_PORT_RX_VF_MAP7 0x15c
31802#define A_MPS_PORT_RX_PRS_DEBUG_FLAG_MAC 0x160
31803
31804#define S_OUTER_IPV4_N_INNER_IPV4 31
31805#define V_OUTER_IPV4_N_INNER_IPV4(x) ((x) << S_OUTER_IPV4_N_INNER_IPV4)
31806#define F_OUTER_IPV4_N_INNER_IPV4 V_OUTER_IPV4_N_INNER_IPV4(1U)
31807
31808#define S_OUTER_IPV4_N_INNER_IPV6 30
31809#define V_OUTER_IPV4_N_INNER_IPV6(x) ((x) << S_OUTER_IPV4_N_INNER_IPV6)
31810#define F_OUTER_IPV4_N_INNER_IPV6 V_OUTER_IPV4_N_INNER_IPV6(1U)
31811
31812#define S_OUTER_IPV6_N_INNER_IPV4 29
31813#define V_OUTER_IPV6_N_INNER_IPV4(x) ((x) << S_OUTER_IPV6_N_INNER_IPV4)
31814#define F_OUTER_IPV6_N_INNER_IPV4 V_OUTER_IPV6_N_INNER_IPV4(1U)
31815
31816#define S_OUTER_IPV6_N_INNER_IPV6 28
31817#define V_OUTER_IPV6_N_INNER_IPV6(x) ((x) << S_OUTER_IPV6_N_INNER_IPV6)
31818#define F_OUTER_IPV6_N_INNER_IPV6 V_OUTER_IPV6_N_INNER_IPV6(1U)
31819
31820#define S_OUTER_IPV4_N_VLAN_NVGRE 27
31821#define V_OUTER_IPV4_N_VLAN_NVGRE(x) ((x) << S_OUTER_IPV4_N_VLAN_NVGRE)
31822#define F_OUTER_IPV4_N_VLAN_NVGRE V_OUTER_IPV4_N_VLAN_NVGRE(1U)
31823
31824#define S_OUTER_IPV6_N_VLAN_NVGRE 26
31825#define V_OUTER_IPV6_N_VLAN_NVGRE(x) ((x) << S_OUTER_IPV6_N_VLAN_NVGRE)
31826#define F_OUTER_IPV6_N_VLAN_NVGRE V_OUTER_IPV6_N_VLAN_NVGRE(1U)
31827
31828#define S_OUTER_IPV4_N_DOUBLE_VLAN_NVGRE 25
31829#define V_OUTER_IPV4_N_DOUBLE_VLAN_NVGRE(x) ((x) << S_OUTER_IPV4_N_DOUBLE_VLAN_NVGRE)
31830#define F_OUTER_IPV4_N_DOUBLE_VLAN_NVGRE V_OUTER_IPV4_N_DOUBLE_VLAN_NVGRE(1U)
31831
31832#define S_OUTER_IPV6_N_DOUBLE_VLAN_NVGRE 24
31833#define V_OUTER_IPV6_N_DOUBLE_VLAN_NVGRE(x) ((x) << S_OUTER_IPV6_N_DOUBLE_VLAN_NVGRE)
31834#define F_OUTER_IPV6_N_DOUBLE_VLAN_NVGRE V_OUTER_IPV6_N_DOUBLE_VLAN_NVGRE(1U)
31835
31836#define S_OUTER_IPV4_N_VLAN_GRE 23
31837#define V_OUTER_IPV4_N_VLAN_GRE(x) ((x) << S_OUTER_IPV4_N_VLAN_GRE)
31838#define F_OUTER_IPV4_N_VLAN_GRE V_OUTER_IPV4_N_VLAN_GRE(1U)
31839
31840#define S_OUTER_IPV6_N_VLAN_GRE 22
31841#define V_OUTER_IPV6_N_VLAN_GRE(x) ((x) << S_OUTER_IPV6_N_VLAN_GRE)
31842#define F_OUTER_IPV6_N_VLAN_GRE V_OUTER_IPV6_N_VLAN_GRE(1U)
31843
31844#define S_OUTER_IPV4_N_DOUBLE_VLAN_GRE 21
31845#define V_OUTER_IPV4_N_DOUBLE_VLAN_GRE(x) ((x) << S_OUTER_IPV4_N_DOUBLE_VLAN_GRE)
31846#define F_OUTER_IPV4_N_DOUBLE_VLAN_GRE V_OUTER_IPV4_N_DOUBLE_VLAN_GRE(1U)
31847
31848#define S_OUTER_IPV6_N_DOUBLE_VLAN_GRE 20
31849#define V_OUTER_IPV6_N_DOUBLE_VLAN_GRE(x) ((x) << S_OUTER_IPV6_N_DOUBLE_VLAN_GRE)
31850#define F_OUTER_IPV6_N_DOUBLE_VLAN_GRE V_OUTER_IPV6_N_DOUBLE_VLAN_GRE(1U)
31851
31852#define S_OUTER_IPV4_N_VLAN_VXLAN 19
31853#define V_OUTER_IPV4_N_VLAN_VXLAN(x) ((x) << S_OUTER_IPV4_N_VLAN_VXLAN)
31854#define F_OUTER_IPV4_N_VLAN_VXLAN V_OUTER_IPV4_N_VLAN_VXLAN(1U)
31855
31856#define S_OUTER_IPV6_N_VLAN_VXLAN 18
31857#define V_OUTER_IPV6_N_VLAN_VXLAN(x) ((x) << S_OUTER_IPV6_N_VLAN_VXLAN)
31858#define F_OUTER_IPV6_N_VLAN_VXLAN V_OUTER_IPV6_N_VLAN_VXLAN(1U)
31859
31860#define S_OUTER_IPV4_N_DOUBLE_VLAN_VXLAN 17
31861#define V_OUTER_IPV4_N_DOUBLE_VLAN_VXLAN(x) ((x) << S_OUTER_IPV4_N_DOUBLE_VLAN_VXLAN)
31862#define F_OUTER_IPV4_N_DOUBLE_VLAN_VXLAN V_OUTER_IPV4_N_DOUBLE_VLAN_VXLAN(1U)
31863
31864#define S_OUTER_IPV6_N_DOUBLE_VLAN_VXLAN 16
31865#define V_OUTER_IPV6_N_DOUBLE_VLAN_VXLAN(x) ((x) << S_OUTER_IPV6_N_DOUBLE_VLAN_VXLAN)
31866#define F_OUTER_IPV6_N_DOUBLE_VLAN_VXLAN V_OUTER_IPV6_N_DOUBLE_VLAN_VXLAN(1U)
31867
31868#define S_OUTER_IPV4_N_VLAN_GENEVE 15
31869#define V_OUTER_IPV4_N_VLAN_GENEVE(x) ((x) << S_OUTER_IPV4_N_VLAN_GENEVE)
31870#define F_OUTER_IPV4_N_VLAN_GENEVE V_OUTER_IPV4_N_VLAN_GENEVE(1U)
31871
31872#define S_OUTER_IPV6_N_VLAN_GENEVE 14
31873#define V_OUTER_IPV6_N_VLAN_GENEVE(x) ((x) << S_OUTER_IPV6_N_VLAN_GENEVE)
31874#define F_OUTER_IPV6_N_VLAN_GENEVE V_OUTER_IPV6_N_VLAN_GENEVE(1U)
31875
31876#define S_OUTER_IPV4_N_DOUBLE_VLAN_GENEVE 13
31877#define V_OUTER_IPV4_N_DOUBLE_VLAN_GENEVE(x) ((x) << S_OUTER_IPV4_N_DOUBLE_VLAN_GENEVE)
31878#define F_OUTER_IPV4_N_DOUBLE_VLAN_GENEVE V_OUTER_IPV4_N_DOUBLE_VLAN_GENEVE(1U)
31879
31880#define S_OUTER_IPV6_N_DOUBLE_VLAN_GENEVE 12
31881#define V_OUTER_IPV6_N_DOUBLE_VLAN_GENEVE(x) ((x) << S_OUTER_IPV6_N_DOUBLE_VLAN_GENEVE)
31882#define F_OUTER_IPV6_N_DOUBLE_VLAN_GENEVE V_OUTER_IPV6_N_DOUBLE_VLAN_GENEVE(1U)
31883
31884#define S_ERR_TNL_HDR_LEN 11
31885#define V_ERR_TNL_HDR_LEN(x) ((x) << S_ERR_TNL_HDR_LEN)
31886#define F_ERR_TNL_HDR_LEN V_ERR_TNL_HDR_LEN(1U)
31887
31888#define S_NON_RUNT_FRAME 10
31889#define V_NON_RUNT_FRAME(x) ((x) << S_NON_RUNT_FRAME)
31890#define F_NON_RUNT_FRAME V_NON_RUNT_FRAME(1U)
31891
31892#define S_INNER_VLAN_VLD 9
31893#define V_INNER_VLAN_VLD(x) ((x) << S_INNER_VLAN_VLD)
31894#define F_INNER_VLAN_VLD V_INNER_VLAN_VLD(1U)
31895
31896#define S_ERR_IP_PAYLOAD_LEN 8
31897#define V_ERR_IP_PAYLOAD_LEN(x) ((x) << S_ERR_IP_PAYLOAD_LEN)
31898#define F_ERR_IP_PAYLOAD_LEN V_ERR_IP_PAYLOAD_LEN(1U)
31899
31900#define S_ERR_UDP_PAYLOAD_LEN 7
31901#define V_ERR_UDP_PAYLOAD_LEN(x) ((x) << S_ERR_UDP_PAYLOAD_LEN)
31902#define F_ERR_UDP_PAYLOAD_LEN V_ERR_UDP_PAYLOAD_LEN(1U)
31903
31904#define A_MPS_PORT_RX_PRS_DEBUG_FLAG_LPBK 0x164
31905
31906#define S_T6_INNER_VLAN_VLD 10
31907#define V_T6_INNER_VLAN_VLD(x) ((x) << S_T6_INNER_VLAN_VLD)
31908#define F_T6_INNER_VLAN_VLD V_T6_INNER_VLAN_VLD(1U)
31909
31910#define S_T6_ERR_IP_PAYLOAD_LEN 9
31911#define V_T6_ERR_IP_PAYLOAD_LEN(x) ((x) << S_T6_ERR_IP_PAYLOAD_LEN)
31912#define F_T6_ERR_IP_PAYLOAD_LEN V_T6_ERR_IP_PAYLOAD_LEN(1U)
31913
31914#define S_T6_ERR_UDP_PAYLOAD_LEN 8
31915#define V_T6_ERR_UDP_PAYLOAD_LEN(x) ((x) << S_T6_ERR_UDP_PAYLOAD_LEN)
31916#define F_T6_ERR_UDP_PAYLOAD_LEN V_T6_ERR_UDP_PAYLOAD_LEN(1U)
31917
31918#define A_MPS_PORT_RX_REPL_VECT_SEL 0x168
31919
31920#define S_DIS_REPL_VECT_SEL 4
31921#define V_DIS_REPL_VECT_SEL(x) ((x) << S_DIS_REPL_VECT_SEL)
31922#define F_DIS_REPL_VECT_SEL V_DIS_REPL_VECT_SEL(1U)
31923
31924#define S_REPL_VECT_SEL 0
31925#define M_REPL_VECT_SEL 0xfU
31926#define V_REPL_VECT_SEL(x) ((x) << S_REPL_VECT_SEL)
31927#define G_REPL_VECT_SEL(x) (((x) >> S_REPL_VECT_SEL) & M_REPL_VECT_SEL)
31928
31929#define A_MPS_PORT_TX_MAC_RELOAD_CH0 0x190
31930
31931#define S_CREDIT 0
31932#define M_CREDIT 0xffffU
31933#define V_CREDIT(x) ((x) << S_CREDIT)
31934#define G_CREDIT(x) (((x) >> S_CREDIT) & M_CREDIT)
31935
31936#define A_MPS_PORT_TX_MAC_RELOAD_CH1 0x194
31937#define A_MPS_PORT_TX_MAC_RELOAD_CH2 0x198
31938#define A_MPS_PORT_TX_MAC_RELOAD_CH3 0x19c
31939#define A_MPS_PORT_TX_MAC_RELOAD_CH4 0x1a0
31940#define A_MPS_PORT_TX_LPBK_RELOAD_CH0 0x1a8
31941#define A_MPS_PORT_TX_LPBK_RELOAD_CH1 0x1ac
31942#define A_MPS_PORT_TX_LPBK_RELOAD_CH2 0x1b0
31943#define A_MPS_PORT_TX_LPBK_RELOAD_CH3 0x1b4
31944#define A_MPS_PORT_TX_LPBK_RELOAD_CH4 0x1b8
31945#define A_MPS_PORT_TX_FIFO_CTL 0x1c4
31946
31947#define S_FIFOTH 5
31948#define M_FIFOTH 0x1ffU
31949#define V_FIFOTH(x) ((x) << S_FIFOTH)
31950#define G_FIFOTH(x) (((x) >> S_FIFOTH) & M_FIFOTH)
31951
31952#define S_FIFOEN 4
31953#define V_FIFOEN(x) ((x) << S_FIFOEN)
31954#define F_FIFOEN V_FIFOEN(1U)
31955
31956#define S_MAXPKTCNT 0
31957#define M_MAXPKTCNT 0xfU
31958#define V_MAXPKTCNT(x) ((x) << S_MAXPKTCNT)
31959#define G_MAXPKTCNT(x) (((x) >> S_MAXPKTCNT) & M_MAXPKTCNT)
31960
31961#define S_OUT_TH 22
31962#define M_OUT_TH 0xffU
31963#define V_OUT_TH(x) ((x) << S_OUT_TH)
31964#define G_OUT_TH(x) (((x) >> S_OUT_TH) & M_OUT_TH)
31965
31966#define S_IN_TH 14
31967#define M_IN_TH 0xffU
31968#define V_IN_TH(x) ((x) << S_IN_TH)
31969#define G_IN_TH(x) (((x) >> S_IN_TH) & M_IN_TH)
31970
31971#define A_MPS_PORT_FPGA_PAUSE_CTL 0x1c8
31972
31973#define S_FPGAPAUSEEN 0
31974#define V_FPGAPAUSEEN(x) ((x) << S_FPGAPAUSEEN)
31975#define F_FPGAPAUSEEN V_FPGAPAUSEEN(1U)
31976
31977#define A_MPS_PORT_TX_PAUSE_PENDING_STATUS 0x1d0
31978
31979#define S_OFF_PENDING 8
31980#define M_OFF_PENDING 0xffU
31981#define V_OFF_PENDING(x) ((x) << S_OFF_PENDING)
31982#define G_OFF_PENDING(x) (((x) >> S_OFF_PENDING) & M_OFF_PENDING)
31983
31984#define S_ON_PENDING 0
31985#define M_ON_PENDING 0xffU
31986#define V_ON_PENDING(x) ((x) << S_ON_PENDING)
31987#define G_ON_PENDING(x) (((x) >> S_ON_PENDING) & M_ON_PENDING)
31988
31989#define A_MPS_PORT_CLS_HASH_SRAM 0x200
31990
31991#define S_VALID 20
31992#define V_VALID(x) ((x) << S_VALID)
31993#define F_VALID V_VALID(1U)
31994
31995#define S_HASHPORTMAP 16
31996#define M_HASHPORTMAP 0xfU
31997#define V_HASHPORTMAP(x) ((x) << S_HASHPORTMAP)
31998#define G_HASHPORTMAP(x) (((x) >> S_HASHPORTMAP) & M_HASHPORTMAP)
31999
32000#define S_MULTILISTEN 15
32001#define V_MULTILISTEN(x) ((x) << S_MULTILISTEN)
32002#define F_MULTILISTEN V_MULTILISTEN(1U)
32003
32004#define S_PRIORITY 12
32005#define M_PRIORITY 0x7U
32006#define V_PRIORITY(x) ((x) << S_PRIORITY)
32007#define G_PRIORITY(x) (((x) >> S_PRIORITY) & M_PRIORITY)
32008
32009#define S_REPLICATE 11
32010#define V_REPLICATE(x) ((x) << S_REPLICATE)
32011#define F_REPLICATE V_REPLICATE(1U)
32012
32013#define S_PF 8
32014#define M_PF 0x7U
32015#define V_PF(x) ((x) << S_PF)
32016#define G_PF(x) (((x) >> S_PF) & M_PF)
32017
32018#define S_VF_VALID 7
32019#define V_VF_VALID(x) ((x) << S_VF_VALID)
32020#define F_VF_VALID V_VF_VALID(1U)
32021
32022#define S_VF 0
32023#define M_VF 0x7fU
32024#define V_VF(x) ((x) << S_VF)
32025#define G_VF(x) (((x) >> S_VF) & M_VF)
32026
32027#define S_DISENCAPOUTERRPLCT 23
32028#define V_DISENCAPOUTERRPLCT(x) ((x) << S_DISENCAPOUTERRPLCT)
32029#define F_DISENCAPOUTERRPLCT V_DISENCAPOUTERRPLCT(1U)
32030
32031#define S_DISENCAP 22
32032#define V_DISENCAP(x) ((x) << S_DISENCAP)
32033#define F_DISENCAP V_DISENCAP(1U)
32034
32035#define S_T6_VALID 21
32036#define V_T6_VALID(x) ((x) << S_T6_VALID)
32037#define F_T6_VALID V_T6_VALID(1U)
32038
32039#define S_T6_HASHPORTMAP 17
32040#define M_T6_HASHPORTMAP 0xfU
32041#define V_T6_HASHPORTMAP(x) ((x) << S_T6_HASHPORTMAP)
32042#define G_T6_HASHPORTMAP(x) (((x) >> S_T6_HASHPORTMAP) & M_T6_HASHPORTMAP)
32043
32044#define S_T6_MULTILISTEN 16
32045#define V_T6_MULTILISTEN(x) ((x) << S_T6_MULTILISTEN)
32046#define F_T6_MULTILISTEN V_T6_MULTILISTEN(1U)
32047
32048#define S_T6_PRIORITY 13
32049#define M_T6_PRIORITY 0x7U
32050#define V_T6_PRIORITY(x) ((x) << S_T6_PRIORITY)
32051#define G_T6_PRIORITY(x) (((x) >> S_T6_PRIORITY) & M_T6_PRIORITY)
32052
32053#define S_T6_REPLICATE 12
32054#define V_T6_REPLICATE(x) ((x) << S_T6_REPLICATE)
32055#define F_T6_REPLICATE V_T6_REPLICATE(1U)
32056
32057#define S_T6_PF 9
32058#define M_T6_PF 0x7U
32059#define V_T6_PF(x) ((x) << S_T6_PF)
32060#define G_T6_PF(x) (((x) >> S_T6_PF) & M_T6_PF)
32061
32062#define S_T6_VF_VALID 8
32063#define V_T6_VF_VALID(x) ((x) << S_T6_VF_VALID)
32064#define F_T6_VF_VALID V_T6_VF_VALID(1U)
32065
32066#define S_T6_VF 0
32067#define M_T6_VF 0xffU
32068#define V_T6_VF(x) ((x) << S_T6_VF)
32069#define G_T6_VF(x) (((x) >> S_T6_VF) & M_T6_VF)
32070
32071#define A_MPS_PF_CTL 0x2c0
32072
32073#define S_TXEN 1
32074#define V_TXEN(x) ((x) << S_TXEN)
32075#define F_TXEN V_TXEN(1U)
32076
32077#define S_RXEN 0
32078#define V_RXEN(x) ((x) << S_RXEN)
32079#define F_RXEN V_RXEN(1U)
32080
32081#define A_MPS_PF_TX_QINQ_VLAN 0x2e0
32082
32083#define S_PROTOCOLID 16
32084#define M_PROTOCOLID 0xffffU
32085#define V_PROTOCOLID(x) ((x) << S_PROTOCOLID)
32086#define G_PROTOCOLID(x) (((x) >> S_PROTOCOLID) & M_PROTOCOLID)
32087
32088#define S_VLAN_PRIO 13
32089#define M_VLAN_PRIO 0x7U
32090#define V_VLAN_PRIO(x) ((x) << S_VLAN_PRIO)
32091#define G_VLAN_PRIO(x) (((x) >> S_VLAN_PRIO) & M_VLAN_PRIO)
32092
32093#define S_CFI 12
32094#define V_CFI(x) ((x) << S_CFI)
32095#define F_CFI V_CFI(1U)
32096
32097#define S_TAG 0
32098#define M_TAG 0xfffU
32099#define V_TAG(x) ((x) << S_TAG)
32100#define G_TAG(x) (((x) >> S_TAG) & M_TAG)
32101
32102#define A_MPS_PF_STAT_TX_PF_BCAST_BYTES_L 0x300
32103#define A_MPS_PF_STAT_TX_PF_BCAST_BYTES_H 0x304
32104#define A_MPS_PORT_CLS_HASH_CTL 0x304
32105
32106#define S_UNICASTENABLE 31
32107#define V_UNICASTENABLE(x) ((x) << S_UNICASTENABLE)
32108#define F_UNICASTENABLE V_UNICASTENABLE(1U)
32109
32110#define A_MPS_PF_STAT_TX_PF_BCAST_FRAMES_L 0x308
32111#define A_MPS_PORT_CLS_PROMISCUOUS_CTL 0x308
32112
32113#define S_PROMISCEN 31
32114#define V_PROMISCEN(x) ((x) << S_PROMISCEN)
32115#define F_PROMISCEN V_PROMISCEN(1U)
32116
32117#define S_T6_MULTILISTEN 16
32118#define V_T6_MULTILISTEN(x) ((x) << S_T6_MULTILISTEN)
32119#define F_T6_MULTILISTEN V_T6_MULTILISTEN(1U)
32120
32121#define S_T6_PRIORITY 13
32122#define M_T6_PRIORITY 0x7U
32123#define V_T6_PRIORITY(x) ((x) << S_T6_PRIORITY)
32124#define G_T6_PRIORITY(x) (((x) >> S_T6_PRIORITY) & M_T6_PRIORITY)
32125
32126#define S_T6_REPLICATE 12
32127#define V_T6_REPLICATE(x) ((x) << S_T6_REPLICATE)
32128#define F_T6_REPLICATE V_T6_REPLICATE(1U)
32129
32130#define S_T6_PF 9
32131#define M_T6_PF 0x7U
32132#define V_T6_PF(x) ((x) << S_T6_PF)
32133#define G_T6_PF(x) (((x) >> S_T6_PF) & M_T6_PF)
32134
32135#define S_T6_VF_VALID 8
32136#define V_T6_VF_VALID(x) ((x) << S_T6_VF_VALID)
32137#define F_T6_VF_VALID V_T6_VF_VALID(1U)
32138
32139#define S_T6_VF 0
32140#define M_T6_VF 0xffU
32141#define V_T6_VF(x) ((x) << S_T6_VF)
32142#define G_T6_VF(x) (((x) >> S_T6_VF) & M_T6_VF)
32143
32144#define A_MPS_PF_STAT_TX_PF_BCAST_FRAMES_H 0x30c
32145#define A_MPS_PORT_CLS_BMC_MAC_ADDR_L 0x30c
32146#define A_MPS_PF_STAT_TX_PF_MCAST_BYTES_L 0x310
32147#define A_MPS_PORT_CLS_BMC_MAC_ADDR_H 0x310
32148
32149#define S_MATCHBOTH 17
32150#define V_MATCHBOTH(x) ((x) << S_MATCHBOTH)
32151#define F_MATCHBOTH V_MATCHBOTH(1U)
32152
32153#define S_BMC_VLD 16
32154#define V_BMC_VLD(x) ((x) << S_BMC_VLD)
32155#define F_BMC_VLD V_BMC_VLD(1U)
32156
32157#define S_MATCHALL 18
32158#define V_MATCHALL(x) ((x) << S_MATCHALL)
32159#define F_MATCHALL V_MATCHALL(1U)
32160
32161#define A_MPS_PF_STAT_TX_PF_MCAST_BYTES_H 0x314
32162#define A_MPS_PORT_CLS_BMC_VLAN 0x314
32163
32164#define S_BMC_VLAN_SEL 13
32165#define V_BMC_VLAN_SEL(x) ((x) << S_BMC_VLAN_SEL)
32166#define F_BMC_VLAN_SEL V_BMC_VLAN_SEL(1U)
32167
32168#define S_VLAN_VLD 12
32169#define V_VLAN_VLD(x) ((x) << S_VLAN_VLD)
32170#define F_VLAN_VLD V_VLAN_VLD(1U)
32171
32172#define A_MPS_PF_STAT_TX_PF_MCAST_FRAMES_L 0x318
32173#define A_MPS_PORT_CLS_CTL 0x318
32174
32175#define S_PF_VLAN_SEL 0
32176#define V_PF_VLAN_SEL(x) ((x) << S_PF_VLAN_SEL)
32177#define F_PF_VLAN_SEL V_PF_VLAN_SEL(1U)
32178
32179#define S_LPBK_TCAM1_HIT_PRIORITY 14
32180#define V_LPBK_TCAM1_HIT_PRIORITY(x) ((x) << S_LPBK_TCAM1_HIT_PRIORITY)
32181#define F_LPBK_TCAM1_HIT_PRIORITY V_LPBK_TCAM1_HIT_PRIORITY(1U)
32182
32183#define S_LPBK_TCAM0_HIT_PRIORITY 13
32184#define V_LPBK_TCAM0_HIT_PRIORITY(x) ((x) << S_LPBK_TCAM0_HIT_PRIORITY)
32185#define F_LPBK_TCAM0_HIT_PRIORITY V_LPBK_TCAM0_HIT_PRIORITY(1U)
32186
32187#define S_LPBK_TCAM_PRIORITY 12
32188#define V_LPBK_TCAM_PRIORITY(x) ((x) << S_LPBK_TCAM_PRIORITY)
32189#define F_LPBK_TCAM_PRIORITY V_LPBK_TCAM_PRIORITY(1U)
32190
32191#define S_LPBK_SMAC_TCAM_SEL 10
32192#define M_LPBK_SMAC_TCAM_SEL 0x3U
32193#define V_LPBK_SMAC_TCAM_SEL(x) ((x) << S_LPBK_SMAC_TCAM_SEL)
32194#define G_LPBK_SMAC_TCAM_SEL(x) (((x) >> S_LPBK_SMAC_TCAM_SEL) & M_LPBK_SMAC_TCAM_SEL)
32195
32196#define S_LPBK_DMAC_TCAM_SEL 8
32197#define M_LPBK_DMAC_TCAM_SEL 0x3U
32198#define V_LPBK_DMAC_TCAM_SEL(x) ((x) << S_LPBK_DMAC_TCAM_SEL)
32199#define G_LPBK_DMAC_TCAM_SEL(x) (((x) >> S_LPBK_DMAC_TCAM_SEL) & M_LPBK_DMAC_TCAM_SEL)
32200
32201#define S_TCAM1_HIT_PRIORITY 7
32202#define V_TCAM1_HIT_PRIORITY(x) ((x) << S_TCAM1_HIT_PRIORITY)
32203#define F_TCAM1_HIT_PRIORITY V_TCAM1_HIT_PRIORITY(1U)
32204
32205#define S_TCAM0_HIT_PRIORITY 6
32206#define V_TCAM0_HIT_PRIORITY(x) ((x) << S_TCAM0_HIT_PRIORITY)
32207#define F_TCAM0_HIT_PRIORITY V_TCAM0_HIT_PRIORITY(1U)
32208
32209#define S_TCAM_PRIORITY 5
32210#define V_TCAM_PRIORITY(x) ((x) << S_TCAM_PRIORITY)
32211#define F_TCAM_PRIORITY V_TCAM_PRIORITY(1U)
32212
32213#define S_SMAC_TCAM_SEL 3
32214#define M_SMAC_TCAM_SEL 0x3U
32215#define V_SMAC_TCAM_SEL(x) ((x) << S_SMAC_TCAM_SEL)
32216#define G_SMAC_TCAM_SEL(x) (((x) >> S_SMAC_TCAM_SEL) & M_SMAC_TCAM_SEL)
32217
32218#define S_DMAC_TCAM_SEL 1
32219#define M_DMAC_TCAM_SEL 0x3U
32220#define V_DMAC_TCAM_SEL(x) ((x) << S_DMAC_TCAM_SEL)
32221#define G_DMAC_TCAM_SEL(x) (((x) >> S_DMAC_TCAM_SEL) & M_DMAC_TCAM_SEL)
32222
32223#define A_MPS_PF_STAT_TX_PF_MCAST_FRAMES_H 0x31c
32224#define A_MPS_PORT_CLS_NCSI_ETH_TYPE 0x31c
32225
32226#define S_ETHTYPE2 0
32227#define M_ETHTYPE2 0xffffU
32228#define V_ETHTYPE2(x) ((x) << S_ETHTYPE2)
32229#define G_ETHTYPE2(x) (((x) >> S_ETHTYPE2) & M_ETHTYPE2)
32230
32231#define A_MPS_PF_STAT_TX_PF_UCAST_BYTES_L 0x320
32232#define A_MPS_PORT_CLS_NCSI_ETH_TYPE_EN 0x320
32233
32234#define S_EN1 1
32235#define V_EN1(x) ((x) << S_EN1)
32236#define F_EN1 V_EN1(1U)
32237
32238#define S_EN2 0
32239#define V_EN2(x) ((x) << S_EN2)
32240#define F_EN2 V_EN2(1U)
32241
32242#define A_MPS_PF_STAT_TX_PF_UCAST_BYTES_H 0x324
32243#define A_MPS_PF_STAT_TX_PF_UCAST_FRAMES_L 0x328
32244#define A_MPS_PF_STAT_TX_PF_UCAST_FRAMES_H 0x32c
32245#define A_MPS_PF_STAT_TX_PF_OFFLOAD_BYTES_L 0x330
32246#define A_MPS_PF_STAT_TX_PF_OFFLOAD_BYTES_H 0x334
32247#define A_MPS_PF_STAT_TX_PF_OFFLOAD_FRAMES_L 0x338
32248#define A_MPS_PF_STAT_TX_PF_OFFLOAD_FRAMES_H 0x33c
32249#define A_MPS_PF_STAT_RX_PF_BYTES_L 0x340
32250#define A_MPS_PF_STAT_RX_PF_BYTES_H 0x344
32251#define A_MPS_PF_STAT_RX_PF_FRAMES_L 0x348
32252#define A_MPS_PF_STAT_RX_PF_FRAMES_H 0x34c
32253#define A_MPS_PF_STAT_RX_PF_BCAST_BYTES_L 0x350
32254#define A_MPS_PF_STAT_RX_PF_BCAST_BYTES_H 0x354
32255#define A_MPS_PF_STAT_RX_PF_BCAST_FRAMES_L 0x358
32256#define A_MPS_PF_STAT_RX_PF_BCAST_FRAMES_H 0x35c
32257#define A_MPS_PF_STAT_RX_PF_MCAST_BYTES_L 0x360
32258#define A_MPS_PF_STAT_RX_PF_MCAST_BYTES_H 0x364
32259#define A_MPS_PF_STAT_RX_PF_MCAST_FRAMES_L 0x368
32260#define A_MPS_PF_STAT_RX_PF_MCAST_FRAMES_H 0x36c
32261#define A_MPS_PF_STAT_RX_PF_UCAST_BYTES_L 0x370
32262#define A_MPS_PF_STAT_RX_PF_UCAST_BYTES_H 0x374
32263#define A_MPS_PF_STAT_RX_PF_UCAST_FRAMES_L 0x378
32264#define A_MPS_PF_STAT_RX_PF_UCAST_FRAMES_H 0x37c
32265#define A_MPS_PF_STAT_RX_PF_ERR_FRAMES_L 0x380
32266#define A_MPS_PF_STAT_RX_PF_ERR_FRAMES_H 0x384
32267#define A_MPS_PORT_STAT_TX_PORT_BYTES_L 0x400
32268#define A_MPS_PORT_STAT_TX_PORT_BYTES_H 0x404
32269#define A_MPS_PORT_STAT_TX_PORT_FRAMES_L 0x408
32270#define A_MPS_PORT_STAT_TX_PORT_FRAMES_H 0x40c
32271#define A_MPS_PORT_STAT_TX_PORT_BCAST_L 0x410
32272#define A_MPS_PORT_STAT_TX_PORT_BCAST_H 0x414
32273#define A_MPS_PORT_STAT_TX_PORT_MCAST_L 0x418
32274#define A_MPS_PORT_STAT_TX_PORT_MCAST_H 0x41c
32275#define A_MPS_PORT_STAT_TX_PORT_UCAST_L 0x420
32276#define A_MPS_PORT_STAT_TX_PORT_UCAST_H 0x424
32277#define A_MPS_PORT_STAT_TX_PORT_ERROR_L 0x428
32278#define A_MPS_PORT_STAT_TX_PORT_ERROR_H 0x42c
32279#define A_MPS_PORT_STAT_TX_PORT_64B_L 0x430
32280#define A_MPS_PORT_STAT_TX_PORT_64B_H 0x434
32281#define A_MPS_PORT_STAT_TX_PORT_65B_127B_L 0x438
32282#define A_MPS_PORT_STAT_TX_PORT_65B_127B_H 0x43c
32283#define A_MPS_PORT_STAT_TX_PORT_128B_255B_L 0x440
32284#define A_MPS_PORT_STAT_TX_PORT_128B_255B_H 0x444
32285#define A_MPS_PORT_STAT_TX_PORT_256B_511B_L 0x448
32286#define A_MPS_PORT_STAT_TX_PORT_256B_511B_H 0x44c
32287#define A_MPS_PORT_STAT_TX_PORT_512B_1023B_L 0x450
32288#define A_MPS_PORT_STAT_TX_PORT_512B_1023B_H 0x454
32289#define A_MPS_PORT_STAT_TX_PORT_1024B_1518B_L 0x458
32290#define A_MPS_PORT_STAT_TX_PORT_1024B_1518B_H 0x45c
32291#define A_MPS_PORT_STAT_TX_PORT_1519B_MAX_L 0x460
32292#define A_MPS_PORT_STAT_TX_PORT_1519B_MAX_H 0x464
32293#define A_MPS_PORT_STAT_TX_PORT_DROP_L 0x468
32294#define A_MPS_PORT_STAT_TX_PORT_DROP_H 0x46c
32295#define A_MPS_PORT_STAT_TX_PORT_PAUSE_L 0x470
32296#define A_MPS_PORT_STAT_TX_PORT_PAUSE_H 0x474
32297#define A_MPS_PORT_STAT_TX_PORT_PPP0_L 0x478
32298#define A_MPS_PORT_STAT_TX_PORT_PPP0_H 0x47c
32299#define A_MPS_PORT_STAT_TX_PORT_PPP1_L 0x480
32300#define A_MPS_PORT_STAT_TX_PORT_PPP1_H 0x484
32301#define A_MPS_PORT_STAT_TX_PORT_PPP2_L 0x488
32302#define A_MPS_PORT_STAT_TX_PORT_PPP2_H 0x48c
32303#define A_MPS_PORT_STAT_TX_PORT_PPP3_L 0x490
32304#define A_MPS_PORT_STAT_TX_PORT_PPP3_H 0x494
32305#define A_MPS_PORT_STAT_TX_PORT_PPP4_L 0x498
32306#define A_MPS_PORT_STAT_TX_PORT_PPP4_H 0x49c
32307#define A_MPS_PORT_STAT_TX_PORT_PPP5_L 0x4a0
32308#define A_MPS_PORT_STAT_TX_PORT_PPP5_H 0x4a4
32309#define A_MPS_PORT_STAT_TX_PORT_PPP6_L 0x4a8
32310#define A_MPS_PORT_STAT_TX_PORT_PPP6_H 0x4ac
32311#define A_MPS_PORT_STAT_TX_PORT_PPP7_L 0x4b0
32312#define A_MPS_PORT_STAT_TX_PORT_PPP7_H 0x4b4
32313#define A_MPS_PORT_STAT_LB_PORT_BYTES_L 0x4c0
32314#define A_MPS_PORT_STAT_LB_PORT_BYTES_H 0x4c4
32315#define A_MPS_PORT_STAT_LB_PORT_FRAMES_L 0x4c8
32316#define A_MPS_PORT_STAT_LB_PORT_FRAMES_H 0x4cc
32317#define A_MPS_PORT_STAT_LB_PORT_BCAST_L 0x4d0
32318#define A_MPS_PORT_STAT_LB_PORT_BCAST_H 0x4d4
32319#define A_MPS_PORT_STAT_LB_PORT_MCAST_L 0x4d8
32320#define A_MPS_PORT_STAT_LB_PORT_MCAST_H 0x4dc
32321#define A_MPS_PORT_STAT_LB_PORT_UCAST_L 0x4e0
32322#define A_MPS_PORT_STAT_LB_PORT_UCAST_H 0x4e4
32323#define A_MPS_PORT_STAT_LB_PORT_ERROR_L 0x4e8
32324#define A_MPS_PORT_STAT_LB_PORT_ERROR_H 0x4ec
32325#define A_MPS_PORT_STAT_LB_PORT_64B_L 0x4f0
32326#define A_MPS_PORT_STAT_LB_PORT_64B_H 0x4f4
32327#define A_MPS_PORT_STAT_LB_PORT_65B_127B_L 0x4f8
32328#define A_MPS_PORT_STAT_LB_PORT_65B_127B_H 0x4fc
32329#define A_MPS_PORT_STAT_LB_PORT_128B_255B_L 0x500
32330#define A_MPS_PORT_STAT_LB_PORT_128B_255B_H 0x504
32331#define A_MPS_PORT_STAT_LB_PORT_256B_511B_L 0x508
32332#define A_MPS_PORT_STAT_LB_PORT_256B_511B_H 0x50c
32333#define A_MPS_PORT_STAT_LB_PORT_512B_1023B_L 0x510
32334#define A_MPS_PORT_STAT_LB_PORT_512B_1023B_H 0x514
32335#define A_MPS_PORT_STAT_LB_PORT_1024B_1518B_L 0x518
32336#define A_MPS_PORT_STAT_LB_PORT_1024B_1518B_H 0x51c
32337#define A_MPS_PORT_STAT_LB_PORT_1519B_MAX_L 0x520
32338#define A_MPS_PORT_STAT_LB_PORT_1519B_MAX_H 0x524
32339#define A_MPS_PORT_STAT_LB_PORT_DROP_FRAMES 0x528
32340#define A_MPS_PORT_STAT_LB_PORT_DROP_FRAMES_L 0x528
32341#define A_MPS_PORT_STAT_LB_PORT_DROP_FRAMES_H 0x52c
32342#define A_MPS_PORT_STAT_RX_PORT_BYTES_L 0x540
32343#define A_MPS_PORT_STAT_RX_PORT_BYTES_H 0x544
32344#define A_MPS_PORT_STAT_RX_PORT_FRAMES_L 0x548
32345#define A_MPS_PORT_STAT_RX_PORT_FRAMES_H 0x54c
32346#define A_MPS_PORT_STAT_RX_PORT_BCAST_L 0x550
32347#define A_MPS_PORT_STAT_RX_PORT_BCAST_H 0x554
32348#define A_MPS_PORT_STAT_RX_PORT_MCAST_L 0x558
32349#define A_MPS_PORT_STAT_RX_PORT_MCAST_H 0x55c
32350#define A_MPS_PORT_STAT_RX_PORT_UCAST_L 0x560
32351#define A_MPS_PORT_STAT_RX_PORT_UCAST_H 0x564
32352#define A_MPS_PORT_STAT_RX_PORT_MTU_ERROR_L 0x568
32353#define A_MPS_PORT_STAT_RX_PORT_MTU_ERROR_H 0x56c
32354#define A_MPS_PORT_STAT_RX_PORT_MTU_CRC_ERROR_L 0x570
32355#define A_MPS_PORT_STAT_RX_PORT_MTU_CRC_ERROR_H 0x574
32356#define A_MPS_PORT_STAT_RX_PORT_CRC_ERROR_L 0x578
32357#define A_MPS_PORT_STAT_RX_PORT_CRC_ERROR_H 0x57c
32358#define A_MPS_PORT_STAT_RX_PORT_LEN_ERROR_L 0x580
32359#define A_MPS_PORT_STAT_RX_PORT_LEN_ERROR_H 0x584
32360#define A_MPS_PORT_STAT_RX_PORT_SYM_ERROR_L 0x588
32361#define A_MPS_PORT_STAT_RX_PORT_SYM_ERROR_H 0x58c
32362#define A_MPS_PORT_STAT_RX_PORT_64B_L 0x590
32363#define A_MPS_PORT_STAT_RX_PORT_64B_H 0x594
32364#define A_MPS_PORT_STAT_RX_PORT_65B_127B_L 0x598
32365#define A_MPS_PORT_STAT_RX_PORT_65B_127B_H 0x59c
32366#define A_MPS_PORT_STAT_RX_PORT_128B_255B_L 0x5a0
32367#define A_MPS_PORT_STAT_RX_PORT_128B_255B_H 0x5a4
32368#define A_MPS_PORT_STAT_RX_PORT_256B_511B_L 0x5a8
32369#define A_MPS_PORT_STAT_RX_PORT_256B_511B_H 0x5ac
32370#define A_MPS_PORT_STAT_RX_PORT_512B_1023B_L 0x5b0
32371#define A_MPS_PORT_STAT_RX_PORT_512B_1023B_H 0x5b4
32372#define A_MPS_PORT_STAT_RX_PORT_1024B_1518B_L 0x5b8
32373#define A_MPS_PORT_STAT_RX_PORT_1024B_1518B_H 0x5bc
32374#define A_MPS_PORT_STAT_RX_PORT_1519B_MAX_L 0x5c0
32375#define A_MPS_PORT_STAT_RX_PORT_1519B_MAX_H 0x5c4
32376#define A_MPS_PORT_STAT_RX_PORT_PAUSE_L 0x5c8
32377#define A_MPS_PORT_STAT_RX_PORT_PAUSE_H 0x5cc
32378#define A_MPS_PORT_STAT_RX_PORT_PPP0_L 0x5d0
32379#define A_MPS_PORT_STAT_RX_PORT_PPP0_H 0x5d4
32380#define A_MPS_PORT_STAT_RX_PORT_PPP1_L 0x5d8
32381#define A_MPS_PORT_STAT_RX_PORT_PPP1_H 0x5dc
32382#define A_MPS_PORT_STAT_RX_PORT_PPP2_L 0x5e0
32383#define A_MPS_PORT_STAT_RX_PORT_PPP2_H 0x5e4
32384#define A_MPS_PORT_STAT_RX_PORT_PPP3_L 0x5e8
32385#define A_MPS_PORT_STAT_RX_PORT_PPP3_H 0x5ec
32386#define A_MPS_PORT_STAT_RX_PORT_PPP4_L 0x5f0
32387#define A_MPS_PORT_STAT_RX_PORT_PPP4_H 0x5f4
32388#define A_MPS_PORT_STAT_RX_PORT_PPP5_L 0x5f8
32389#define A_MPS_PORT_STAT_RX_PORT_PPP5_H 0x5fc
32390#define A_MPS_PORT_STAT_RX_PORT_PPP6_L 0x600
32391#define A_MPS_PORT_STAT_RX_PORT_PPP6_H 0x604
32392#define A_MPS_PORT_STAT_RX_PORT_PPP7_L 0x608
32393#define A_MPS_PORT_STAT_RX_PORT_PPP7_H 0x60c
32394#define A_MPS_PORT_STAT_RX_PORT_LESS_64B_L 0x610
32395#define A_MPS_PORT_STAT_RX_PORT_LESS_64B_H 0x614
32396#define A_MPS_PORT_STAT_RX_PORT_MAC_ERROR_L 0x618
32397#define A_MPS_PORT_STAT_RX_PORT_MAC_ERROR_H 0x61c
32398#define A_MPS_CMN_CTL 0x9000
32399
32400#define S_DETECT8023 3
32401#define V_DETECT8023(x) ((x) << S_DETECT8023)
32402#define F_DETECT8023 V_DETECT8023(1U)
32403
32404#define S_VFDIRECTACCESS 2
32405#define V_VFDIRECTACCESS(x) ((x) << S_VFDIRECTACCESS)
32406#define F_VFDIRECTACCESS V_VFDIRECTACCESS(1U)
32407
32408#define S_NUMPORTS 0
32409#define M_NUMPORTS 0x3U
32410#define V_NUMPORTS(x) ((x) << S_NUMPORTS)
32411#define G_NUMPORTS(x) (((x) >> S_NUMPORTS) & M_NUMPORTS)
32412
32413#define S_LPBKCRDTCTRL 4
32414#define V_LPBKCRDTCTRL(x) ((x) << S_LPBKCRDTCTRL)
32415#define F_LPBKCRDTCTRL V_LPBKCRDTCTRL(1U)
32416
32417#define S_TX_PORT_STATS_MODE 8
32418#define V_TX_PORT_STATS_MODE(x) ((x) << S_TX_PORT_STATS_MODE)
32419#define F_TX_PORT_STATS_MODE V_TX_PORT_STATS_MODE(1U)
32420
32421#define S_T5MODE 7
32422#define V_T5MODE(x) ((x) << S_T5MODE)
32423#define F_T5MODE V_T5MODE(1U)
32424
32425#define S_SPEEDMODE 5
32426#define M_SPEEDMODE 0x3U
32427#define V_SPEEDMODE(x) ((x) << S_SPEEDMODE)
32428#define G_SPEEDMODE(x) (((x) >> S_SPEEDMODE) & M_SPEEDMODE)
32429
32430#define A_MPS_INT_ENABLE 0x9004
32431
32432#define S_STATINTENB 5
32433#define V_STATINTENB(x) ((x) << S_STATINTENB)
32434#define F_STATINTENB V_STATINTENB(1U)
32435
32436#define S_TXINTENB 4
32437#define V_TXINTENB(x) ((x) << S_TXINTENB)
32438#define F_TXINTENB V_TXINTENB(1U)
32439
32440#define S_RXINTENB 3
32441#define V_RXINTENB(x) ((x) << S_RXINTENB)
32442#define F_RXINTENB V_RXINTENB(1U)
32443
32444#define S_TRCINTENB 2
32445#define V_TRCINTENB(x) ((x) << S_TRCINTENB)
32446#define F_TRCINTENB V_TRCINTENB(1U)
32447
32448#define S_CLSINTENB 1
32449#define V_CLSINTENB(x) ((x) << S_CLSINTENB)
32450#define F_CLSINTENB V_CLSINTENB(1U)
32451
32452#define S_PLINTENB 0
32453#define V_PLINTENB(x) ((x) << S_PLINTENB)
32454#define F_PLINTENB V_PLINTENB(1U)
32455
32456#define A_MPS_INT_CAUSE 0x9008
32457
32458#define S_STATINT 5
32459#define V_STATINT(x) ((x) << S_STATINT)
32460#define F_STATINT V_STATINT(1U)
32461
32462#define S_TXINT 4
32463#define V_TXINT(x) ((x) << S_TXINT)
32464#define F_TXINT V_TXINT(1U)
32465
32466#define S_RXINT 3
32467#define V_RXINT(x) ((x) << S_RXINT)
32468#define F_RXINT V_RXINT(1U)
32469
32470#define S_TRCINT 2
32471#define V_TRCINT(x) ((x) << S_TRCINT)
32472#define F_TRCINT V_TRCINT(1U)
32473
32474#define S_CLSINT 1
32475#define V_CLSINT(x) ((x) << S_CLSINT)
32476#define F_CLSINT V_CLSINT(1U)
32477
32478#define S_PLINT 0
32479#define V_PLINT(x) ((x) << S_PLINT)
32480#define F_PLINT V_PLINT(1U)
32481
32482#define A_MPS_CGEN_GLOBAL 0x900c
32483
32484#define S_MPS_GLOBAL_CGEN 0
32485#define V_MPS_GLOBAL_CGEN(x) ((x) << S_MPS_GLOBAL_CGEN)
32486#define F_MPS_GLOBAL_CGEN V_MPS_GLOBAL_CGEN(1U)
32487
32488#define A_MPS_VF_TX_CTL_31_0 0x9010
32489#define A_MPS_VF_TX_CTL_63_32 0x9014
32490#define A_MPS_VF_TX_CTL_95_64 0x9018
32491#define A_MPS_VF_TX_CTL_127_96 0x901c
32492#define A_MPS_VF_RX_CTL_31_0 0x9020
32493#define A_MPS_VF_RX_CTL_63_32 0x9024
32494#define A_MPS_VF_RX_CTL_95_64 0x9028
32495#define A_MPS_VF_RX_CTL_127_96 0x902c
32496#define A_MPS_TX_PAUSE_DURATION_BUF_GRP0 0x9030
32497
32498#define S_VALUE 0
32499#define M_VALUE 0xffffU
32500#define V_VALUE(x) ((x) << S_VALUE)
32501#define G_VALUE(x) (((x) >> S_VALUE) & M_VALUE)
32502
32503#define A_MPS_TX_PAUSE_DURATION_BUF_GRP1 0x9034
32504#define A_MPS_TX_PAUSE_DURATION_BUF_GRP2 0x9038
32505#define A_MPS_TX_PAUSE_DURATION_BUF_GRP3 0x903c
32506#define A_MPS_TX_PAUSE_RETRANS_BUF_GRP0 0x9040
32507#define A_MPS_TX_PAUSE_RETRANS_BUF_GRP1 0x9044
32508#define A_MPS_TX_PAUSE_RETRANS_BUF_GRP2 0x9048
32509#define A_MPS_TX_PAUSE_RETRANS_BUF_GRP3 0x904c
32510#define A_MPS_TP_CSIDE_MUX_CTL_P0 0x9050
32511
32512#define S_WEIGHT 0
32513#define M_WEIGHT 0xfffU
32514#define V_WEIGHT(x) ((x) << S_WEIGHT)
32515#define G_WEIGHT(x) (((x) >> S_WEIGHT) & M_WEIGHT)
32516
32517#define A_MPS_TP_CSIDE_MUX_CTL_P1 0x9054
32518#define A_MPS_WOL_CTL_MODE 0x9058
32519
32520#define S_WOL_MODE 0
32521#define V_WOL_MODE(x) ((x) << S_WOL_MODE)
32522#define F_WOL_MODE V_WOL_MODE(1U)
32523
32524#define A_MPS_FPGA_DEBUG 0x9060
32525
32526#define S_LPBK_EN 8
32527#define V_LPBK_EN(x) ((x) << S_LPBK_EN)
32528#define F_LPBK_EN V_LPBK_EN(1U)
32529
32530#define S_CH_MAP3 6
32531#define M_CH_MAP3 0x3U
32532#define V_CH_MAP3(x) ((x) << S_CH_MAP3)
32533#define G_CH_MAP3(x) (((x) >> S_CH_MAP3) & M_CH_MAP3)
32534
32535#define S_CH_MAP2 4
32536#define M_CH_MAP2 0x3U
32537#define V_CH_MAP2(x) ((x) << S_CH_MAP2)
32538#define G_CH_MAP2(x) (((x) >> S_CH_MAP2) & M_CH_MAP2)
32539
32540#define S_CH_MAP1 2
32541#define M_CH_MAP1 0x3U
32542#define V_CH_MAP1(x) ((x) << S_CH_MAP1)
32543#define G_CH_MAP1(x) (((x) >> S_CH_MAP1) & M_CH_MAP1)
32544
32545#define S_CH_MAP0 0
32546#define M_CH_MAP0 0x3U
32547#define V_CH_MAP0(x) ((x) << S_CH_MAP0)
32548#define G_CH_MAP0(x) (((x) >> S_CH_MAP0) & M_CH_MAP0)
32549
32550#define S_FPGA_PTP_PORT 9
32551#define M_FPGA_PTP_PORT 0x3U
32552#define V_FPGA_PTP_PORT(x) ((x) << S_FPGA_PTP_PORT)
32553#define G_FPGA_PTP_PORT(x) (((x) >> S_FPGA_PTP_PORT) & M_FPGA_PTP_PORT)
32554
32555#define A_MPS_DEBUG_CTL 0x9068
32556
32557#define S_DBGMODECTL_H 11
32558#define V_DBGMODECTL_H(x) ((x) << S_DBGMODECTL_H)
32559#define F_DBGMODECTL_H V_DBGMODECTL_H(1U)
32560
32561#define S_DBGSEL_H 6
32562#define M_DBGSEL_H 0x1fU
32563#define V_DBGSEL_H(x) ((x) << S_DBGSEL_H)
32564#define G_DBGSEL_H(x) (((x) >> S_DBGSEL_H) & M_DBGSEL_H)
32565
32566#define S_DBGMODECTL_L 5
32567#define V_DBGMODECTL_L(x) ((x) << S_DBGMODECTL_L)
32568#define F_DBGMODECTL_L V_DBGMODECTL_L(1U)
32569
32570#define S_DBGSEL_L 0
32571#define M_DBGSEL_L 0x1fU
32572#define V_DBGSEL_L(x) ((x) << S_DBGSEL_L)
32573#define G_DBGSEL_L(x) (((x) >> S_DBGSEL_L) & M_DBGSEL_L)
32574
32575#define A_MPS_DEBUG_DATA_REG_L 0x906c
32576#define A_MPS_DEBUG_DATA_REG_H 0x9070
32577#define A_MPS_TOP_SPARE 0x9074
32578
32579#define S_TOPSPARE 8
32580#define M_TOPSPARE 0xffffffU
32581#define V_TOPSPARE(x) ((x) << S_TOPSPARE)
32582#define G_TOPSPARE(x) (((x) >> S_TOPSPARE) & M_TOPSPARE)
32583
32584#define S_OVLANSELLPBK3 7
32585#define V_OVLANSELLPBK3(x) ((x) << S_OVLANSELLPBK3)
32586#define F_OVLANSELLPBK3 V_OVLANSELLPBK3(1U)
32587
32588#define S_OVLANSELLPBK2 6
32589#define V_OVLANSELLPBK2(x) ((x) << S_OVLANSELLPBK2)
32590#define F_OVLANSELLPBK2 V_OVLANSELLPBK2(1U)
32591
32592#define S_OVLANSELLPBK1 5
32593#define V_OVLANSELLPBK1(x) ((x) << S_OVLANSELLPBK1)
32594#define F_OVLANSELLPBK1 V_OVLANSELLPBK1(1U)
32595
32596#define S_OVLANSELLPBK0 4
32597#define V_OVLANSELLPBK0(x) ((x) << S_OVLANSELLPBK0)
32598#define F_OVLANSELLPBK0 V_OVLANSELLPBK0(1U)
32599
32600#define S_OVLANSELMAC3 3
32601#define V_OVLANSELMAC3(x) ((x) << S_OVLANSELMAC3)
32602#define F_OVLANSELMAC3 V_OVLANSELMAC3(1U)
32603
32604#define S_OVLANSELMAC2 2
32605#define V_OVLANSELMAC2(x) ((x) << S_OVLANSELMAC2)
32606#define F_OVLANSELMAC2 V_OVLANSELMAC2(1U)
32607
32608#define S_OVLANSELMAC1 1
32609#define V_OVLANSELMAC1(x) ((x) << S_OVLANSELMAC1)
32610#define F_OVLANSELMAC1 V_OVLANSELMAC1(1U)
32611
32612#define S_OVLANSELMAC0 0
32613#define V_OVLANSELMAC0(x) ((x) << S_OVLANSELMAC0)
32614#define F_OVLANSELMAC0 V_OVLANSELMAC0(1U)
32615
32616#define S_T5_TOPSPARE 8
32617#define M_T5_TOPSPARE 0xffffffU
32618#define V_T5_TOPSPARE(x) ((x) << S_T5_TOPSPARE)
32619#define G_T5_TOPSPARE(x) (((x) >> S_T5_TOPSPARE) & M_T5_TOPSPARE)
32620
32621#define A_MPS_T5_BUILD_REVISION 0x9078
32622#define A_MPS_TX_PAUSE_DURATION_BUF_GRP_TH0 0x907c
32623#define A_MPS_TX_PAUSE_DURATION_BUF_GRP_TH1 0x9080
32624#define A_MPS_TX_PAUSE_DURATION_BUF_GRP_TH2 0x9084
32625#define A_MPS_TX_PAUSE_DURATION_BUF_GRP_TH3 0x9088
32626#define A_MPS_TX_PAUSE_DURATION_BUF_GRP_TH4 0x908c
32627#define A_MPS_TX_PAUSE_DURATION_BUF_GRP_TH5 0x9090
32628#define A_MPS_TX_PAUSE_DURATION_BUF_GRP_TH6 0x9094
32629#define A_MPS_TX_PAUSE_DURATION_BUF_GRP_TH7 0x9098
32630#define A_MPS_TX_PAUSE_DURATION_BUF_GRP_TH8 0x909c
32631#define A_MPS_TX_PAUSE_DURATION_BUF_GRP_TH9 0x90a0
32632#define A_MPS_TX_PAUSE_DURATION_BUF_GRP_TH10 0x90a4
32633#define A_MPS_TX_PAUSE_DURATION_BUF_GRP_TH11 0x90a8
32634#define A_MPS_TX_PAUSE_DURATION_BUF_GRP_TH12 0x90ac
32635#define A_MPS_TX_PAUSE_DURATION_BUF_GRP_TH13 0x90b0
32636#define A_MPS_TX_PAUSE_DURATION_BUF_GRP_TH14 0x90b4
32637#define A_MPS_TX_PAUSE_DURATION_BUF_GRP_TH15 0x90b8
32638#define A_MPS_TX_PAUSE_RETRANS_BUF_GRP_TH0 0x90bc
32639#define A_MPS_TX_PAUSE_RETRANS_BUF_GRP_TH1 0x90c0
32640#define A_MPS_TX_PAUSE_RETRANS_BUF_GRP_TH2 0x90c4
32641#define A_MPS_TX_PAUSE_RETRANS_BUF_GRP_TH3 0x90c8
32642#define A_MPS_TX_PAUSE_RETRANS_BUF_GRP_TH4 0x90cc
32643#define A_MPS_TX_PAUSE_RETRANS_BUF_GRP_TH5 0x90d0
32644#define A_MPS_TX_PAUSE_RETRANS_BUF_GRP_TH6 0x90d4
32645#define A_MPS_TX_PAUSE_RETRANS_BUF_GRP_TH7 0x90d8
32646#define A_MPS_TX_PAUSE_RETRANS_BUF_GRP_TH8 0x90dc
32647#define A_MPS_TX_PAUSE_RETRANS_BUF_GRP_TH9 0x90e0
32648#define A_MPS_TX_PAUSE_RETRANS_BUF_GRP_TH10 0x90e4
32649#define A_MPS_TX_PAUSE_RETRANS_BUF_GRP_TH11 0x90e8
32650#define A_MPS_TX_PAUSE_RETRANS_BUF_GRP_TH12 0x90ec
32651#define A_MPS_TX_PAUSE_RETRANS_BUF_GRP_TH13 0x90f0
32652#define A_MPS_TX_PAUSE_RETRANS_BUF_GRP_TH14 0x90f4
32653#define A_MPS_TX_PAUSE_RETRANS_BUF_GRP_TH15 0x90f8
32654#define A_MPS_BUILD_REVISION 0x90fc
32655#define A_MPS_VF_TX_CTL_159_128 0x9100
32656#define A_MPS_VF_TX_CTL_191_160 0x9104
32657#define A_MPS_VF_TX_CTL_223_192 0x9108
32658#define A_MPS_VF_TX_CTL_255_224 0x910c
32659#define A_MPS_VF_RX_CTL_159_128 0x9110
32660#define A_MPS_VF_RX_CTL_191_160 0x9114
32661#define A_MPS_VF_RX_CTL_223_192 0x9118
32662#define A_MPS_VF_RX_CTL_255_224 0x911c
32663#define A_MPS_FPGA_BIST_CFG_P0 0x9120
32664
32665#define S_ADDRMASK 16
32666#define M_ADDRMASK 0xffffU
32667#define V_ADDRMASK(x) ((x) << S_ADDRMASK)
32668#define G_ADDRMASK(x) (((x) >> S_ADDRMASK) & M_ADDRMASK)
32669
32670#define S_T6_BASEADDR 0
32671#define M_T6_BASEADDR 0xffffU
32672#define V_T6_BASEADDR(x) ((x) << S_T6_BASEADDR)
32673#define G_T6_BASEADDR(x) (((x) >> S_T6_BASEADDR) & M_T6_BASEADDR)
32674
32675#define A_MPS_FPGA_BIST_CFG_P1 0x9124
32676
32677#define S_T6_BASEADDR 0
32678#define M_T6_BASEADDR 0xffffU
32679#define V_T6_BASEADDR(x) ((x) << S_T6_BASEADDR)
32680#define G_T6_BASEADDR(x) (((x) >> S_T6_BASEADDR) & M_T6_BASEADDR)
32681
32682#define A_MPS_TX_PRTY_SEL 0x9400
32683
32684#define S_CH4_PRTY 20
32685#define M_CH4_PRTY 0x7U
32686#define V_CH4_PRTY(x) ((x) << S_CH4_PRTY)
32687#define G_CH4_PRTY(x) (((x) >> S_CH4_PRTY) & M_CH4_PRTY)
32688
32689#define S_CH3_PRTY 16
32690#define M_CH3_PRTY 0x7U
32691#define V_CH3_PRTY(x) ((x) << S_CH3_PRTY)
32692#define G_CH3_PRTY(x) (((x) >> S_CH3_PRTY) & M_CH3_PRTY)
32693
32694#define S_CH2_PRTY 12
32695#define M_CH2_PRTY 0x7U
32696#define V_CH2_PRTY(x) ((x) << S_CH2_PRTY)
32697#define G_CH2_PRTY(x) (((x) >> S_CH2_PRTY) & M_CH2_PRTY)
32698
32699#define S_CH1_PRTY 8
32700#define M_CH1_PRTY 0x7U
32701#define V_CH1_PRTY(x) ((x) << S_CH1_PRTY)
32702#define G_CH1_PRTY(x) (((x) >> S_CH1_PRTY) & M_CH1_PRTY)
32703
32704#define S_CH0_PRTY 4
32705#define M_CH0_PRTY 0x7U
32706#define V_CH0_PRTY(x) ((x) << S_CH0_PRTY)
32707#define G_CH0_PRTY(x) (((x) >> S_CH0_PRTY) & M_CH0_PRTY)
32708
32709#define S_TP_SOURCE 2
32710#define M_TP_SOURCE 0x3U
32711#define V_TP_SOURCE(x) ((x) << S_TP_SOURCE)
32712#define G_TP_SOURCE(x) (((x) >> S_TP_SOURCE) & M_TP_SOURCE)
32713
32714#define S_NCSI_SOURCE 0
32715#define M_NCSI_SOURCE 0x3U
32716#define V_NCSI_SOURCE(x) ((x) << S_NCSI_SOURCE)
32717#define G_NCSI_SOURCE(x) (((x) >> S_NCSI_SOURCE) & M_NCSI_SOURCE)
32718
32719#define A_MPS_TX_INT_ENABLE 0x9404
32720
32721#define S_PORTERR 16
32722#define V_PORTERR(x) ((x) << S_PORTERR)
32723#define F_PORTERR V_PORTERR(1U)
32724
32725#define S_FRMERR 15
32726#define V_FRMERR(x) ((x) << S_FRMERR)
32727#define F_FRMERR V_FRMERR(1U)
32728
32729#define S_SECNTERR 14
32730#define V_SECNTERR(x) ((x) << S_SECNTERR)
32731#define F_SECNTERR V_SECNTERR(1U)
32732
32733#define S_BUBBLE 13
32734#define V_BUBBLE(x) ((x) << S_BUBBLE)
32735#define F_BUBBLE V_BUBBLE(1U)
32736
32737#define S_TXDESCFIFO 9
32738#define M_TXDESCFIFO 0xfU
32739#define V_TXDESCFIFO(x) ((x) << S_TXDESCFIFO)
32740#define G_TXDESCFIFO(x) (((x) >> S_TXDESCFIFO) & M_TXDESCFIFO)
32741
32742#define S_TXDATAFIFO 5
32743#define M_TXDATAFIFO 0xfU
32744#define V_TXDATAFIFO(x) ((x) << S_TXDATAFIFO)
32745#define G_TXDATAFIFO(x) (((x) >> S_TXDATAFIFO) & M_TXDATAFIFO)
32746
32747#define S_NCSIFIFO 4
32748#define V_NCSIFIFO(x) ((x) << S_NCSIFIFO)
32749#define F_NCSIFIFO V_NCSIFIFO(1U)
32750
32751#define S_TPFIFO 0
32752#define M_TPFIFO 0xfU
32753#define V_TPFIFO(x) ((x) << S_TPFIFO)
32754#define G_TPFIFO(x) (((x) >> S_TPFIFO) & M_TPFIFO)
32755
32756#define A_MPS_TX_INT_CAUSE 0x9408
32757#define A_MPS_TX_NCSI2MPS_CNT 0x940c
32758#define A_MPS_TX_PERR_ENABLE 0x9410
32759#define A_MPS_TX_PERR_INJECT 0x9414
32760
32761#define S_MPSTXMEMSEL 1
32762#define M_MPSTXMEMSEL 0x1fU
32763#define V_MPSTXMEMSEL(x) ((x) << S_MPSTXMEMSEL)
32764#define G_MPSTXMEMSEL(x) (((x) >> S_MPSTXMEMSEL) & M_MPSTXMEMSEL)
32765
32766#define A_MPS_TX_SE_CNT_TP01 0x9418
32767#define A_MPS_TX_SE_CNT_TP23 0x941c
32768#define A_MPS_TX_SE_CNT_MAC01 0x9420
32769#define A_MPS_TX_SE_CNT_MAC23 0x9424
32770#define A_MPS_TX_SECNT_SPI_BUBBLE_ERR 0x9428
32771
32772#define S_BUBBLEERR 16
32773#define M_BUBBLEERR 0xffU
32774#define V_BUBBLEERR(x) ((x) << S_BUBBLEERR)
32775#define G_BUBBLEERR(x) (((x) >> S_BUBBLEERR) & M_BUBBLEERR)
32776
32777#define S_SPI 8
32778#define M_SPI 0xffU
32779#define V_SPI(x) ((x) << S_SPI)
32780#define G_SPI(x) (((x) >> S_SPI) & M_SPI)
32781
32782#define S_SECNT 0
32783#define M_SECNT 0xffU
32784#define V_SECNT(x) ((x) << S_SECNT)
32785#define G_SECNT(x) (((x) >> S_SECNT) & M_SECNT)
32786
32787#define A_MPS_TX_SECNT_BUBBLE_CLR 0x942c
32788
32789#define S_BUBBLECLR 8
32790#define M_BUBBLECLR 0xffU
32791#define V_BUBBLECLR(x) ((x) << S_BUBBLECLR)
32792#define G_BUBBLECLR(x) (((x) >> S_BUBBLECLR) & M_BUBBLECLR)
32793
32794#define S_NCSISECNT 20
32795#define V_NCSISECNT(x) ((x) << S_NCSISECNT)
32796#define F_NCSISECNT V_NCSISECNT(1U)
32797
32798#define S_LPBKSECNT 16
32799#define M_LPBKSECNT 0xfU
32800#define V_LPBKSECNT(x) ((x) << S_LPBKSECNT)
32801#define G_LPBKSECNT(x) (((x) >> S_LPBKSECNT) & M_LPBKSECNT)
32802
32803#define A_MPS_TX_PORT_ERR 0x9430
32804
32805#define S_LPBKPT3 7
32806#define V_LPBKPT3(x) ((x) << S_LPBKPT3)
32807#define F_LPBKPT3 V_LPBKPT3(1U)
32808
32809#define S_LPBKPT2 6
32810#define V_LPBKPT2(x) ((x) << S_LPBKPT2)
32811#define F_LPBKPT2 V_LPBKPT2(1U)
32812
32813#define S_LPBKPT1 5
32814#define V_LPBKPT1(x) ((x) << S_LPBKPT1)
32815#define F_LPBKPT1 V_LPBKPT1(1U)
32816
32817#define S_LPBKPT0 4
32818#define V_LPBKPT0(x) ((x) << S_LPBKPT0)
32819#define F_LPBKPT0 V_LPBKPT0(1U)
32820
32821#define S_PT3 3
32822#define V_PT3(x) ((x) << S_PT3)
32823#define F_PT3 V_PT3(1U)
32824
32825#define S_PT2 2
32826#define V_PT2(x) ((x) << S_PT2)
32827#define F_PT2 V_PT2(1U)
32828
32829#define S_PT1 1
32830#define V_PT1(x) ((x) << S_PT1)
32831#define F_PT1 V_PT1(1U)
32832
32833#define S_PT0 0
32834#define V_PT0(x) ((x) << S_PT0)
32835#define F_PT0 V_PT0(1U)
32836
32837#define A_MPS_TX_LPBK_DROP_BP_CTL_CH0 0x9434
32838
32839#define S_BPEN 1
32840#define V_BPEN(x) ((x) << S_BPEN)
32841#define F_BPEN V_BPEN(1U)
32842
32843#define S_DROPEN 0
32844#define V_DROPEN(x) ((x) << S_DROPEN)
32845#define F_DROPEN V_DROPEN(1U)
32846
32847#define A_MPS_TX_LPBK_DROP_BP_CTL_CH1 0x9438
32848#define A_MPS_TX_LPBK_DROP_BP_CTL_CH2 0x943c
32849#define A_MPS_TX_LPBK_DROP_BP_CTL_CH3 0x9440
32850#define A_MPS_TX_DEBUG_REG_TP2TX_10 0x9444
32851
32852#define S_SOPCH1 31
32853#define V_SOPCH1(x) ((x) << S_SOPCH1)
32854#define F_SOPCH1 V_SOPCH1(1U)
32855
32856#define S_EOPCH1 30
32857#define V_EOPCH1(x) ((x) << S_EOPCH1)
32858#define F_EOPCH1 V_EOPCH1(1U)
32859
32860#define S_SIZECH1 27
32861#define M_SIZECH1 0x7U
32862#define V_SIZECH1(x) ((x) << S_SIZECH1)
32863#define G_SIZECH1(x) (((x) >> S_SIZECH1) & M_SIZECH1)
32864
32865#define S_ERRCH1 26
32866#define V_ERRCH1(x) ((x) << S_ERRCH1)
32867#define F_ERRCH1 V_ERRCH1(1U)
32868
32869#define S_FULLCH1 25
32870#define V_FULLCH1(x) ((x) << S_FULLCH1)
32871#define F_FULLCH1 V_FULLCH1(1U)
32872
32873#define S_VALIDCH1 24
32874#define V_VALIDCH1(x) ((x) << S_VALIDCH1)
32875#define F_VALIDCH1 V_VALIDCH1(1U)
32876
32877#define S_DATACH1 16
32878#define M_DATACH1 0xffU
32879#define V_DATACH1(x) ((x) << S_DATACH1)
32880#define G_DATACH1(x) (((x) >> S_DATACH1) & M_DATACH1)
32881
32882#define S_SOPCH0 15
32883#define V_SOPCH0(x) ((x) << S_SOPCH0)
32884#define F_SOPCH0 V_SOPCH0(1U)
32885
32886#define S_EOPCH0 14
32887#define V_EOPCH0(x) ((x) << S_EOPCH0)
32888#define F_EOPCH0 V_EOPCH0(1U)
32889
32890#define S_SIZECH0 11
32891#define M_SIZECH0 0x7U
32892#define V_SIZECH0(x) ((x) << S_SIZECH0)
32893#define G_SIZECH0(x) (((x) >> S_SIZECH0) & M_SIZECH0)
32894
32895#define S_ERRCH0 10
32896#define V_ERRCH0(x) ((x) << S_ERRCH0)
32897#define F_ERRCH0 V_ERRCH0(1U)
32898
32899#define S_FULLCH0 9
32900#define V_FULLCH0(x) ((x) << S_FULLCH0)
32901#define F_FULLCH0 V_FULLCH0(1U)
32902
32903#define S_VALIDCH0 8
32904#define V_VALIDCH0(x) ((x) << S_VALIDCH0)
32905#define F_VALIDCH0 V_VALIDCH0(1U)
32906
32907#define S_DATACH0 0
32908#define M_DATACH0 0xffU
32909#define V_DATACH0(x) ((x) << S_DATACH0)
32910#define G_DATACH0(x) (((x) >> S_DATACH0) & M_DATACH0)
32911
32912#define S_T5_SIZECH1 26
32913#define M_T5_SIZECH1 0xfU
32914#define V_T5_SIZECH1(x) ((x) << S_T5_SIZECH1)
32915#define G_T5_SIZECH1(x) (((x) >> S_T5_SIZECH1) & M_T5_SIZECH1)
32916
32917#define S_T5_ERRCH1 25
32918#define V_T5_ERRCH1(x) ((x) << S_T5_ERRCH1)
32919#define F_T5_ERRCH1 V_T5_ERRCH1(1U)
32920
32921#define S_T5_FULLCH1 24
32922#define V_T5_FULLCH1(x) ((x) << S_T5_FULLCH1)
32923#define F_T5_FULLCH1 V_T5_FULLCH1(1U)
32924
32925#define S_T5_VALIDCH1 23
32926#define V_T5_VALIDCH1(x) ((x) << S_T5_VALIDCH1)
32927#define F_T5_VALIDCH1 V_T5_VALIDCH1(1U)
32928
32929#define S_T5_DATACH1 16
32930#define M_T5_DATACH1 0x7fU
32931#define V_T5_DATACH1(x) ((x) << S_T5_DATACH1)
32932#define G_T5_DATACH1(x) (((x) >> S_T5_DATACH1) & M_T5_DATACH1)
32933
32934#define S_T5_SIZECH0 10
32935#define M_T5_SIZECH0 0xfU
32936#define V_T5_SIZECH0(x) ((x) << S_T5_SIZECH0)
32937#define G_T5_SIZECH0(x) (((x) >> S_T5_SIZECH0) & M_T5_SIZECH0)
32938
32939#define S_T5_ERRCH0 9
32940#define V_T5_ERRCH0(x) ((x) << S_T5_ERRCH0)
32941#define F_T5_ERRCH0 V_T5_ERRCH0(1U)
32942
32943#define S_T5_FULLCH0 8
32944#define V_T5_FULLCH0(x) ((x) << S_T5_FULLCH0)
32945#define F_T5_FULLCH0 V_T5_FULLCH0(1U)
32946
32947#define S_T5_VALIDCH0 7
32948#define V_T5_VALIDCH0(x) ((x) << S_T5_VALIDCH0)
32949#define F_T5_VALIDCH0 V_T5_VALIDCH0(1U)
32950
32951#define S_T5_DATACH0 0
32952#define M_T5_DATACH0 0x7fU
32953#define V_T5_DATACH0(x) ((x) << S_T5_DATACH0)
32954#define G_T5_DATACH0(x) (((x) >> S_T5_DATACH0) & M_T5_DATACH0)
32955
32956#define A_MPS_TX_DEBUG_REG_TP2TX_32 0x9448
32957
32958#define S_SOPCH3 31
32959#define V_SOPCH3(x) ((x) << S_SOPCH3)
32960#define F_SOPCH3 V_SOPCH3(1U)
32961
32962#define S_EOPCH3 30
32963#define V_EOPCH3(x) ((x) << S_EOPCH3)
32964#define F_EOPCH3 V_EOPCH3(1U)
32965
32966#define S_SIZECH3 27
32967#define M_SIZECH3 0x7U
32968#define V_SIZECH3(x) ((x) << S_SIZECH3)
32969#define G_SIZECH3(x) (((x) >> S_SIZECH3) & M_SIZECH3)
32970
32971#define S_ERRCH3 26
32972#define V_ERRCH3(x) ((x) << S_ERRCH3)
32973#define F_ERRCH3 V_ERRCH3(1U)
32974
32975#define S_FULLCH3 25
32976#define V_FULLCH3(x) ((x) << S_FULLCH3)
32977#define F_FULLCH3 V_FULLCH3(1U)
32978
32979#define S_VALIDCH3 24
32980#define V_VALIDCH3(x) ((x) << S_VALIDCH3)
32981#define F_VALIDCH3 V_VALIDCH3(1U)
32982
32983#define S_DATACH3 16
32984#define M_DATACH3 0xffU
32985#define V_DATACH3(x) ((x) << S_DATACH3)
32986#define G_DATACH3(x) (((x) >> S_DATACH3) & M_DATACH3)
32987
32988#define S_SOPCH2 15
32989#define V_SOPCH2(x) ((x) << S_SOPCH2)
32990#define F_SOPCH2 V_SOPCH2(1U)
32991
32992#define S_EOPCH2 14
32993#define V_EOPCH2(x) ((x) << S_EOPCH2)
32994#define F_EOPCH2 V_EOPCH2(1U)
32995
32996#define S_SIZECH2 11
32997#define M_SIZECH2 0x7U
32998#define V_SIZECH2(x) ((x) << S_SIZECH2)
32999#define G_SIZECH2(x) (((x) >> S_SIZECH2) & M_SIZECH2)
33000
33001#define S_ERRCH2 10
33002#define V_ERRCH2(x) ((x) << S_ERRCH2)
33003#define F_ERRCH2 V_ERRCH2(1U)
33004
33005#define S_FULLCH2 9
33006#define V_FULLCH2(x) ((x) << S_FULLCH2)
33007#define F_FULLCH2 V_FULLCH2(1U)
33008
33009#define S_VALIDCH2 8
33010#define V_VALIDCH2(x) ((x) << S_VALIDCH2)
33011#define F_VALIDCH2 V_VALIDCH2(1U)
33012
33013#define S_DATACH2 0
33014#define M_DATACH2 0xffU
33015#define V_DATACH2(x) ((x) << S_DATACH2)
33016#define G_DATACH2(x) (((x) >> S_DATACH2) & M_DATACH2)
33017
33018#define S_T5_SIZECH3 26
33019#define M_T5_SIZECH3 0xfU
33020#define V_T5_SIZECH3(x) ((x) << S_T5_SIZECH3)
33021#define G_T5_SIZECH3(x) (((x) >> S_T5_SIZECH3) & M_T5_SIZECH3)
33022
33023#define S_T5_ERRCH3 25
33024#define V_T5_ERRCH3(x) ((x) << S_T5_ERRCH3)
33025#define F_T5_ERRCH3 V_T5_ERRCH3(1U)
33026
33027#define S_T5_FULLCH3 24
33028#define V_T5_FULLCH3(x) ((x) << S_T5_FULLCH3)
33029#define F_T5_FULLCH3 V_T5_FULLCH3(1U)
33030
33031#define S_T5_VALIDCH3 23
33032#define V_T5_VALIDCH3(x) ((x) << S_T5_VALIDCH3)
33033#define F_T5_VALIDCH3 V_T5_VALIDCH3(1U)
33034
33035#define S_T5_DATACH3 16
33036#define M_T5_DATACH3 0x7fU
33037#define V_T5_DATACH3(x) ((x) << S_T5_DATACH3)
33038#define G_T5_DATACH3(x) (((x) >> S_T5_DATACH3) & M_T5_DATACH3)
33039
33040#define S_T5_SIZECH2 10
33041#define M_T5_SIZECH2 0xfU
33042#define V_T5_SIZECH2(x) ((x) << S_T5_SIZECH2)
33043#define G_T5_SIZECH2(x) (((x) >> S_T5_SIZECH2) & M_T5_SIZECH2)
33044
33045#define S_T5_ERRCH2 9
33046#define V_T5_ERRCH2(x) ((x) << S_T5_ERRCH2)
33047#define F_T5_ERRCH2 V_T5_ERRCH2(1U)
33048
33049#define S_T5_FULLCH2 8
33050#define V_T5_FULLCH2(x) ((x) << S_T5_FULLCH2)
33051#define F_T5_FULLCH2 V_T5_FULLCH2(1U)
33052
33053#define S_T5_VALIDCH2 7
33054#define V_T5_VALIDCH2(x) ((x) << S_T5_VALIDCH2)
33055#define F_T5_VALIDCH2 V_T5_VALIDCH2(1U)
33056
33057#define S_T5_DATACH2 0
33058#define M_T5_DATACH2 0x7fU
33059#define V_T5_DATACH2(x) ((x) << S_T5_DATACH2)
33060#define G_T5_DATACH2(x) (((x) >> S_T5_DATACH2) & M_T5_DATACH2)
33061
33062#define A_MPS_TX_DEBUG_REG_TX2MAC_10 0x944c
33063
33064#define S_SOPPT1 31
33065#define V_SOPPT1(x) ((x) << S_SOPPT1)
33066#define F_SOPPT1 V_SOPPT1(1U)
33067
33068#define S_EOPPT1 30
33069#define V_EOPPT1(x) ((x) << S_EOPPT1)
33070#define F_EOPPT1 V_EOPPT1(1U)
33071
33072#define S_SIZEPT1 27
33073#define M_SIZEPT1 0x7U
33074#define V_SIZEPT1(x) ((x) << S_SIZEPT1)
33075#define G_SIZEPT1(x) (((x) >> S_SIZEPT1) & M_SIZEPT1)
33076
33077#define S_ERRPT1 26
33078#define V_ERRPT1(x) ((x) << S_ERRPT1)
33079#define F_ERRPT1 V_ERRPT1(1U)
33080
33081#define S_FULLPT1 25
33082#define V_FULLPT1(x) ((x) << S_FULLPT1)
33083#define F_FULLPT1 V_FULLPT1(1U)
33084
33085#define S_VALIDPT1 24
33086#define V_VALIDPT1(x) ((x) << S_VALIDPT1)
33087#define F_VALIDPT1 V_VALIDPT1(1U)
33088
33089#define S_DATAPT1 16
33090#define M_DATAPT1 0xffU
33091#define V_DATAPT1(x) ((x) << S_DATAPT1)
33092#define G_DATAPT1(x) (((x) >> S_DATAPT1) & M_DATAPT1)
33093
33094#define S_SOPPT0 15
33095#define V_SOPPT0(x) ((x) << S_SOPPT0)
33096#define F_SOPPT0 V_SOPPT0(1U)
33097
33098#define S_EOPPT0 14
33099#define V_EOPPT0(x) ((x) << S_EOPPT0)
33100#define F_EOPPT0 V_EOPPT0(1U)
33101
33102#define S_SIZEPT0 11
33103#define M_SIZEPT0 0x7U
33104#define V_SIZEPT0(x) ((x) << S_SIZEPT0)
33105#define G_SIZEPT0(x) (((x) >> S_SIZEPT0) & M_SIZEPT0)
33106
33107#define S_ERRPT0 10
33108#define V_ERRPT0(x) ((x) << S_ERRPT0)
33109#define F_ERRPT0 V_ERRPT0(1U)
33110
33111#define S_FULLPT0 9
33112#define V_FULLPT0(x) ((x) << S_FULLPT0)
33113#define F_FULLPT0 V_FULLPT0(1U)
33114
33115#define S_VALIDPT0 8
33116#define V_VALIDPT0(x) ((x) << S_VALIDPT0)
33117#define F_VALIDPT0 V_VALIDPT0(1U)
33118
33119#define S_DATAPT0 0
33120#define M_DATAPT0 0xffU
33121#define V_DATAPT0(x) ((x) << S_DATAPT0)
33122#define G_DATAPT0(x) (((x) >> S_DATAPT0) & M_DATAPT0)
33123
33124#define S_T5_SIZEPT1 26
33125#define M_T5_SIZEPT1 0xfU
33126#define V_T5_SIZEPT1(x) ((x) << S_T5_SIZEPT1)
33127#define G_T5_SIZEPT1(x) (((x) >> S_T5_SIZEPT1) & M_T5_SIZEPT1)
33128
33129#define S_T5_ERRPT1 25
33130#define V_T5_ERRPT1(x) ((x) << S_T5_ERRPT1)
33131#define F_T5_ERRPT1 V_T5_ERRPT1(1U)
33132
33133#define S_T5_FULLPT1 24
33134#define V_T5_FULLPT1(x) ((x) << S_T5_FULLPT1)
33135#define F_T5_FULLPT1 V_T5_FULLPT1(1U)
33136
33137#define S_T5_VALIDPT1 23
33138#define V_T5_VALIDPT1(x) ((x) << S_T5_VALIDPT1)
33139#define F_T5_VALIDPT1 V_T5_VALIDPT1(1U)
33140
33141#define S_T5_DATAPT1 16
33142#define M_T5_DATAPT1 0x7fU
33143#define V_T5_DATAPT1(x) ((x) << S_T5_DATAPT1)
33144#define G_T5_DATAPT1(x) (((x) >> S_T5_DATAPT1) & M_T5_DATAPT1)
33145
33146#define S_T5_SIZEPT0 10
33147#define M_T5_SIZEPT0 0xfU
33148#define V_T5_SIZEPT0(x) ((x) << S_T5_SIZEPT0)
33149#define G_T5_SIZEPT0(x) (((x) >> S_T5_SIZEPT0) & M_T5_SIZEPT0)
33150
33151#define S_T5_ERRPT0 9
33152#define V_T5_ERRPT0(x) ((x) << S_T5_ERRPT0)
33153#define F_T5_ERRPT0 V_T5_ERRPT0(1U)
33154
33155#define S_T5_FULLPT0 8
33156#define V_T5_FULLPT0(x) ((x) << S_T5_FULLPT0)
33157#define F_T5_FULLPT0 V_T5_FULLPT0(1U)
33158
33159#define S_T5_VALIDPT0 7
33160#define V_T5_VALIDPT0(x) ((x) << S_T5_VALIDPT0)
33161#define F_T5_VALIDPT0 V_T5_VALIDPT0(1U)
33162
33163#define S_T5_DATAPT0 0
33164#define M_T5_DATAPT0 0x7fU
33165#define V_T5_DATAPT0(x) ((x) << S_T5_DATAPT0)
33166#define G_T5_DATAPT0(x) (((x) >> S_T5_DATAPT0) & M_T5_DATAPT0)
33167
33168#define A_MPS_TX_DEBUG_REG_TX2MAC_32 0x9450
33169
33170#define S_SOPPT3 31
33171#define V_SOPPT3(x) ((x) << S_SOPPT3)
33172#define F_SOPPT3 V_SOPPT3(1U)
33173
33174#define S_EOPPT3 30
33175#define V_EOPPT3(x) ((x) << S_EOPPT3)
33176#define F_EOPPT3 V_EOPPT3(1U)
33177
33178#define S_SIZEPT3 27
33179#define M_SIZEPT3 0x7U
33180#define V_SIZEPT3(x) ((x) << S_SIZEPT3)
33181#define G_SIZEPT3(x) (((x) >> S_SIZEPT3) & M_SIZEPT3)
33182
33183#define S_ERRPT3 26
33184#define V_ERRPT3(x) ((x) << S_ERRPT3)
33185#define F_ERRPT3 V_ERRPT3(1U)
33186
33187#define S_FULLPT3 25
33188#define V_FULLPT3(x) ((x) << S_FULLPT3)
33189#define F_FULLPT3 V_FULLPT3(1U)
33190
33191#define S_VALIDPT3 24
33192#define V_VALIDPT3(x) ((x) << S_VALIDPT3)
33193#define F_VALIDPT3 V_VALIDPT3(1U)
33194
33195#define S_DATAPT3 16
33196#define M_DATAPT3 0xffU
33197#define V_DATAPT3(x) ((x) << S_DATAPT3)
33198#define G_DATAPT3(x) (((x) >> S_DATAPT3) & M_DATAPT3)
33199
33200#define S_SOPPT2 15
33201#define V_SOPPT2(x) ((x) << S_SOPPT2)
33202#define F_SOPPT2 V_SOPPT2(1U)
33203
33204#define S_EOPPT2 14
33205#define V_EOPPT2(x) ((x) << S_EOPPT2)
33206#define F_EOPPT2 V_EOPPT2(1U)
33207
33208#define S_SIZEPT2 11
33209#define M_SIZEPT2 0x7U
33210#define V_SIZEPT2(x) ((x) << S_SIZEPT2)
33211#define G_SIZEPT2(x) (((x) >> S_SIZEPT2) & M_SIZEPT2)
33212
33213#define S_ERRPT2 10
33214#define V_ERRPT2(x) ((x) << S_ERRPT2)
33215#define F_ERRPT2 V_ERRPT2(1U)
33216
33217#define S_FULLPT2 9
33218#define V_FULLPT2(x) ((x) << S_FULLPT2)
33219#define F_FULLPT2 V_FULLPT2(1U)
33220
33221#define S_VALIDPT2 8
33222#define V_VALIDPT2(x) ((x) << S_VALIDPT2)
33223#define F_VALIDPT2 V_VALIDPT2(1U)
33224
33225#define S_DATAPT2 0
33226#define M_DATAPT2 0xffU
33227#define V_DATAPT2(x) ((x) << S_DATAPT2)
33228#define G_DATAPT2(x) (((x) >> S_DATAPT2) & M_DATAPT2)
33229
33230#define S_T5_SIZEPT3 26
33231#define M_T5_SIZEPT3 0xfU
33232#define V_T5_SIZEPT3(x) ((x) << S_T5_SIZEPT3)
33233#define G_T5_SIZEPT3(x) (((x) >> S_T5_SIZEPT3) & M_T5_SIZEPT3)
33234
33235#define S_T5_ERRPT3 25
33236#define V_T5_ERRPT3(x) ((x) << S_T5_ERRPT3)
33237#define F_T5_ERRPT3 V_T5_ERRPT3(1U)
33238
33239#define S_T5_FULLPT3 24
33240#define V_T5_FULLPT3(x) ((x) << S_T5_FULLPT3)
33241#define F_T5_FULLPT3 V_T5_FULLPT3(1U)
33242
33243#define S_T5_VALIDPT3 23
33244#define V_T5_VALIDPT3(x) ((x) << S_T5_VALIDPT3)
33245#define F_T5_VALIDPT3 V_T5_VALIDPT3(1U)
33246
33247#define S_T5_DATAPT3 16
33248#define M_T5_DATAPT3 0x7fU
33249#define V_T5_DATAPT3(x) ((x) << S_T5_DATAPT3)
33250#define G_T5_DATAPT3(x) (((x) >> S_T5_DATAPT3) & M_T5_DATAPT3)
33251
33252#define S_T5_SIZEPT2 10
33253#define M_T5_SIZEPT2 0xfU
33254#define V_T5_SIZEPT2(x) ((x) << S_T5_SIZEPT2)
33255#define G_T5_SIZEPT2(x) (((x) >> S_T5_SIZEPT2) & M_T5_SIZEPT2)
33256
33257#define S_T5_ERRPT2 9
33258#define V_T5_ERRPT2(x) ((x) << S_T5_ERRPT2)
33259#define F_T5_ERRPT2 V_T5_ERRPT2(1U)
33260
33261#define S_T5_FULLPT2 8
33262#define V_T5_FULLPT2(x) ((x) << S_T5_FULLPT2)
33263#define F_T5_FULLPT2 V_T5_FULLPT2(1U)
33264
33265#define S_T5_VALIDPT2 7
33266#define V_T5_VALIDPT2(x) ((x) << S_T5_VALIDPT2)
33267#define F_T5_VALIDPT2 V_T5_VALIDPT2(1U)
33268
33269#define S_T5_DATAPT2 0
33270#define M_T5_DATAPT2 0x7fU
33271#define V_T5_DATAPT2(x) ((x) << S_T5_DATAPT2)
33272#define G_T5_DATAPT2(x) (((x) >> S_T5_DATAPT2) & M_T5_DATAPT2)
33273
33274#define A_MPS_TX_SGE_CH_PAUSE_IGNR 0x9454
33275
33276#define S_SGEPAUSEIGNR 0
33277#define M_SGEPAUSEIGNR 0xfU
33278#define V_SGEPAUSEIGNR(x) ((x) << S_SGEPAUSEIGNR)
33279#define G_SGEPAUSEIGNR(x) (((x) >> S_SGEPAUSEIGNR) & M_SGEPAUSEIGNR)
33280
33281#define A_MPS_T5_TX_SGE_CH_PAUSE_IGNR 0x9454
33282
33283#define S_T5SGEPAUSEIGNR 0
33284#define M_T5SGEPAUSEIGNR 0xffffU
33285#define V_T5SGEPAUSEIGNR(x) ((x) << S_T5SGEPAUSEIGNR)
33286#define G_T5SGEPAUSEIGNR(x) (((x) >> S_T5SGEPAUSEIGNR) & M_T5SGEPAUSEIGNR)
33287
33288#define A_MPS_TX_DEBUG_SUBPART_SEL 0x9458
33289
33290#define S_SUBPRTH 11
33291#define M_SUBPRTH 0x1fU
33292#define V_SUBPRTH(x) ((x) << S_SUBPRTH)
33293#define G_SUBPRTH(x) (((x) >> S_SUBPRTH) & M_SUBPRTH)
33294
33295#define S_PORTH 8
33296#define M_PORTH 0x7U
33297#define V_PORTH(x) ((x) << S_PORTH)
33298#define G_PORTH(x) (((x) >> S_PORTH) & M_PORTH)
33299
33300#define S_SUBPRTL 3
33301#define M_SUBPRTL 0x1fU
33302#define V_SUBPRTL(x) ((x) << S_SUBPRTL)
33303#define G_SUBPRTL(x) (((x) >> S_SUBPRTL) & M_SUBPRTL)
33304
33305#define S_PORTL 0
33306#define M_PORTL 0x7U
33307#define V_PORTL(x) ((x) << S_PORTL)
33308#define G_PORTL(x) (((x) >> S_PORTL) & M_PORTL)
33309
33310#define A_MPS_TX_PAD_CTL 0x945c
33311
33312#define S_LPBKPADENPT3 7
33313#define V_LPBKPADENPT3(x) ((x) << S_LPBKPADENPT3)
33314#define F_LPBKPADENPT3 V_LPBKPADENPT3(1U)
33315
33316#define S_LPBKPADENPT2 6
33317#define V_LPBKPADENPT2(x) ((x) << S_LPBKPADENPT2)
33318#define F_LPBKPADENPT2 V_LPBKPADENPT2(1U)
33319
33320#define S_LPBKPADENPT1 5
33321#define V_LPBKPADENPT1(x) ((x) << S_LPBKPADENPT1)
33322#define F_LPBKPADENPT1 V_LPBKPADENPT1(1U)
33323
33324#define S_LPBKPADENPT0 4
33325#define V_LPBKPADENPT0(x) ((x) << S_LPBKPADENPT0)
33326#define F_LPBKPADENPT0 V_LPBKPADENPT0(1U)
33327
33328#define S_MACPADENPT3 3
33329#define V_MACPADENPT3(x) ((x) << S_MACPADENPT3)
33330#define F_MACPADENPT3 V_MACPADENPT3(1U)
33331
33332#define S_MACPADENPT2 2
33333#define V_MACPADENPT2(x) ((x) << S_MACPADENPT2)
33334#define F_MACPADENPT2 V_MACPADENPT2(1U)
33335
33336#define S_MACPADENPT1 1
33337#define V_MACPADENPT1(x) ((x) << S_MACPADENPT1)
33338#define F_MACPADENPT1 V_MACPADENPT1(1U)
33339
33340#define S_MACPADENPT0 0
33341#define V_MACPADENPT0(x) ((x) << S_MACPADENPT0)
33342#define F_MACPADENPT0 V_MACPADENPT0(1U)
33343
33344#define A_MPS_TX_PFVF_PORT_DROP_TP 0x9460
33345
33346#define S_TP2MPS_CH3 24
33347#define M_TP2MPS_CH3 0xffU
33348#define V_TP2MPS_CH3(x) ((x) << S_TP2MPS_CH3)
33349#define G_TP2MPS_CH3(x) (((x) >> S_TP2MPS_CH3) & M_TP2MPS_CH3)
33350
33351#define S_TP2MPS_CH2 16
33352#define M_TP2MPS_CH2 0xffU
33353#define V_TP2MPS_CH2(x) ((x) << S_TP2MPS_CH2)
33354#define G_TP2MPS_CH2(x) (((x) >> S_TP2MPS_CH2) & M_TP2MPS_CH2)
33355
33356#define S_TP2MPS_CH1 8
33357#define M_TP2MPS_CH1 0xffU
33358#define V_TP2MPS_CH1(x) ((x) << S_TP2MPS_CH1)
33359#define G_TP2MPS_CH1(x) (((x) >> S_TP2MPS_CH1) & M_TP2MPS_CH1)
33360
33361#define S_TP2MPS_CH0 0
33362#define M_TP2MPS_CH0 0xffU
33363#define V_TP2MPS_CH0(x) ((x) << S_TP2MPS_CH0)
33364#define G_TP2MPS_CH0(x) (((x) >> S_TP2MPS_CH0) & M_TP2MPS_CH0)
33365
33366#define A_MPS_TX_PFVF_PORT_DROP_NCSI 0x9464
33367
33368#define S_NCSI_CH4 0
33369#define M_NCSI_CH4 0xffU
33370#define V_NCSI_CH4(x) ((x) << S_NCSI_CH4)
33371#define G_NCSI_CH4(x) (((x) >> S_NCSI_CH4) & M_NCSI_CH4)
33372
33373#define A_MPS_TX_PFVF_PORT_DROP_CTL 0x9468
33374
33375#define S_PFNOVFDROP 5
33376#define V_PFNOVFDROP(x) ((x) << S_PFNOVFDROP)
33377#define F_PFNOVFDROP V_PFNOVFDROP(1U)
33378
33379#define S_NCSI_CH4_CLR 4
33380#define V_NCSI_CH4_CLR(x) ((x) << S_NCSI_CH4_CLR)
33381#define F_NCSI_CH4_CLR V_NCSI_CH4_CLR(1U)
33382
33383#define S_TP2MPS_CH3_CLR 3
33384#define V_TP2MPS_CH3_CLR(x) ((x) << S_TP2MPS_CH3_CLR)
33385#define F_TP2MPS_CH3_CLR V_TP2MPS_CH3_CLR(1U)
33386
33387#define S_TP2MPS_CH2_CLR 2
33388#define V_TP2MPS_CH2_CLR(x) ((x) << S_TP2MPS_CH2_CLR)
33389#define F_TP2MPS_CH2_CLR V_TP2MPS_CH2_CLR(1U)
33390
33391#define S_TP2MPS_CH1_CLR 1
33392#define V_TP2MPS_CH1_CLR(x) ((x) << S_TP2MPS_CH1_CLR)
33393#define F_TP2MPS_CH1_CLR V_TP2MPS_CH1_CLR(1U)
33394
33395#define S_TP2MPS_CH0_CLR 0
33396#define V_TP2MPS_CH0_CLR(x) ((x) << S_TP2MPS_CH0_CLR)
33397#define F_TP2MPS_CH0_CLR V_TP2MPS_CH0_CLR(1U)
33398
33399#define A_MPS_TX_CGEN 0x946c
33400
33401#define S_TXOUTLPBK3_CGEN 31
33402#define V_TXOUTLPBK3_CGEN(x) ((x) << S_TXOUTLPBK3_CGEN)
33403#define F_TXOUTLPBK3_CGEN V_TXOUTLPBK3_CGEN(1U)
33404
33405#define S_TXOUTLPBK2_CGEN 30
33406#define V_TXOUTLPBK2_CGEN(x) ((x) << S_TXOUTLPBK2_CGEN)
33407#define F_TXOUTLPBK2_CGEN V_TXOUTLPBK2_CGEN(1U)
33408
33409#define S_TXOUTLPBK1_CGEN 29
33410#define V_TXOUTLPBK1_CGEN(x) ((x) << S_TXOUTLPBK1_CGEN)
33411#define F_TXOUTLPBK1_CGEN V_TXOUTLPBK1_CGEN(1U)
33412
33413#define S_TXOUTLPBK0_CGEN 28
33414#define V_TXOUTLPBK0_CGEN(x) ((x) << S_TXOUTLPBK0_CGEN)
33415#define F_TXOUTLPBK0_CGEN V_TXOUTLPBK0_CGEN(1U)
33416
33417#define S_TXOUTMAC3_CGEN 27
33418#define V_TXOUTMAC3_CGEN(x) ((x) << S_TXOUTMAC3_CGEN)
33419#define F_TXOUTMAC3_CGEN V_TXOUTMAC3_CGEN(1U)
33420
33421#define S_TXOUTMAC2_CGEN 26
33422#define V_TXOUTMAC2_CGEN(x) ((x) << S_TXOUTMAC2_CGEN)
33423#define F_TXOUTMAC2_CGEN V_TXOUTMAC2_CGEN(1U)
33424
33425#define S_TXOUTMAC1_CGEN 25
33426#define V_TXOUTMAC1_CGEN(x) ((x) << S_TXOUTMAC1_CGEN)
33427#define F_TXOUTMAC1_CGEN V_TXOUTMAC1_CGEN(1U)
33428
33429#define S_TXOUTMAC0_CGEN 24
33430#define V_TXOUTMAC0_CGEN(x) ((x) << S_TXOUTMAC0_CGEN)
33431#define F_TXOUTMAC0_CGEN V_TXOUTMAC0_CGEN(1U)
33432
33433#define S_TXSCHLPBK3_CGEN 23
33434#define V_TXSCHLPBK3_CGEN(x) ((x) << S_TXSCHLPBK3_CGEN)
33435#define F_TXSCHLPBK3_CGEN V_TXSCHLPBK3_CGEN(1U)
33436
33437#define S_TXSCHLPBK2_CGEN 22
33438#define V_TXSCHLPBK2_CGEN(x) ((x) << S_TXSCHLPBK2_CGEN)
33439#define F_TXSCHLPBK2_CGEN V_TXSCHLPBK2_CGEN(1U)
33440
33441#define S_TXSCHLPBK1_CGEN 21
33442#define V_TXSCHLPBK1_CGEN(x) ((x) << S_TXSCHLPBK1_CGEN)
33443#define F_TXSCHLPBK1_CGEN V_TXSCHLPBK1_CGEN(1U)
33444
33445#define S_TXSCHLPBK0_CGEN 20
33446#define V_TXSCHLPBK0_CGEN(x) ((x) << S_TXSCHLPBK0_CGEN)
33447#define F_TXSCHLPBK0_CGEN V_TXSCHLPBK0_CGEN(1U)
33448
33449#define S_TXSCHMAC3_CGEN 19
33450#define V_TXSCHMAC3_CGEN(x) ((x) << S_TXSCHMAC3_CGEN)
33451#define F_TXSCHMAC3_CGEN V_TXSCHMAC3_CGEN(1U)
33452
33453#define S_TXSCHMAC2_CGEN 18
33454#define V_TXSCHMAC2_CGEN(x) ((x) << S_TXSCHMAC2_CGEN)
33455#define F_TXSCHMAC2_CGEN V_TXSCHMAC2_CGEN(1U)
33456
33457#define S_TXSCHMAC1_CGEN 17
33458#define V_TXSCHMAC1_CGEN(x) ((x) << S_TXSCHMAC1_CGEN)
33459#define F_TXSCHMAC1_CGEN V_TXSCHMAC1_CGEN(1U)
33460
33461#define S_TXSCHMAC0_CGEN 16
33462#define V_TXSCHMAC0_CGEN(x) ((x) << S_TXSCHMAC0_CGEN)
33463#define F_TXSCHMAC0_CGEN V_TXSCHMAC0_CGEN(1U)
33464
33465#define S_TXINCH4_CGEN 15
33466#define V_TXINCH4_CGEN(x) ((x) << S_TXINCH4_CGEN)
33467#define F_TXINCH4_CGEN V_TXINCH4_CGEN(1U)
33468
33469#define S_TXINCH3_CGEN 14
33470#define V_TXINCH3_CGEN(x) ((x) << S_TXINCH3_CGEN)
33471#define F_TXINCH3_CGEN V_TXINCH3_CGEN(1U)
33472
33473#define S_TXINCH2_CGEN 13
33474#define V_TXINCH2_CGEN(x) ((x) << S_TXINCH2_CGEN)
33475#define F_TXINCH2_CGEN V_TXINCH2_CGEN(1U)
33476
33477#define S_TXINCH1_CGEN 12
33478#define V_TXINCH1_CGEN(x) ((x) << S_TXINCH1_CGEN)
33479#define F_TXINCH1_CGEN V_TXINCH1_CGEN(1U)
33480
33481#define S_TXINCH0_CGEN 11
33482#define V_TXINCH0_CGEN(x) ((x) << S_TXINCH0_CGEN)
33483#define F_TXINCH0_CGEN V_TXINCH0_CGEN(1U)
33484
33485#define A_MPS_TX_CGEN_DYNAMIC 0x9470
33486#define A_MPS_STAT_CTL 0x9600
33487
33488#define S_COUNTVFINPF 1
33489#define V_COUNTVFINPF(x) ((x) << S_COUNTVFINPF)
33490#define F_COUNTVFINPF V_COUNTVFINPF(1U)
33491
33492#define S_LPBKERRSTAT 0
33493#define V_LPBKERRSTAT(x) ((x) << S_LPBKERRSTAT)
33494#define F_LPBKERRSTAT V_LPBKERRSTAT(1U)
33495
33496#define S_STATSTOPCTRL 10
33497#define V_STATSTOPCTRL(x) ((x) << S_STATSTOPCTRL)
33498#define F_STATSTOPCTRL V_STATSTOPCTRL(1U)
33499
33500#define S_STOPSTAT 9
33501#define V_STOPSTAT(x) ((x) << S_STOPSTAT)
33502#define F_STOPSTAT V_STOPSTAT(1U)
33503
33504#define S_STATWRITECTRL 8
33505#define V_STATWRITECTRL(x) ((x) << S_STATWRITECTRL)
33506#define F_STATWRITECTRL V_STATWRITECTRL(1U)
33507
33508#define S_COUNTLBPF 7
33509#define V_COUNTLBPF(x) ((x) << S_COUNTLBPF)
33510#define F_COUNTLBPF V_COUNTLBPF(1U)
33511
33512#define S_COUNTLBVF 6
33513#define V_COUNTLBVF(x) ((x) << S_COUNTLBVF)
33514#define F_COUNTLBVF V_COUNTLBVF(1U)
33515
33516#define S_COUNTPAUSEMCRX 5
33517#define V_COUNTPAUSEMCRX(x) ((x) << S_COUNTPAUSEMCRX)
33518#define F_COUNTPAUSEMCRX V_COUNTPAUSEMCRX(1U)
33519
33520#define S_COUNTPAUSESTATRX 4
33521#define V_COUNTPAUSESTATRX(x) ((x) << S_COUNTPAUSESTATRX)
33522#define F_COUNTPAUSESTATRX V_COUNTPAUSESTATRX(1U)
33523
33524#define S_COUNTPAUSEMCTX 3
33525#define V_COUNTPAUSEMCTX(x) ((x) << S_COUNTPAUSEMCTX)
33526#define F_COUNTPAUSEMCTX V_COUNTPAUSEMCTX(1U)
33527
33528#define S_COUNTPAUSESTATTX 2
33529#define V_COUNTPAUSESTATTX(x) ((x) << S_COUNTPAUSESTATTX)
33530#define F_COUNTPAUSESTATTX V_COUNTPAUSESTATTX(1U)
33531
33532#define A_MPS_STAT_INT_ENABLE 0x9608
33533
33534#define S_PLREADSYNCERR 0
33535#define V_PLREADSYNCERR(x) ((x) << S_PLREADSYNCERR)
33536#define F_PLREADSYNCERR V_PLREADSYNCERR(1U)
33537
33538#define A_MPS_STAT_INT_CAUSE 0x960c
33539#define A_MPS_STAT_PERR_INT_ENABLE_SRAM 0x9610
33540
33541#define S_RXBG 20
33542#define V_RXBG(x) ((x) << S_RXBG)
33543#define F_RXBG V_RXBG(1U)
33544
33545#define S_RXVF 18
33546#define M_RXVF 0x3U
33547#define V_RXVF(x) ((x) << S_RXVF)
33548#define G_RXVF(x) (((x) >> S_RXVF) & M_RXVF)
33549
33550#define S_TXVF 16
33551#define M_TXVF 0x3U
33552#define V_TXVF(x) ((x) << S_TXVF)
33553#define G_TXVF(x) (((x) >> S_TXVF) & M_TXVF)
33554
33555#define S_RXPF 13
33556#define M_RXPF 0x7U
33557#define V_RXPF(x) ((x) << S_RXPF)
33558#define G_RXPF(x) (((x) >> S_RXPF) & M_RXPF)
33559
33560#define S_TXPF 11
33561#define M_TXPF 0x3U
33562#define V_TXPF(x) ((x) << S_TXPF)
33563#define G_TXPF(x) (((x) >> S_TXPF) & M_TXPF)
33564
33565#define S_RXPORT 7
33566#define M_RXPORT 0xfU
33567#define V_RXPORT(x) ((x) << S_RXPORT)
33568#define G_RXPORT(x) (((x) >> S_RXPORT) & M_RXPORT)
33569
33570#define S_LBPORT 4
33571#define M_LBPORT 0x7U
33572#define V_LBPORT(x) ((x) << S_LBPORT)
33573#define G_LBPORT(x) (((x) >> S_LBPORT) & M_LBPORT)
33574
33575#define S_TXPORT 0
33576#define M_TXPORT 0xfU
33577#define V_TXPORT(x) ((x) << S_TXPORT)
33578#define G_TXPORT(x) (((x) >> S_TXPORT) & M_TXPORT)
33579
33580#define S_T5_RXBG 27
33581#define M_T5_RXBG 0x3U
33582#define V_T5_RXBG(x) ((x) << S_T5_RXBG)
33583#define G_T5_RXBG(x) (((x) >> S_T5_RXBG) & M_T5_RXBG)
33584
33585#define S_T5_RXPF 22
33586#define M_T5_RXPF 0x1fU
33587#define V_T5_RXPF(x) ((x) << S_T5_RXPF)
33588#define G_T5_RXPF(x) (((x) >> S_T5_RXPF) & M_T5_RXPF)
33589
33590#define S_T5_TXPF 18
33591#define M_T5_TXPF 0xfU
33592#define V_T5_TXPF(x) ((x) << S_T5_TXPF)
33593#define G_T5_TXPF(x) (((x) >> S_T5_TXPF) & M_T5_TXPF)
33594
33595#define S_T5_RXPORT 11
33596#define M_T5_RXPORT 0x7fU
33597#define V_T5_RXPORT(x) ((x) << S_T5_RXPORT)
33598#define G_T5_RXPORT(x) (((x) >> S_T5_RXPORT) & M_T5_RXPORT)
33599
33600#define S_T5_LBPORT 6
33601#define M_T5_LBPORT 0x1fU
33602#define V_T5_LBPORT(x) ((x) << S_T5_LBPORT)
33603#define G_T5_LBPORT(x) (((x) >> S_T5_LBPORT) & M_T5_LBPORT)
33604
33605#define S_T5_TXPORT 0
33606#define M_T5_TXPORT 0x3fU
33607#define V_T5_TXPORT(x) ((x) << S_T5_TXPORT)
33608#define G_T5_TXPORT(x) (((x) >> S_T5_TXPORT) & M_T5_TXPORT)
33609
33610#define A_MPS_STAT_PERR_INT_CAUSE_SRAM 0x9614
33611#define A_MPS_STAT_PERR_ENABLE_SRAM 0x9618
33612#define A_MPS_STAT_PERR_INT_ENABLE_TX_FIFO 0x961c
33613
33614#define S_TX 12
33615#define M_TX 0xffU
33616#define V_TX(x) ((x) << S_TX)
33617#define G_TX(x) (((x) >> S_TX) & M_TX)
33618
33619#define S_TXPAUSEFIFO 8
33620#define M_TXPAUSEFIFO 0xfU
33621#define V_TXPAUSEFIFO(x) ((x) << S_TXPAUSEFIFO)
33622#define G_TXPAUSEFIFO(x) (((x) >> S_TXPAUSEFIFO) & M_TXPAUSEFIFO)
33623
33624#define S_DROP 0
33625#define M_DROP 0xffU
33626#define V_DROP(x) ((x) << S_DROP)
33627#define G_DROP(x) (((x) >> S_DROP) & M_DROP)
33628
33629#define S_TXCH 20
33630#define M_TXCH 0xfU
33631#define V_TXCH(x) ((x) << S_TXCH)
33632#define G_TXCH(x) (((x) >> S_TXCH) & M_TXCH)
33633
33634#define A_MPS_STAT_PERR_INT_CAUSE_TX_FIFO 0x9620
33635#define A_MPS_STAT_PERR_ENABLE_TX_FIFO 0x9624
33636#define A_MPS_STAT_PERR_INT_ENABLE_RX_FIFO 0x9628
33637
33638#define S_PAUSEFIFO 20
33639#define M_PAUSEFIFO 0xfU
33640#define V_PAUSEFIFO(x) ((x) << S_PAUSEFIFO)
33641#define G_PAUSEFIFO(x) (((x) >> S_PAUSEFIFO) & M_PAUSEFIFO)
33642
33643#define S_LPBK 16
33644#define M_LPBK 0xfU
33645#define V_LPBK(x) ((x) << S_LPBK)
33646#define G_LPBK(x) (((x) >> S_LPBK) & M_LPBK)
33647
33648#define S_NQ 8
33649#define M_NQ 0xffU
33650#define V_NQ(x) ((x) << S_NQ)
33651#define G_NQ(x) (((x) >> S_NQ) & M_NQ)
33652
33653#define S_PV 4
33654#define M_PV 0xfU
33655#define V_PV(x) ((x) << S_PV)
33656#define G_PV(x) (((x) >> S_PV) & M_PV)
33657
33658#define S_MAC 0
33659#define M_MAC 0xfU
33660#define V_MAC(x) ((x) << S_MAC)
33661#define G_MAC(x) (((x) >> S_MAC) & M_MAC)
33662
33663#define A_MPS_STAT_PERR_INT_CAUSE_RX_FIFO 0x962c
33664#define A_MPS_STAT_PERR_ENABLE_RX_FIFO 0x9630
33665#define A_MPS_STAT_PERR_INJECT 0x9634
33666
33667#define S_STATMEMSEL 1
33668#define M_STATMEMSEL 0x7fU
33669#define V_STATMEMSEL(x) ((x) << S_STATMEMSEL)
33670#define G_STATMEMSEL(x) (((x) >> S_STATMEMSEL) & M_STATMEMSEL)
33671
33672#define A_MPS_STAT_DEBUG_SUB_SEL 0x9638
33673
33674#define S_STATSSUBPRTH 5
33675#define M_STATSSUBPRTH 0x1fU
33676#define V_STATSSUBPRTH(x) ((x) << S_STATSSUBPRTH)
33677#define G_STATSSUBPRTH(x) (((x) >> S_STATSSUBPRTH) & M_STATSSUBPRTH)
33678
33679#define S_STATSSUBPRTL 0
33680#define M_STATSSUBPRTL 0x1fU
33681#define V_STATSSUBPRTL(x) ((x) << S_STATSSUBPRTL)
33682#define G_STATSSUBPRTL(x) (((x) >> S_STATSSUBPRTL) & M_STATSSUBPRTL)
33683
33684#define S_STATSUBPRTH 5
33685#define M_STATSUBPRTH 0x1fU
33686#define V_STATSUBPRTH(x) ((x) << S_STATSUBPRTH)
33687#define G_STATSUBPRTH(x) (((x) >> S_STATSUBPRTH) & M_STATSUBPRTH)
33688
33689#define A_MPS_STAT_RX_BG_0_MAC_DROP_FRAME_L 0x9640
33690#define A_MPS_STAT_RX_BG_0_MAC_DROP_FRAME_H 0x9644
33691#define A_MPS_STAT_RX_BG_1_MAC_DROP_FRAME_L 0x9648
33692#define A_MPS_STAT_RX_BG_1_MAC_DROP_FRAME_H 0x964c
33693#define A_MPS_STAT_RX_BG_2_MAC_DROP_FRAME_L 0x9650
33694#define A_MPS_STAT_RX_BG_2_MAC_DROP_FRAME_H 0x9654
33695#define A_MPS_STAT_RX_BG_3_MAC_DROP_FRAME_L 0x9658
33696#define A_MPS_STAT_RX_BG_3_MAC_DROP_FRAME_H 0x965c
33697#define A_MPS_STAT_RX_BG_0_LB_DROP_FRAME_L 0x9660
33698#define A_MPS_STAT_RX_BG_0_LB_DROP_FRAME_H 0x9664
33699#define A_MPS_STAT_RX_BG_1_LB_DROP_FRAME_L 0x9668
33700#define A_MPS_STAT_RX_BG_1_LB_DROP_FRAME_H 0x966c
33701#define A_MPS_STAT_RX_BG_2_LB_DROP_FRAME_L 0x9670
33702#define A_MPS_STAT_RX_BG_2_LB_DROP_FRAME_H 0x9674
33703#define A_MPS_STAT_RX_BG_3_LB_DROP_FRAME_L 0x9678
33704#define A_MPS_STAT_RX_BG_3_LB_DROP_FRAME_H 0x967c
33705#define A_MPS_STAT_RX_BG_0_MAC_TRUNC_FRAME_L 0x9680
33706#define A_MPS_STAT_RX_BG_0_MAC_TRUNC_FRAME_H 0x9684
33707#define A_MPS_STAT_RX_BG_1_MAC_TRUNC_FRAME_L 0x9688
33708#define A_MPS_STAT_RX_BG_1_MAC_TRUNC_FRAME_H 0x968c
33709#define A_MPS_STAT_RX_BG_2_MAC_TRUNC_FRAME_L 0x9690
33710#define A_MPS_STAT_RX_BG_2_MAC_TRUNC_FRAME_H 0x9694
33711#define A_MPS_STAT_RX_BG_3_MAC_TRUNC_FRAME_L 0x9698
33712#define A_MPS_STAT_RX_BG_3_MAC_TRUNC_FRAME_H 0x969c
33713#define A_MPS_STAT_RX_BG_0_LB_TRUNC_FRAME_L 0x96a0
33714#define A_MPS_STAT_RX_BG_0_LB_TRUNC_FRAME_H 0x96a4
33715#define A_MPS_STAT_RX_BG_1_LB_TRUNC_FRAME_L 0x96a8
33716#define A_MPS_STAT_RX_BG_1_LB_TRUNC_FRAME_H 0x96ac
33717#define A_MPS_STAT_RX_BG_2_LB_TRUNC_FRAME_L 0x96b0
33718#define A_MPS_STAT_RX_BG_2_LB_TRUNC_FRAME_H 0x96b4
33719#define A_MPS_STAT_RX_BG_3_LB_TRUNC_FRAME_L 0x96b8
33720#define A_MPS_STAT_RX_BG_3_LB_TRUNC_FRAME_H 0x96bc
33721#define A_MPS_STAT_PERR_INT_ENABLE_SRAM1 0x96c0
33722
33723#define S_T5_RXVF 5
33724#define M_T5_RXVF 0x7U
33725#define V_T5_RXVF(x) ((x) << S_T5_RXVF)
33726#define G_T5_RXVF(x) (((x) >> S_T5_RXVF) & M_T5_RXVF)
33727
33728#define S_T5_TXVF 0
33729#define M_T5_TXVF 0x1fU
33730#define V_T5_TXVF(x) ((x) << S_T5_TXVF)
33731#define G_T5_TXVF(x) (((x) >> S_T5_TXVF) & M_T5_TXVF)
33732
33733#define A_MPS_STAT_PERR_INT_CAUSE_SRAM1 0x96c4
33734#define A_MPS_STAT_PERR_ENABLE_SRAM1 0x96c8
33735#define A_MPS_STAT_STOP_UPD_BG 0x96cc
33736
33737#define S_BGRX 0
33738#define M_BGRX 0xfU
33739#define V_BGRX(x) ((x) << S_BGRX)
33740#define G_BGRX(x) (((x) >> S_BGRX) & M_BGRX)
33741
33742#define A_MPS_STAT_STOP_UPD_PORT 0x96d0
33743
33744#define S_PTLPBK 8
33745#define M_PTLPBK 0xfU
33746#define V_PTLPBK(x) ((x) << S_PTLPBK)
33747#define G_PTLPBK(x) (((x) >> S_PTLPBK) & M_PTLPBK)
33748
33749#define S_PTTX 4
33750#define M_PTTX 0xfU
33751#define V_PTTX(x) ((x) << S_PTTX)
33752#define G_PTTX(x) (((x) >> S_PTTX) & M_PTTX)
33753
33754#define S_PTRX 0
33755#define M_PTRX 0xfU
33756#define V_PTRX(x) ((x) << S_PTRX)
33757#define G_PTRX(x) (((x) >> S_PTRX) & M_PTRX)
33758
33759#define A_MPS_STAT_STOP_UPD_PF 0x96d4
33760
33761#define S_PFTX 8
33762#define M_PFTX 0xffU
33763#define V_PFTX(x) ((x) << S_PFTX)
33764#define G_PFTX(x) (((x) >> S_PFTX) & M_PFTX)
33765
33766#define S_PFRX 0
33767#define M_PFRX 0xffU
33768#define V_PFRX(x) ((x) << S_PFRX)
33769#define G_PFRX(x) (((x) >> S_PFRX) & M_PFRX)
33770
33771#define A_MPS_STAT_STOP_UPD_TX_VF_0_31 0x96d8
33772#define A_MPS_STAT_STOP_UPD_TX_VF_32_63 0x96dc
33773#define A_MPS_STAT_STOP_UPD_TX_VF_64_95 0x96e0
33774#define A_MPS_STAT_STOP_UPD_TX_VF_96_127 0x96e4
33775#define A_MPS_STAT_STOP_UPD_RX_VF_0_31 0x96e8
33776#define A_MPS_STAT_STOP_UPD_RX_VF_32_63 0x96ec
33777#define A_MPS_STAT_STOP_UPD_RX_VF_64_95 0x96f0
33778#define A_MPS_STAT_STOP_UPD_RX_VF_96_127 0x96f4
33779#define A_MPS_STAT_STOP_UPD_RX_VF_128_159 0x96f8
33780#define A_MPS_STAT_STOP_UPD_RX_VF_160_191 0x96fc
33781#define A_MPS_STAT_STOP_UPD_RX_VF_192_223 0x9700
33782#define A_MPS_STAT_STOP_UPD_RX_VF_224_255 0x9704
33783#define A_MPS_STAT_STOP_UPD_TX_VF_128_159 0x9710
33784#define A_MPS_STAT_STOP_UPD_TX_VF_160_191 0x9714
33785#define A_MPS_STAT_STOP_UPD_TX_VF_192_223 0x9718
33786#define A_MPS_STAT_STOP_UPD_TX_VF_224_255 0x971c
33787#define A_MPS_TRC_CFG 0x9800
33788
33789#define S_TRCFIFOEMPTY 4
33790#define V_TRCFIFOEMPTY(x) ((x) << S_TRCFIFOEMPTY)
33791#define F_TRCFIFOEMPTY V_TRCFIFOEMPTY(1U)
33792
33793#define S_TRCIGNOREDROPINPUT 3
33794#define V_TRCIGNOREDROPINPUT(x) ((x) << S_TRCIGNOREDROPINPUT)
33795#define F_TRCIGNOREDROPINPUT V_TRCIGNOREDROPINPUT(1U)
33796
33797#define S_TRCKEEPDUPLICATES 2
33798#define V_TRCKEEPDUPLICATES(x) ((x) << S_TRCKEEPDUPLICATES)
33799#define F_TRCKEEPDUPLICATES V_TRCKEEPDUPLICATES(1U)
33800
33801#define S_TRCEN 1
33802#define V_TRCEN(x) ((x) << S_TRCEN)
33803#define F_TRCEN V_TRCEN(1U)
33804
33805#define S_TRCMULTIFILTER 0
33806#define V_TRCMULTIFILTER(x) ((x) << S_TRCMULTIFILTER)
33807#define F_TRCMULTIFILTER V_TRCMULTIFILTER(1U)
33808
33809#define S_TRCMULTIRSSFILTER 5
33810#define V_TRCMULTIRSSFILTER(x) ((x) << S_TRCMULTIRSSFILTER)
33811#define F_TRCMULTIRSSFILTER V_TRCMULTIRSSFILTER(1U)
33812
33813#define A_MPS_TRC_RSS_HASH 0x9804
33814#define A_MPS_TRC_FILTER0_RSS_HASH 0x9804
33815#define A_MPS_TRC_RSS_CONTROL 0x9808
33816
33817#define S_RSSCONTROL 16
33818#define M_RSSCONTROL 0xffU
33819#define V_RSSCONTROL(x) ((x) << S_RSSCONTROL)
33820#define G_RSSCONTROL(x) (((x) >> S_RSSCONTROL) & M_RSSCONTROL)
33821
33822#define S_QUEUENUMBER 0
33823#define M_QUEUENUMBER 0xffffU
33824#define V_QUEUENUMBER(x) ((x) << S_QUEUENUMBER)
33825#define G_QUEUENUMBER(x) (((x) >> S_QUEUENUMBER) & M_QUEUENUMBER)
33826
33827#define A_MPS_TRC_FILTER0_RSS_CONTROL 0x9808
33828#define A_MPS_TRC_FILTER_MATCH_CTL_A 0x9810
33829
33830#define S_TFINVERTMATCH 24
33831#define V_TFINVERTMATCH(x) ((x) << S_TFINVERTMATCH)
33832#define F_TFINVERTMATCH V_TFINVERTMATCH(1U)
33833
33834#define S_TFPKTTOOLARGE 23
33835#define V_TFPKTTOOLARGE(x) ((x) << S_TFPKTTOOLARGE)
33836#define F_TFPKTTOOLARGE V_TFPKTTOOLARGE(1U)
33837
33838#define S_TFEN 22
33839#define V_TFEN(x) ((x) << S_TFEN)
33840#define F_TFEN V_TFEN(1U)
33841
33842#define S_TFPORT 18
33843#define M_TFPORT 0xfU
33844#define V_TFPORT(x) ((x) << S_TFPORT)
33845#define G_TFPORT(x) (((x) >> S_TFPORT) & M_TFPORT)
33846
33847#define S_TFDROP 17
33848#define V_TFDROP(x) ((x) << S_TFDROP)
33849#define F_TFDROP V_TFDROP(1U)
33850
33851#define S_TFSOPEOPERR 16
33852#define V_TFSOPEOPERR(x) ((x) << S_TFSOPEOPERR)
33853#define F_TFSOPEOPERR V_TFSOPEOPERR(1U)
33854
33855#define S_TFLENGTH 8
33856#define M_TFLENGTH 0x1fU
33857#define V_TFLENGTH(x) ((x) << S_TFLENGTH)
33858#define G_TFLENGTH(x) (((x) >> S_TFLENGTH) & M_TFLENGTH)
33859
33860#define S_TFOFFSET 0
33861#define M_TFOFFSET 0x1fU
33862#define V_TFOFFSET(x) ((x) << S_TFOFFSET)
33863#define G_TFOFFSET(x) (((x) >> S_TFOFFSET) & M_TFOFFSET)
33864
33865#define S_TFINSERTACTLEN 27
33866#define V_TFINSERTACTLEN(x) ((x) << S_TFINSERTACTLEN)
33867#define F_TFINSERTACTLEN V_TFINSERTACTLEN(1U)
33868
33869#define S_TFINSERTTIMER 26
33870#define V_TFINSERTTIMER(x) ((x) << S_TFINSERTTIMER)
33871#define F_TFINSERTTIMER V_TFINSERTTIMER(1U)
33872
33873#define S_T5_TFINVERTMATCH 25
33874#define V_T5_TFINVERTMATCH(x) ((x) << S_T5_TFINVERTMATCH)
33875#define F_T5_TFINVERTMATCH V_T5_TFINVERTMATCH(1U)
33876
33877#define S_T5_TFPKTTOOLARGE 24
33878#define V_T5_TFPKTTOOLARGE(x) ((x) << S_T5_TFPKTTOOLARGE)
33879#define F_T5_TFPKTTOOLARGE V_T5_TFPKTTOOLARGE(1U)
33880
33881#define S_T5_TFEN 23
33882#define V_T5_TFEN(x) ((x) << S_T5_TFEN)
33883#define F_T5_TFEN V_T5_TFEN(1U)
33884
33885#define S_T5_TFPORT 18
33886#define M_T5_TFPORT 0x1fU
33887#define V_T5_TFPORT(x) ((x) << S_T5_TFPORT)
33888#define G_T5_TFPORT(x) (((x) >> S_T5_TFPORT) & M_T5_TFPORT)
33889
33890#define A_MPS_TRC_FILTER_MATCH_CTL_B 0x9820
33891
33892#define S_TFMINPKTSIZE 16
33893#define M_TFMINPKTSIZE 0x1ffU
33894#define V_TFMINPKTSIZE(x) ((x) << S_TFMINPKTSIZE)
33895#define G_TFMINPKTSIZE(x) (((x) >> S_TFMINPKTSIZE) & M_TFMINPKTSIZE)
33896
33897#define S_TFCAPTUREMAX 0
33898#define M_TFCAPTUREMAX 0x3fffU
33899#define V_TFCAPTUREMAX(x) ((x) << S_TFCAPTUREMAX)
33900#define G_TFCAPTUREMAX(x) (((x) >> S_TFCAPTUREMAX) & M_TFCAPTUREMAX)
33901
33902#define A_MPS_TRC_FILTER_RUNT_CTL 0x9830
33903
33904#define S_TFRUNTSIZE 0
33905#define M_TFRUNTSIZE 0x3fU
33906#define V_TFRUNTSIZE(x) ((x) << S_TFRUNTSIZE)
33907#define G_TFRUNTSIZE(x) (((x) >> S_TFRUNTSIZE) & M_TFRUNTSIZE)
33908
33909#define A_MPS_TRC_FILTER_DROP 0x9840
33910
33911#define S_TFDROPINPCOUNT 16
33912#define M_TFDROPINPCOUNT 0xffffU
33913#define V_TFDROPINPCOUNT(x) ((x) << S_TFDROPINPCOUNT)
33914#define G_TFDROPINPCOUNT(x) (((x) >> S_TFDROPINPCOUNT) & M_TFDROPINPCOUNT)
33915
33916#define S_TFDROPBUFFERCOUNT 0
33917#define M_TFDROPBUFFERCOUNT 0xffffU
33918#define V_TFDROPBUFFERCOUNT(x) ((x) << S_TFDROPBUFFERCOUNT)
33919#define G_TFDROPBUFFERCOUNT(x) (((x) >> S_TFDROPBUFFERCOUNT) & M_TFDROPBUFFERCOUNT)
33920
33921#define A_MPS_TRC_PERR_INJECT 0x9850
33922
33923#define S_TRCMEMSEL 1
33924#define M_TRCMEMSEL 0xfU
33925#define V_TRCMEMSEL(x) ((x) << S_TRCMEMSEL)
33926#define G_TRCMEMSEL(x) (((x) >> S_TRCMEMSEL) & M_TRCMEMSEL)
33927
33928#define A_MPS_TRC_PERR_ENABLE 0x9854
33929
33930#define S_MISCPERR 8
33931#define V_MISCPERR(x) ((x) << S_MISCPERR)
33932#define F_MISCPERR V_MISCPERR(1U)
33933
33934#define S_PKTFIFO 4
33935#define M_PKTFIFO 0xfU
33936#define V_PKTFIFO(x) ((x) << S_PKTFIFO)
33937#define G_PKTFIFO(x) (((x) >> S_PKTFIFO) & M_PKTFIFO)
33938
33939#define S_FILTMEM 0
33940#define M_FILTMEM 0xfU
33941#define V_FILTMEM(x) ((x) << S_FILTMEM)
33942#define G_FILTMEM(x) (((x) >> S_FILTMEM) & M_FILTMEM)
33943
33944#define A_MPS_TRC_INT_ENABLE 0x9858
33945
33946#define S_TRCPLERRENB 9
33947#define V_TRCPLERRENB(x) ((x) << S_TRCPLERRENB)
33948#define F_TRCPLERRENB V_TRCPLERRENB(1U)
33949
33950#define A_MPS_TRC_INT_CAUSE 0x985c
33951#define A_MPS_TRC_TIMESTAMP_L 0x9860
33952#define A_MPS_TRC_TIMESTAMP_H 0x9864
33953#define A_MPS_TRC_FILTER0_MATCH 0x9c00
33954#define A_MPS_TRC_FILTER0_DONT_CARE 0x9c80
33955#define A_MPS_TRC_FILTER1_MATCH 0x9d00
33956#define A_MPS_TRC_FILTER1_DONT_CARE 0x9d80
33957#define A_MPS_TRC_FILTER2_MATCH 0x9e00
33958#define A_MPS_TRC_FILTER2_DONT_CARE 0x9e80
33959#define A_MPS_TRC_FILTER3_MATCH 0x9f00
33960#define A_MPS_TRC_FILTER3_DONT_CARE 0x9f80
33961#define A_MPS_TRC_FILTER1_RSS_HASH 0x9ff0
33962#define A_MPS_TRC_FILTER1_RSS_CONTROL 0x9ff4
33963#define A_MPS_TRC_FILTER2_RSS_HASH 0x9ff8
33964#define A_MPS_TRC_FILTER2_RSS_CONTROL 0x9ffc
33965#define A_MPS_TRC_FILTER3_RSS_HASH 0xa000
33966#define A_MPS_TRC_FILTER3_RSS_CONTROL 0xa004
33967#define A_MPS_T5_TRC_RSS_HASH 0xa008
33968#define A_MPS_T5_TRC_RSS_CONTROL 0xa00c
33969#define A_MPS_TRC_VF_OFF_FILTER_0 0xa010
33970
33971#define S_TRCMPS2TP_MACONLY 20
33972#define V_TRCMPS2TP_MACONLY(x) ((x) << S_TRCMPS2TP_MACONLY)
33973#define F_TRCMPS2TP_MACONLY V_TRCMPS2TP_MACONLY(1U)
33974
33975#define S_TRCALLMPS2TP 19
33976#define V_TRCALLMPS2TP(x) ((x) << S_TRCALLMPS2TP)
33977#define F_TRCALLMPS2TP V_TRCALLMPS2TP(1U)
33978
33979#define S_TRCALLTP2MPS 18
33980#define V_TRCALLTP2MPS(x) ((x) << S_TRCALLTP2MPS)
33981#define F_TRCALLTP2MPS V_TRCALLTP2MPS(1U)
33982
33983#define S_TRCALLVF 17
33984#define V_TRCALLVF(x) ((x) << S_TRCALLVF)
33985#define F_TRCALLVF V_TRCALLVF(1U)
33986
33987#define S_TRC_OFLD_EN 16
33988#define V_TRC_OFLD_EN(x) ((x) << S_TRC_OFLD_EN)
33989#define F_TRC_OFLD_EN V_TRC_OFLD_EN(1U)
33990
33991#define S_VFFILTEN 15
33992#define V_VFFILTEN(x) ((x) << S_VFFILTEN)
33993#define F_VFFILTEN V_VFFILTEN(1U)
33994
33995#define S_VFFILTMASK 8
33996#define M_VFFILTMASK 0x7fU
33997#define V_VFFILTMASK(x) ((x) << S_VFFILTMASK)
33998#define G_VFFILTMASK(x) (((x) >> S_VFFILTMASK) & M_VFFILTMASK)
33999
34000#define S_VFFILTVALID 7
34001#define V_VFFILTVALID(x) ((x) << S_VFFILTVALID)
34002#define F_VFFILTVALID V_VFFILTVALID(1U)
34003
34004#define S_VFFILTDATA 0
34005#define M_VFFILTDATA 0x7fU
34006#define V_VFFILTDATA(x) ((x) << S_VFFILTDATA)
34007#define G_VFFILTDATA(x) (((x) >> S_VFFILTDATA) & M_VFFILTDATA)
34008
34009#define S_T6_TRCMPS2TP_MACONLY 22
34010#define V_T6_TRCMPS2TP_MACONLY(x) ((x) << S_T6_TRCMPS2TP_MACONLY)
34011#define F_T6_TRCMPS2TP_MACONLY V_T6_TRCMPS2TP_MACONLY(1U)
34012
34013#define S_T6_TRCALLMPS2TP 21
34014#define V_T6_TRCALLMPS2TP(x) ((x) << S_T6_TRCALLMPS2TP)
34015#define F_T6_TRCALLMPS2TP V_T6_TRCALLMPS2TP(1U)
34016
34017#define S_T6_TRCALLTP2MPS 20
34018#define V_T6_TRCALLTP2MPS(x) ((x) << S_T6_TRCALLTP2MPS)
34019#define F_T6_TRCALLTP2MPS V_T6_TRCALLTP2MPS(1U)
34020
34021#define S_T6_TRCALLVF 19
34022#define V_T6_TRCALLVF(x) ((x) << S_T6_TRCALLVF)
34023#define F_T6_TRCALLVF V_T6_TRCALLVF(1U)
34024
34025#define S_T6_TRC_OFLD_EN 18
34026#define V_T6_TRC_OFLD_EN(x) ((x) << S_T6_TRC_OFLD_EN)
34027#define F_T6_TRC_OFLD_EN V_T6_TRC_OFLD_EN(1U)
34028
34029#define S_T6_VFFILTEN 17
34030#define V_T6_VFFILTEN(x) ((x) << S_T6_VFFILTEN)
34031#define F_T6_VFFILTEN V_T6_VFFILTEN(1U)
34032
34033#define S_T6_VFFILTMASK 9
34034#define M_T6_VFFILTMASK 0xffU
34035#define V_T6_VFFILTMASK(x) ((x) << S_T6_VFFILTMASK)
34036#define G_T6_VFFILTMASK(x) (((x) >> S_T6_VFFILTMASK) & M_T6_VFFILTMASK)
34037
34038#define S_T6_VFFILTVALID 8
34039#define V_T6_VFFILTVALID(x) ((x) << S_T6_VFFILTVALID)
34040#define F_T6_VFFILTVALID V_T6_VFFILTVALID(1U)
34041
34042#define S_T6_VFFILTDATA 0
34043#define M_T6_VFFILTDATA 0xffU
34044#define V_T6_VFFILTDATA(x) ((x) << S_T6_VFFILTDATA)
34045#define G_T6_VFFILTDATA(x) (((x) >> S_T6_VFFILTDATA) & M_T6_VFFILTDATA)
34046
34047#define A_MPS_TRC_VF_OFF_FILTER_1 0xa014
34048
34049#define S_T6_TRCMPS2TP_MACONLY 22
34050#define V_T6_TRCMPS2TP_MACONLY(x) ((x) << S_T6_TRCMPS2TP_MACONLY)
34051#define F_T6_TRCMPS2TP_MACONLY V_T6_TRCMPS2TP_MACONLY(1U)
34052
34053#define S_T6_TRCALLMPS2TP 21
34054#define V_T6_TRCALLMPS2TP(x) ((x) << S_T6_TRCALLMPS2TP)
34055#define F_T6_TRCALLMPS2TP V_T6_TRCALLMPS2TP(1U)
34056
34057#define S_T6_TRCALLTP2MPS 20
34058#define V_T6_TRCALLTP2MPS(x) ((x) << S_T6_TRCALLTP2MPS)
34059#define F_T6_TRCALLTP2MPS V_T6_TRCALLTP2MPS(1U)
34060
34061#define S_T6_TRCALLVF 19
34062#define V_T6_TRCALLVF(x) ((x) << S_T6_TRCALLVF)
34063#define F_T6_TRCALLVF V_T6_TRCALLVF(1U)
34064
34065#define S_T6_TRC_OFLD_EN 18
34066#define V_T6_TRC_OFLD_EN(x) ((x) << S_T6_TRC_OFLD_EN)
34067#define F_T6_TRC_OFLD_EN V_T6_TRC_OFLD_EN(1U)
34068
34069#define S_T6_VFFILTEN 17
34070#define V_T6_VFFILTEN(x) ((x) << S_T6_VFFILTEN)
34071#define F_T6_VFFILTEN V_T6_VFFILTEN(1U)
34072
34073#define S_T6_VFFILTMASK 9
34074#define M_T6_VFFILTMASK 0xffU
34075#define V_T6_VFFILTMASK(x) ((x) << S_T6_VFFILTMASK)
34076#define G_T6_VFFILTMASK(x) (((x) >> S_T6_VFFILTMASK) & M_T6_VFFILTMASK)
34077
34078#define S_T6_VFFILTVALID 8
34079#define V_T6_VFFILTVALID(x) ((x) << S_T6_VFFILTVALID)
34080#define F_T6_VFFILTVALID V_T6_VFFILTVALID(1U)
34081
34082#define S_T6_VFFILTDATA 0
34083#define M_T6_VFFILTDATA 0xffU
34084#define V_T6_VFFILTDATA(x) ((x) << S_T6_VFFILTDATA)
34085#define G_T6_VFFILTDATA(x) (((x) >> S_T6_VFFILTDATA) & M_T6_VFFILTDATA)
34086
34087#define A_MPS_TRC_VF_OFF_FILTER_2 0xa018
34088
34089#define S_T6_TRCMPS2TP_MACONLY 22
34090#define V_T6_TRCMPS2TP_MACONLY(x) ((x) << S_T6_TRCMPS2TP_MACONLY)
34091#define F_T6_TRCMPS2TP_MACONLY V_T6_TRCMPS2TP_MACONLY(1U)
34092
34093#define S_T6_TRCALLMPS2TP 21
34094#define V_T6_TRCALLMPS2TP(x) ((x) << S_T6_TRCALLMPS2TP)
34095#define F_T6_TRCALLMPS2TP V_T6_TRCALLMPS2TP(1U)
34096
34097#define S_T6_TRCALLTP2MPS 20
34098#define V_T6_TRCALLTP2MPS(x) ((x) << S_T6_TRCALLTP2MPS)
34099#define F_T6_TRCALLTP2MPS V_T6_TRCALLTP2MPS(1U)
34100
34101#define S_T6_TRCALLVF 19
34102#define V_T6_TRCALLVF(x) ((x) << S_T6_TRCALLVF)
34103#define F_T6_TRCALLVF V_T6_TRCALLVF(1U)
34104
34105#define S_T6_TRC_OFLD_EN 18
34106#define V_T6_TRC_OFLD_EN(x) ((x) << S_T6_TRC_OFLD_EN)
34107#define F_T6_TRC_OFLD_EN V_T6_TRC_OFLD_EN(1U)
34108
34109#define S_T6_VFFILTEN 17
34110#define V_T6_VFFILTEN(x) ((x) << S_T6_VFFILTEN)
34111#define F_T6_VFFILTEN V_T6_VFFILTEN(1U)
34112
34113#define S_T6_VFFILTMASK 9
34114#define M_T6_VFFILTMASK 0xffU
34115#define V_T6_VFFILTMASK(x) ((x) << S_T6_VFFILTMASK)
34116#define G_T6_VFFILTMASK(x) (((x) >> S_T6_VFFILTMASK) & M_T6_VFFILTMASK)
34117
34118#define S_T6_VFFILTVALID 8
34119#define V_T6_VFFILTVALID(x) ((x) << S_T6_VFFILTVALID)
34120#define F_T6_VFFILTVALID V_T6_VFFILTVALID(1U)
34121
34122#define S_T6_VFFILTDATA 0
34123#define M_T6_VFFILTDATA 0xffU
34124#define V_T6_VFFILTDATA(x) ((x) << S_T6_VFFILTDATA)
34125#define G_T6_VFFILTDATA(x) (((x) >> S_T6_VFFILTDATA) & M_T6_VFFILTDATA)
34126
34127#define A_MPS_TRC_VF_OFF_FILTER_3 0xa01c
34128
34129#define S_T6_TRCMPS2TP_MACONLY 22
34130#define V_T6_TRCMPS2TP_MACONLY(x) ((x) << S_T6_TRCMPS2TP_MACONLY)
34131#define F_T6_TRCMPS2TP_MACONLY V_T6_TRCMPS2TP_MACONLY(1U)
34132
34133#define S_T6_TRCALLMPS2TP 21
34134#define V_T6_TRCALLMPS2TP(x) ((x) << S_T6_TRCALLMPS2TP)
34135#define F_T6_TRCALLMPS2TP V_T6_TRCALLMPS2TP(1U)
34136
34137#define S_T6_TRCALLTP2MPS 20
34138#define V_T6_TRCALLTP2MPS(x) ((x) << S_T6_TRCALLTP2MPS)
34139#define F_T6_TRCALLTP2MPS V_T6_TRCALLTP2MPS(1U)
34140
34141#define S_T6_TRCALLVF 19
34142#define V_T6_TRCALLVF(x) ((x) << S_T6_TRCALLVF)
34143#define F_T6_TRCALLVF V_T6_TRCALLVF(1U)
34144
34145#define S_T6_TRC_OFLD_EN 18
34146#define V_T6_TRC_OFLD_EN(x) ((x) << S_T6_TRC_OFLD_EN)
34147#define F_T6_TRC_OFLD_EN V_T6_TRC_OFLD_EN(1U)
34148
34149#define S_T6_VFFILTEN 17
34150#define V_T6_VFFILTEN(x) ((x) << S_T6_VFFILTEN)
34151#define F_T6_VFFILTEN V_T6_VFFILTEN(1U)
34152
34153#define S_T6_VFFILTMASK 9
34154#define M_T6_VFFILTMASK 0xffU
34155#define V_T6_VFFILTMASK(x) ((x) << S_T6_VFFILTMASK)
34156#define G_T6_VFFILTMASK(x) (((x) >> S_T6_VFFILTMASK) & M_T6_VFFILTMASK)
34157
34158#define S_T6_VFFILTVALID 8
34159#define V_T6_VFFILTVALID(x) ((x) << S_T6_VFFILTVALID)
34160#define F_T6_VFFILTVALID V_T6_VFFILTVALID(1U)
34161
34162#define S_T6_VFFILTDATA 0
34163#define M_T6_VFFILTDATA 0xffU
34164#define V_T6_VFFILTDATA(x) ((x) << S_T6_VFFILTDATA)
34165#define G_T6_VFFILTDATA(x) (((x) >> S_T6_VFFILTDATA) & M_T6_VFFILTDATA)
34166
34167#define A_MPS_TRC_CGEN 0xa020
34168
34169#define S_MPSTRCCGEN 0
34170#define M_MPSTRCCGEN 0xfU
34171#define V_MPSTRCCGEN(x) ((x) << S_MPSTRCCGEN)
34172#define G_MPSTRCCGEN(x) (((x) >> S_MPSTRCCGEN) & M_MPSTRCCGEN)
34173
34174#define A_MPS_CLS_CTL 0xd000
34175
34176#define S_MEMWRITEFAULT 4
34177#define V_MEMWRITEFAULT(x) ((x) << S_MEMWRITEFAULT)
34178#define F_MEMWRITEFAULT V_MEMWRITEFAULT(1U)
34179
34180#define S_MEMWRITEWAITING 3
34181#define V_MEMWRITEWAITING(x) ((x) << S_MEMWRITEWAITING)
34182#define F_MEMWRITEWAITING V_MEMWRITEWAITING(1U)
34183
34184#define S_CIMNOPROMISCUOUS 2
34185#define V_CIMNOPROMISCUOUS(x) ((x) << S_CIMNOPROMISCUOUS)
34186#define F_CIMNOPROMISCUOUS V_CIMNOPROMISCUOUS(1U)
34187
34188#define S_HYPERVISORONLY 1
34189#define V_HYPERVISORONLY(x) ((x) << S_HYPERVISORONLY)
34190#define F_HYPERVISORONLY V_HYPERVISORONLY(1U)
34191
34192#define S_VLANCLSEN 0
34193#define V_VLANCLSEN(x) ((x) << S_VLANCLSEN)
34194#define F_VLANCLSEN V_VLANCLSEN(1U)
34195
34196#define S_VLANCLSEN_IN 7
34197#define V_VLANCLSEN_IN(x) ((x) << S_VLANCLSEN_IN)
34198#define F_VLANCLSEN_IN V_VLANCLSEN_IN(1U)
34199
34200#define S_DISTCAMPARCHK 6
34201#define V_DISTCAMPARCHK(x) ((x) << S_DISTCAMPARCHK)
34202#define F_DISTCAMPARCHK V_DISTCAMPARCHK(1U)
34203
34204#define S_VLANLKPEN 5
34205#define V_VLANLKPEN(x) ((x) << S_VLANLKPEN)
34206#define F_VLANLKPEN V_VLANLKPEN(1U)
34207
34208#define A_MPS_CLS_ARB_WEIGHT 0xd004
34209
34210#define S_PLWEIGHT 16
34211#define M_PLWEIGHT 0x1fU
34212#define V_PLWEIGHT(x) ((x) << S_PLWEIGHT)
34213#define G_PLWEIGHT(x) (((x) >> S_PLWEIGHT) & M_PLWEIGHT)
34214
34215#define S_CIMWEIGHT 8
34216#define M_CIMWEIGHT 0x1fU
34217#define V_CIMWEIGHT(x) ((x) << S_CIMWEIGHT)
34218#define G_CIMWEIGHT(x) (((x) >> S_CIMWEIGHT) & M_CIMWEIGHT)
34219
34220#define S_LPBKWEIGHT 0
34221#define M_LPBKWEIGHT 0x1fU
34222#define V_LPBKWEIGHT(x) ((x) << S_LPBKWEIGHT)
34223#define G_LPBKWEIGHT(x) (((x) >> S_LPBKWEIGHT) & M_LPBKWEIGHT)
34224
34225#define A_MPS_CLS_NCSI_ETH_TYPE 0xd008
34226#define A_MPS_CLS_NCSI_ETH_TYPE_EN 0xd00c
34227#define A_MPS_CLS_BMC_MAC_ADDR_L 0xd010
34228#define A_MPS_CLS_BMC_MAC_ADDR_H 0xd014
34229#define A_MPS_CLS_BMC_VLAN 0xd018
34230#define A_MPS_CLS_PERR_INJECT 0xd01c
34231
34232#define S_CLS_MEMSEL 1
34233#define M_CLS_MEMSEL 0x3U
34234#define V_CLS_MEMSEL(x) ((x) << S_CLS_MEMSEL)
34235#define G_CLS_MEMSEL(x) (((x) >> S_CLS_MEMSEL) & M_CLS_MEMSEL)
34236
34237#define A_MPS_CLS_PERR_ENABLE 0xd020
34238
34239#define S_HASHSRAM 2
34240#define V_HASHSRAM(x) ((x) << S_HASHSRAM)
34241#define F_HASHSRAM V_HASHSRAM(1U)
34242
34243#define S_MATCHTCAM 1
34244#define V_MATCHTCAM(x) ((x) << S_MATCHTCAM)
34245#define F_MATCHTCAM V_MATCHTCAM(1U)
34246
34247#define S_MATCHSRAM 0
34248#define V_MATCHSRAM(x) ((x) << S_MATCHSRAM)
34249#define F_MATCHSRAM V_MATCHSRAM(1U)
34250
34251#define A_MPS_CLS_INT_ENABLE 0xd024
34252
34253#define S_PLERRENB 3
34254#define V_PLERRENB(x) ((x) << S_PLERRENB)
34255#define F_PLERRENB V_PLERRENB(1U)
34256
34257#define A_MPS_CLS_INT_CAUSE 0xd028
34258#define A_MPS_CLS_PL_TEST_DATA_L 0xd02c
34259#define A_MPS_CLS_PL_TEST_DATA_H 0xd030
34260#define A_MPS_CLS_PL_TEST_RES_DATA 0xd034
34261
34262#define S_CLS_PRIORITY 24
34263#define M_CLS_PRIORITY 0x7U
34264#define V_CLS_PRIORITY(x) ((x) << S_CLS_PRIORITY)
34265#define G_CLS_PRIORITY(x) (((x) >> S_CLS_PRIORITY) & M_CLS_PRIORITY)
34266
34267#define S_CLS_REPLICATE 23
34268#define V_CLS_REPLICATE(x) ((x) << S_CLS_REPLICATE)
34269#define F_CLS_REPLICATE V_CLS_REPLICATE(1U)
34270
34271#define S_CLS_INDEX 14
34272#define M_CLS_INDEX 0x1ffU
34273#define V_CLS_INDEX(x) ((x) << S_CLS_INDEX)
34274#define G_CLS_INDEX(x) (((x) >> S_CLS_INDEX) & M_CLS_INDEX)
34275
34276#define S_CLS_VF 7
34277#define M_CLS_VF 0x7fU
34278#define V_CLS_VF(x) ((x) << S_CLS_VF)
34279#define G_CLS_VF(x) (((x) >> S_CLS_VF) & M_CLS_VF)
34280
34281#define S_CLS_VF_VLD 6
34282#define V_CLS_VF_VLD(x) ((x) << S_CLS_VF_VLD)
34283#define F_CLS_VF_VLD V_CLS_VF_VLD(1U)
34284
34285#define S_CLS_PF 3
34286#define M_CLS_PF 0x7U
34287#define V_CLS_PF(x) ((x) << S_CLS_PF)
34288#define G_CLS_PF(x) (((x) >> S_CLS_PF) & M_CLS_PF)
34289
34290#define S_CLS_MATCH 0
34291#define M_CLS_MATCH 0x7U
34292#define V_CLS_MATCH(x) ((x) << S_CLS_MATCH)
34293#define G_CLS_MATCH(x) (((x) >> S_CLS_MATCH) & M_CLS_MATCH)
34294
34295#define S_CLS_SPARE 28
34296#define M_CLS_SPARE 0xfU
34297#define V_CLS_SPARE(x) ((x) << S_CLS_SPARE)
34298#define G_CLS_SPARE(x) (((x) >> S_CLS_SPARE) & M_CLS_SPARE)
34299
34300#define S_T6_CLS_PRIORITY 25
34301#define M_T6_CLS_PRIORITY 0x7U
34302#define V_T6_CLS_PRIORITY(x) ((x) << S_T6_CLS_PRIORITY)
34303#define G_T6_CLS_PRIORITY(x) (((x) >> S_T6_CLS_PRIORITY) & M_T6_CLS_PRIORITY)
34304
34305#define S_T6_CLS_REPLICATE 24
34306#define V_T6_CLS_REPLICATE(x) ((x) << S_T6_CLS_REPLICATE)
34307#define F_T6_CLS_REPLICATE V_T6_CLS_REPLICATE(1U)
34308
34309#define S_T6_CLS_INDEX 15
34310#define M_T6_CLS_INDEX 0x1ffU
34311#define V_T6_CLS_INDEX(x) ((x) << S_T6_CLS_INDEX)
34312#define G_T6_CLS_INDEX(x) (((x) >> S_T6_CLS_INDEX) & M_T6_CLS_INDEX)
34313
34314#define S_T6_CLS_VF 7
34315#define M_T6_CLS_VF 0xffU
34316#define V_T6_CLS_VF(x) ((x) << S_T6_CLS_VF)
34317#define G_T6_CLS_VF(x) (((x) >> S_T6_CLS_VF) & M_T6_CLS_VF)
34318
34319#define A_MPS_CLS_PL_TEST_CTL 0xd038
34320
34321#define S_PLTESTCTL 0
34322#define V_PLTESTCTL(x) ((x) << S_PLTESTCTL)
34323#define F_PLTESTCTL V_PLTESTCTL(1U)
34324
34325#define A_MPS_CLS_PORT_BMC_CTL 0xd03c
34326
34327#define S_PRTBMCCTL 0
34328#define V_PRTBMCCTL(x) ((x) << S_PRTBMCCTL)
34329#define F_PRTBMCCTL V_PRTBMCCTL(1U)
34330
34331#define A_MPS_CLS_MATCH_CNT_TCAM 0xd100
34332#define A_MPS_CLS_MATCH_CNT_HASH 0xd104
34333#define A_MPS_CLS_MATCH_CNT_BCAST 0xd108
34334#define A_MPS_CLS_MATCH_CNT_BMC 0xd10c
34335#define A_MPS_CLS_MATCH_CNT_PROM 0xd110
34336#define A_MPS_CLS_MATCH_CNT_HPROM 0xd114
34337#define A_MPS_CLS_MISS_CNT 0xd118
34338#define A_MPS_CLS_REQUEST_TRACE_MAC_DA_L 0xd200
34339#define A_MPS_CLS_REQUEST_TRACE_MAC_DA_H 0xd204
34340
34341#define S_CLSTRCMACDAHI 0
34342#define M_CLSTRCMACDAHI 0xffffU
34343#define V_CLSTRCMACDAHI(x) ((x) << S_CLSTRCMACDAHI)
34344#define G_CLSTRCMACDAHI(x) (((x) >> S_CLSTRCMACDAHI) & M_CLSTRCMACDAHI)
34345
34346#define A_MPS_CLS_REQUEST_TRACE_MAC_SA_L 0xd208
34347#define A_MPS_CLS_REQUEST_TRACE_MAC_SA_H 0xd20c
34348
34349#define S_CLSTRCMACSAHI 0
34350#define M_CLSTRCMACSAHI 0xffffU
34351#define V_CLSTRCMACSAHI(x) ((x) << S_CLSTRCMACSAHI)
34352#define G_CLSTRCMACSAHI(x) (((x) >> S_CLSTRCMACSAHI) & M_CLSTRCMACSAHI)
34353
34354#define A_MPS_CLS_REQUEST_TRACE_PORT_VLAN 0xd210
34355
34356#define S_CLSTRCVLANVLD 31
34357#define V_CLSTRCVLANVLD(x) ((x) << S_CLSTRCVLANVLD)
34358#define F_CLSTRCVLANVLD V_CLSTRCVLANVLD(1U)
34359
34360#define S_CLSTRCVLANID 16
34361#define M_CLSTRCVLANID 0xfffU
34362#define V_CLSTRCVLANID(x) ((x) << S_CLSTRCVLANID)
34363#define G_CLSTRCVLANID(x) (((x) >> S_CLSTRCVLANID) & M_CLSTRCVLANID)
34364
34365#define S_CLSTRCREQPORT 0
34366#define M_CLSTRCREQPORT 0xfU
34367#define V_CLSTRCREQPORT(x) ((x) << S_CLSTRCREQPORT)
34368#define G_CLSTRCREQPORT(x) (((x) >> S_CLSTRCREQPORT) & M_CLSTRCREQPORT)
34369
34370#define A_MPS_CLS_REQUEST_TRACE_ENCAP 0xd214
34371
34372#define S_CLSTRCLKPTYPE 31
34373#define V_CLSTRCLKPTYPE(x) ((x) << S_CLSTRCLKPTYPE)
34374#define F_CLSTRCLKPTYPE V_CLSTRCLKPTYPE(1U)
34375
34376#define S_CLSTRCDIPHIT 30
34377#define V_CLSTRCDIPHIT(x) ((x) << S_CLSTRCDIPHIT)
34378#define F_CLSTRCDIPHIT V_CLSTRCDIPHIT(1U)
34379
34380#define S_CLSTRCVNI 0
34381#define M_CLSTRCVNI 0xffffffU
34382#define V_CLSTRCVNI(x) ((x) << S_CLSTRCVNI)
34383#define G_CLSTRCVNI(x) (((x) >> S_CLSTRCVNI) & M_CLSTRCVNI)
34384
34385#define A_MPS_CLS_RESULT_TRACE 0xd300
34386
34387#define S_CLSTRCPORTNUM 31
34388#define V_CLSTRCPORTNUM(x) ((x) << S_CLSTRCPORTNUM)
34389#define F_CLSTRCPORTNUM V_CLSTRCPORTNUM(1U)
34390
34391#define S_CLSTRCPRIORITY 28
34392#define M_CLSTRCPRIORITY 0x7U
34393#define V_CLSTRCPRIORITY(x) ((x) << S_CLSTRCPRIORITY)
34394#define G_CLSTRCPRIORITY(x) (((x) >> S_CLSTRCPRIORITY) & M_CLSTRCPRIORITY)
34395
34396#define S_CLSTRCMULTILISTEN 27
34397#define V_CLSTRCMULTILISTEN(x) ((x) << S_CLSTRCMULTILISTEN)
34398#define F_CLSTRCMULTILISTEN V_CLSTRCMULTILISTEN(1U)
34399
34400#define S_CLSTRCREPLICATE 26
34401#define V_CLSTRCREPLICATE(x) ((x) << S_CLSTRCREPLICATE)
34402#define F_CLSTRCREPLICATE V_CLSTRCREPLICATE(1U)
34403
34404#define S_CLSTRCPORTMAP 24
34405#define M_CLSTRCPORTMAP 0x3U
34406#define V_CLSTRCPORTMAP(x) ((x) << S_CLSTRCPORTMAP)
34407#define G_CLSTRCPORTMAP(x) (((x) >> S_CLSTRCPORTMAP) & M_CLSTRCPORTMAP)
34408
34409#define S_CLSTRCMATCH 21
34410#define M_CLSTRCMATCH 0x7U
34411#define V_CLSTRCMATCH(x) ((x) << S_CLSTRCMATCH)
34412#define G_CLSTRCMATCH(x) (((x) >> S_CLSTRCMATCH) & M_CLSTRCMATCH)
34413
34414#define S_CLSTRCINDEX 12
34415#define M_CLSTRCINDEX 0x1ffU
34416#define V_CLSTRCINDEX(x) ((x) << S_CLSTRCINDEX)
34417#define G_CLSTRCINDEX(x) (((x) >> S_CLSTRCINDEX) & M_CLSTRCINDEX)
34418
34419#define S_CLSTRCVF_VLD 11
34420#define V_CLSTRCVF_VLD(x) ((x) << S_CLSTRCVF_VLD)
34421#define F_CLSTRCVF_VLD V_CLSTRCVF_VLD(1U)
34422
34423#define S_CLSTRCPF 3
34424#define M_CLSTRCPF 0xffU
34425#define V_CLSTRCPF(x) ((x) << S_CLSTRCPF)
34426#define G_CLSTRCPF(x) (((x) >> S_CLSTRCPF) & M_CLSTRCPF)
34427
34428#define S_CLSTRCVF 0
34429#define M_CLSTRCVF 0x7U
34430#define V_CLSTRCVF(x) ((x) << S_CLSTRCVF)
34431#define G_CLSTRCVF(x) (((x) >> S_CLSTRCVF) & M_CLSTRCVF)
34432
34433#define A_MPS_CLS_VLAN_TABLE 0xdfc0
34434
34435#define S_VLAN_MASK 16
34436#define M_VLAN_MASK 0xfffU
34437#define V_VLAN_MASK(x) ((x) << S_VLAN_MASK)
34438#define G_VLAN_MASK(x) (((x) >> S_VLAN_MASK) & M_VLAN_MASK)
34439
34440#define S_VLANPF 13
34441#define M_VLANPF 0x7U
34442#define V_VLANPF(x) ((x) << S_VLANPF)
34443#define G_VLANPF(x) (((x) >> S_VLANPF) & M_VLANPF)
34444
34445#define S_VLAN_VALID 12
34446#define V_VLAN_VALID(x) ((x) << S_VLAN_VALID)
34447#define F_VLAN_VALID V_VLAN_VALID(1U)
34448
34449#define A_MPS_CLS_SRAM_L 0xe000
34450
34451#define S_MULTILISTEN3 28
34452#define V_MULTILISTEN3(x) ((x) << S_MULTILISTEN3)
34453#define F_MULTILISTEN3 V_MULTILISTEN3(1U)
34454
34455#define S_MULTILISTEN2 27
34456#define V_MULTILISTEN2(x) ((x) << S_MULTILISTEN2)
34457#define F_MULTILISTEN2 V_MULTILISTEN2(1U)
34458
34459#define S_MULTILISTEN1 26
34460#define V_MULTILISTEN1(x) ((x) << S_MULTILISTEN1)
34461#define F_MULTILISTEN1 V_MULTILISTEN1(1U)
34462
34463#define S_MULTILISTEN0 25
34464#define V_MULTILISTEN0(x) ((x) << S_MULTILISTEN0)
34465#define F_MULTILISTEN0 V_MULTILISTEN0(1U)
34466
34467#define S_SRAM_PRIO3 22
34468#define M_SRAM_PRIO3 0x7U
34469#define V_SRAM_PRIO3(x) ((x) << S_SRAM_PRIO3)
34470#define G_SRAM_PRIO3(x) (((x) >> S_SRAM_PRIO3) & M_SRAM_PRIO3)
34471
34472#define S_SRAM_PRIO2 19
34473#define M_SRAM_PRIO2 0x7U
34474#define V_SRAM_PRIO2(x) ((x) << S_SRAM_PRIO2)
34475#define G_SRAM_PRIO2(x) (((x) >> S_SRAM_PRIO2) & M_SRAM_PRIO2)
34476
34477#define S_SRAM_PRIO1 16
34478#define M_SRAM_PRIO1 0x7U
34479#define V_SRAM_PRIO1(x) ((x) << S_SRAM_PRIO1)
34480#define G_SRAM_PRIO1(x) (((x) >> S_SRAM_PRIO1) & M_SRAM_PRIO1)
34481
34482#define S_SRAM_PRIO0 13
34483#define M_SRAM_PRIO0 0x7U
34484#define V_SRAM_PRIO0(x) ((x) << S_SRAM_PRIO0)
34485#define G_SRAM_PRIO0(x) (((x) >> S_SRAM_PRIO0) & M_SRAM_PRIO0)
34486
34487#define S_SRAM_VLD 12
34488#define V_SRAM_VLD(x) ((x) << S_SRAM_VLD)
34489#define F_SRAM_VLD V_SRAM_VLD(1U)
34490
34491#define A_MPS_T5_CLS_SRAM_L 0xe000
34492
34493#define S_T6_DISENCAPOUTERRPLCT 31
34494#define V_T6_DISENCAPOUTERRPLCT(x) ((x) << S_T6_DISENCAPOUTERRPLCT)
34495#define F_T6_DISENCAPOUTERRPLCT V_T6_DISENCAPOUTERRPLCT(1U)
34496
34497#define S_T6_DISENCAP 30
34498#define V_T6_DISENCAP(x) ((x) << S_T6_DISENCAP)
34499#define F_T6_DISENCAP V_T6_DISENCAP(1U)
34500
34501#define S_T6_MULTILISTEN3 29
34502#define V_T6_MULTILISTEN3(x) ((x) << S_T6_MULTILISTEN3)
34503#define F_T6_MULTILISTEN3 V_T6_MULTILISTEN3(1U)
34504
34505#define S_T6_MULTILISTEN2 28
34506#define V_T6_MULTILISTEN2(x) ((x) << S_T6_MULTILISTEN2)
34507#define F_T6_MULTILISTEN2 V_T6_MULTILISTEN2(1U)
34508
34509#define S_T6_MULTILISTEN1 27
34510#define V_T6_MULTILISTEN1(x) ((x) << S_T6_MULTILISTEN1)
34511#define F_T6_MULTILISTEN1 V_T6_MULTILISTEN1(1U)
34512
34513#define S_T6_MULTILISTEN0 26
34514#define V_T6_MULTILISTEN0(x) ((x) << S_T6_MULTILISTEN0)
34515#define F_T6_MULTILISTEN0 V_T6_MULTILISTEN0(1U)
34516
34517#define S_T6_SRAM_PRIO3 23
34518#define M_T6_SRAM_PRIO3 0x7U
34519#define V_T6_SRAM_PRIO3(x) ((x) << S_T6_SRAM_PRIO3)
34520#define G_T6_SRAM_PRIO3(x) (((x) >> S_T6_SRAM_PRIO3) & M_T6_SRAM_PRIO3)
34521
34522#define S_T6_SRAM_PRIO2 20
34523#define M_T6_SRAM_PRIO2 0x7U
34524#define V_T6_SRAM_PRIO2(x) ((x) << S_T6_SRAM_PRIO2)
34525#define G_T6_SRAM_PRIO2(x) (((x) >> S_T6_SRAM_PRIO2) & M_T6_SRAM_PRIO2)
34526
34527#define S_T6_SRAM_PRIO1 17
34528#define M_T6_SRAM_PRIO1 0x7U
34529#define V_T6_SRAM_PRIO1(x) ((x) << S_T6_SRAM_PRIO1)
34530#define G_T6_SRAM_PRIO1(x) (((x) >> S_T6_SRAM_PRIO1) & M_T6_SRAM_PRIO1)
34531
34532#define S_T6_SRAM_PRIO0 14
34533#define M_T6_SRAM_PRIO0 0x7U
34534#define V_T6_SRAM_PRIO0(x) ((x) << S_T6_SRAM_PRIO0)
34535#define G_T6_SRAM_PRIO0(x) (((x) >> S_T6_SRAM_PRIO0) & M_T6_SRAM_PRIO0)
34536
34537#define S_T6_SRAM_VLD 13
34538#define V_T6_SRAM_VLD(x) ((x) << S_T6_SRAM_VLD)
34539#define F_T6_SRAM_VLD V_T6_SRAM_VLD(1U)
34540
34541#define S_T6_REPLICATE 12
34542#define V_T6_REPLICATE(x) ((x) << S_T6_REPLICATE)
34543#define F_T6_REPLICATE V_T6_REPLICATE(1U)
34544
34545#define S_T6_PF 9
34546#define M_T6_PF 0x7U
34547#define V_T6_PF(x) ((x) << S_T6_PF)
34548#define G_T6_PF(x) (((x) >> S_T6_PF) & M_T6_PF)
34549
34550#define S_T6_VF_VALID 8
34551#define V_T6_VF_VALID(x) ((x) << S_T6_VF_VALID)
34552#define F_T6_VF_VALID V_T6_VF_VALID(1U)
34553
34554#define S_T6_VF 0
34555#define M_T6_VF 0xffU
34556#define V_T6_VF(x) ((x) << S_T6_VF)
34557#define G_T6_VF(x) (((x) >> S_T6_VF) & M_T6_VF)
34558
34559#define A_MPS_CLS_SRAM_H 0xe004
34560
34561#define S_MACPARITY1 9
34562#define V_MACPARITY1(x) ((x) << S_MACPARITY1)
34563#define F_MACPARITY1 V_MACPARITY1(1U)
34564
34565#define S_MACPARITY0 8
34566#define V_MACPARITY0(x) ((x) << S_MACPARITY0)
34567#define F_MACPARITY0 V_MACPARITY0(1U)
34568
34569#define S_MACPARITYMASKSIZE 4
34570#define M_MACPARITYMASKSIZE 0xfU
34571#define V_MACPARITYMASKSIZE(x) ((x) << S_MACPARITYMASKSIZE)
34572#define G_MACPARITYMASKSIZE(x) (((x) >> S_MACPARITYMASKSIZE) & M_MACPARITYMASKSIZE)
34573
34574#define S_PORTMAP 0
34575#define M_PORTMAP 0xfU
34576#define V_PORTMAP(x) ((x) << S_PORTMAP)
34577#define G_PORTMAP(x) (((x) >> S_PORTMAP) & M_PORTMAP)
34578
34579#define A_MPS_T5_CLS_SRAM_H 0xe004
34580
34581#define S_MACPARITY2 10
34582#define V_MACPARITY2(x) ((x) << S_MACPARITY2)
34583#define F_MACPARITY2 V_MACPARITY2(1U)
34584
34585#define A_MPS_CLS_TCAM_Y_L 0xf000
34586#define A_MPS_CLS_TCAM_DATA0 0xf000
34587#define A_MPS_CLS_TCAM_Y_H 0xf004
34588
34589#define S_TCAMYH 0
34590#define M_TCAMYH 0xffffU
34591#define V_TCAMYH(x) ((x) << S_TCAMYH)
34592#define G_TCAMYH(x) (((x) >> S_TCAMYH) & M_TCAMYH)
34593
34594#define A_MPS_CLS_TCAM_DATA1 0xf004
34595
34596#define S_VIDL 16
34597#define M_VIDL 0xffffU
34598#define V_VIDL(x) ((x) << S_VIDL)
34599#define G_VIDL(x) (((x) >> S_VIDL) & M_VIDL)
34600
34601#define S_DMACH 0
34602#define M_DMACH 0xffffU
34603#define V_DMACH(x) ((x) << S_DMACH)
34604#define G_DMACH(x) (((x) >> S_DMACH) & M_DMACH)
34605
34606#define A_MPS_CLS_TCAM_X_L 0xf008
34607#define A_MPS_CLS_TCAM_DATA2_CTL 0xf008
34608
34609#define S_CTLCMDTYPE 31
34610#define V_CTLCMDTYPE(x) ((x) << S_CTLCMDTYPE)
34611#define F_CTLCMDTYPE V_CTLCMDTYPE(1U)
34612
34613#define S_CTLREQID 30
34614#define V_CTLREQID(x) ((x) << S_CTLREQID)
34615#define F_CTLREQID V_CTLREQID(1U)
34616
34617#define S_CTLTCAMSEL 25
34618#define V_CTLTCAMSEL(x) ((x) << S_CTLTCAMSEL)
34619#define F_CTLTCAMSEL V_CTLTCAMSEL(1U)
34620
34621#define S_CTLTCAMINDEX 17
34622#define M_CTLTCAMINDEX 0xffU
34623#define V_CTLTCAMINDEX(x) ((x) << S_CTLTCAMINDEX)
34624#define G_CTLTCAMINDEX(x) (((x) >> S_CTLTCAMINDEX) & M_CTLTCAMINDEX)
34625
34626#define S_CTLXYBITSEL 16
34627#define V_CTLXYBITSEL(x) ((x) << S_CTLXYBITSEL)
34628#define F_CTLXYBITSEL V_CTLXYBITSEL(1U)
34629
34630#define S_DATAPORTNUM 12
34631#define M_DATAPORTNUM 0xfU
34632#define V_DATAPORTNUM(x) ((x) << S_DATAPORTNUM)
34633#define G_DATAPORTNUM(x) (((x) >> S_DATAPORTNUM) & M_DATAPORTNUM)
34634
34635#define S_DATALKPTYPE 10
34636#define M_DATALKPTYPE 0x3U
34637#define V_DATALKPTYPE(x) ((x) << S_DATALKPTYPE)
34638#define G_DATALKPTYPE(x) (((x) >> S_DATALKPTYPE) & M_DATALKPTYPE)
34639
34640#define S_DATADIPHIT 8
34641#define V_DATADIPHIT(x) ((x) << S_DATADIPHIT)
34642#define F_DATADIPHIT V_DATADIPHIT(1U)
34643
34644#define S_DATAVIDH2 7
34645#define V_DATAVIDH2(x) ((x) << S_DATAVIDH2)
34646#define F_DATAVIDH2 V_DATAVIDH2(1U)
34647
34648#define S_DATAVIDH1 0
34649#define M_DATAVIDH1 0x7fU
34650#define V_DATAVIDH1(x) ((x) << S_DATAVIDH1)
34651#define G_DATAVIDH1(x) (((x) >> S_DATAVIDH1) & M_DATAVIDH1)
34652
34653#define A_MPS_CLS_TCAM_X_H 0xf00c
34654
34655#define S_TCAMXH 0
34656#define M_TCAMXH 0xffffU
34657#define V_TCAMXH(x) ((x) << S_TCAMXH)
34658#define G_TCAMXH(x) (((x) >> S_TCAMXH) & M_TCAMXH)
34659
34660#define A_MPS_CLS_TCAM_RDATA0_REQ_ID0 0xf010
34661#define A_MPS_CLS_TCAM_RDATA1_REQ_ID0 0xf014
34662#define A_MPS_CLS_TCAM_RDATA2_REQ_ID0 0xf018
34663#define A_MPS_CLS_TCAM_RDATA0_REQ_ID1 0xf020
34664#define A_MPS_CLS_TCAM_RDATA1_REQ_ID1 0xf024
34665#define A_MPS_CLS_TCAM_RDATA2_REQ_ID1 0xf028
34666#define A_MPS_RX_CTL 0x11000
34667
34668#define S_FILT_VLAN_SEL 17
34669#define V_FILT_VLAN_SEL(x) ((x) << S_FILT_VLAN_SEL)
34670#define F_FILT_VLAN_SEL V_FILT_VLAN_SEL(1U)
34671
34672#define S_CBA_EN 16
34673#define V_CBA_EN(x) ((x) << S_CBA_EN)
34674#define F_CBA_EN V_CBA_EN(1U)
34675
34676#define S_BLK_SNDR 12
34677#define M_BLK_SNDR 0xfU
34678#define V_BLK_SNDR(x) ((x) << S_BLK_SNDR)
34679#define G_BLK_SNDR(x) (((x) >> S_BLK_SNDR) & M_BLK_SNDR)
34680
34681#define S_CMPRS 8
34682#define M_CMPRS 0xfU
34683#define V_CMPRS(x) ((x) << S_CMPRS)
34684#define G_CMPRS(x) (((x) >> S_CMPRS) & M_CMPRS)
34685
34686#define S_SNF 0
34687#define M_SNF 0xffU
34688#define V_SNF(x) ((x) << S_SNF)
34689#define G_SNF(x) (((x) >> S_SNF) & M_SNF)
34690
34691#define A_MPS_RX_PORT_MUX_CTL 0x11004
34692
34693#define S_CTL_P3 12
34694#define M_CTL_P3 0xfU
34695#define V_CTL_P3(x) ((x) << S_CTL_P3)
34696#define G_CTL_P3(x) (((x) >> S_CTL_P3) & M_CTL_P3)
34697
34698#define S_CTL_P2 8
34699#define M_CTL_P2 0xfU
34700#define V_CTL_P2(x) ((x) << S_CTL_P2)
34701#define G_CTL_P2(x) (((x) >> S_CTL_P2) & M_CTL_P2)
34702
34703#define S_CTL_P1 4
34704#define M_CTL_P1 0xfU
34705#define V_CTL_P1(x) ((x) << S_CTL_P1)
34706#define G_CTL_P1(x) (((x) >> S_CTL_P1) & M_CTL_P1)
34707
34708#define S_CTL_P0 0
34709#define M_CTL_P0 0xfU
34710#define V_CTL_P0(x) ((x) << S_CTL_P0)
34711#define G_CTL_P0(x) (((x) >> S_CTL_P0) & M_CTL_P0)
34712
34713#define A_MPS_RX_PG_FL 0x11008
34714
34715#define S_RST 16
34716#define V_RST(x) ((x) << S_RST)
34717#define F_RST V_RST(1U)
34718
34719#define S_CNT 0
34720#define M_CNT 0xffffU
34721#define V_CNT(x) ((x) << S_CNT)
34722#define G_CNT(x) (((x) >> S_CNT) & M_CNT)
34723
34724#define A_MPS_RX_FIFO_0_CTL 0x11008
34725
34726#define S_DEST_SELECT 0
34727#define M_DEST_SELECT 0xfU
34728#define V_DEST_SELECT(x) ((x) << S_DEST_SELECT)
34729#define G_DEST_SELECT(x) (((x) >> S_DEST_SELECT) & M_DEST_SELECT)
34730
34731#define A_MPS_RX_PKT_FL 0x1100c
34732#define A_MPS_RX_FIFO_1_CTL 0x1100c
34733#define A_MPS_RX_PG_RSV0 0x11010
34734
34735#define S_CLR_INTR 31
34736#define V_CLR_INTR(x) ((x) << S_CLR_INTR)
34737#define F_CLR_INTR V_CLR_INTR(1U)
34738
34739#define S_SET_INTR 30
34740#define V_SET_INTR(x) ((x) << S_SET_INTR)
34741#define F_SET_INTR V_SET_INTR(1U)
34742
34743#define S_USED 16
34744#define M_USED 0x7ffU
34745#define V_USED(x) ((x) << S_USED)
34746#define G_USED(x) (((x) >> S_USED) & M_USED)
34747
34748#define S_ALLOC 0
34749#define M_ALLOC 0x7ffU
34750#define V_ALLOC(x) ((x) << S_ALLOC)
34751#define G_ALLOC(x) (((x) >> S_ALLOC) & M_ALLOC)
34752
34753#define S_T5_USED 16
34754#define M_T5_USED 0xfffU
34755#define V_T5_USED(x) ((x) << S_T5_USED)
34756#define G_T5_USED(x) (((x) >> S_T5_USED) & M_T5_USED)
34757
34758#define S_T5_ALLOC 0
34759#define M_T5_ALLOC 0xfffU
34760#define V_T5_ALLOC(x) ((x) << S_T5_ALLOC)
34761#define G_T5_ALLOC(x) (((x) >> S_T5_ALLOC) & M_T5_ALLOC)
34762
34763#define A_MPS_RX_FIFO_2_CTL 0x11010
34764#define A_MPS_RX_PG_RSV1 0x11014
34765#define A_MPS_RX_FIFO_3_CTL 0x11014
34766#define A_MPS_RX_PG_RSV2 0x11018
34767#define A_MPS_RX_PG_RSV3 0x1101c
34768#define A_MPS_RX_PG_RSV4 0x11020
34769#define A_MPS_RX_PG_RSV5 0x11024
34770#define A_MPS_RX_PG_RSV6 0x11028
34771#define A_MPS_RX_PG_RSV7 0x1102c
34772#define A_MPS_RX_PG_SHR_BG0 0x11030
34773
34774#define S_EN 31
34775#define V_EN(x) ((x) << S_EN)
34776#define F_EN V_EN(1U)
34777
34778#define S_SEL 30
34779#define V_SEL(x) ((x) << S_SEL)
34780#define F_SEL V_SEL(1U)
34781
34782#define S_MAX 16
34783#define M_MAX 0x7ffU
34784#define V_MAX(x) ((x) << S_MAX)
34785#define G_MAX(x) (((x) >> S_MAX) & M_MAX)
34786
34787#define S_BORW 0
34788#define M_BORW 0x7ffU
34789#define V_BORW(x) ((x) << S_BORW)
34790#define G_BORW(x) (((x) >> S_BORW) & M_BORW)
34791
34792#define S_T5_MAX 16
34793#define M_T5_MAX 0xfffU
34794#define V_T5_MAX(x) ((x) << S_T5_MAX)
34795#define G_T5_MAX(x) (((x) >> S_T5_MAX) & M_T5_MAX)
34796
34797#define S_T5_BORW 0
34798#define M_T5_BORW 0xfffU
34799#define V_T5_BORW(x) ((x) << S_T5_BORW)
34800#define G_T5_BORW(x) (((x) >> S_T5_BORW) & M_T5_BORW)
34801
34802#define A_MPS_RX_PG_SHR_BG1 0x11034
34803#define A_MPS_RX_PG_SHR_BG2 0x11038
34804#define A_MPS_RX_PG_SHR_BG3 0x1103c
34805#define A_MPS_RX_PG_SHR0 0x11040
34806
34807#define S_QUOTA 16
34808#define M_QUOTA 0x7ffU
34809#define V_QUOTA(x) ((x) << S_QUOTA)
34810#define G_QUOTA(x) (((x) >> S_QUOTA) & M_QUOTA)
34811
34812#define S_SHR_USED 0
34813#define M_SHR_USED 0x7ffU
34814#define V_SHR_USED(x) ((x) << S_SHR_USED)
34815#define G_SHR_USED(x) (((x) >> S_SHR_USED) & M_SHR_USED)
34816
34817#define S_T5_QUOTA 16
34818#define M_T5_QUOTA 0xfffU
34819#define V_T5_QUOTA(x) ((x) << S_T5_QUOTA)
34820#define G_T5_QUOTA(x) (((x) >> S_T5_QUOTA) & M_T5_QUOTA)
34821
34822#define S_T5_SHR_USED 0
34823#define M_T5_SHR_USED 0xfffU
34824#define V_T5_SHR_USED(x) ((x) << S_T5_SHR_USED)
34825#define G_T5_SHR_USED(x) (((x) >> S_T5_SHR_USED) & M_T5_SHR_USED)
34826
34827#define A_MPS_RX_PG_SHR1 0x11044
34828#define A_MPS_RX_PG_HYST_BG0 0x11048
34829
34830#define S_TH 0
34831#define M_TH 0x7ffU
34832#define V_TH(x) ((x) << S_TH)
34833#define G_TH(x) (((x) >> S_TH) & M_TH)
34834
34835#define S_T5_TH 0
34836#define M_T5_TH 0xfffU
34837#define V_T5_TH(x) ((x) << S_T5_TH)
34838#define G_T5_TH(x) (((x) >> S_T5_TH) & M_T5_TH)
34839
34840#define S_T6_TH 0
34841#define M_T6_TH 0x7ffU
34842#define V_T6_TH(x) ((x) << S_T6_TH)
34843#define G_T6_TH(x) (((x) >> S_T6_TH) & M_T6_TH)
34844
34845#define A_MPS_RX_PG_HYST_BG1 0x1104c
34846#define A_MPS_RX_PG_HYST_BG2 0x11050
34847#define A_MPS_RX_PG_HYST_BG3 0x11054
34848#define A_MPS_RX_OCH_CTL 0x11058
34849
34850#define S_DROP_WT 27
34851#define M_DROP_WT 0x1fU
34852#define V_DROP_WT(x) ((x) << S_DROP_WT)
34853#define G_DROP_WT(x) (((x) >> S_DROP_WT) & M_DROP_WT)
34854
34855#define S_TRUNC_WT 22
34856#define M_TRUNC_WT 0x1fU
34857#define V_TRUNC_WT(x) ((x) << S_TRUNC_WT)
34858#define G_TRUNC_WT(x) (((x) >> S_TRUNC_WT) & M_TRUNC_WT)
34859
34860#define S_OCH_DRAIN 13
34861#define M_OCH_DRAIN 0x1fU
34862#define V_OCH_DRAIN(x) ((x) << S_OCH_DRAIN)
34863#define G_OCH_DRAIN(x) (((x) >> S_OCH_DRAIN) & M_OCH_DRAIN)
34864
34865#define S_OCH_DROP 8
34866#define M_OCH_DROP 0x1fU
34867#define V_OCH_DROP(x) ((x) << S_OCH_DROP)
34868#define G_OCH_DROP(x) (((x) >> S_OCH_DROP) & M_OCH_DROP)
34869
34870#define S_STOP 0
34871#define M_STOP 0x1fU
34872#define V_STOP(x) ((x) << S_STOP)
34873#define G_STOP(x) (((x) >> S_STOP) & M_STOP)
34874
34875#define A_MPS_RX_LPBK_BP0 0x1105c
34876
34877#define S_THRESH 0
34878#define M_THRESH 0x7ffU
34879#define V_THRESH(x) ((x) << S_THRESH)
34880#define G_THRESH(x) (((x) >> S_THRESH) & M_THRESH)
34881
34882#define A_MPS_RX_LPBK_BP1 0x11060
34883#define A_MPS_RX_LPBK_BP2 0x11064
34884#define A_MPS_RX_LPBK_BP3 0x11068
34885#define A_MPS_RX_PORT_GAP 0x1106c
34886
34887#define S_GAP 0
34888#define M_GAP 0xfffffU
34889#define V_GAP(x) ((x) << S_GAP)
34890#define G_GAP(x) (((x) >> S_GAP) & M_GAP)
34891
34892#define A_MPS_RX_CHMN_CNT 0x11070
34893#define A_MPS_RX_PERR_INT_CAUSE 0x11074
34894
34895#define S_FF 23
34896#define V_FF(x) ((x) << S_FF)
34897#define F_FF V_FF(1U)
34898
34899#define S_PGMO 22
34900#define V_PGMO(x) ((x) << S_PGMO)
34901#define F_PGMO V_PGMO(1U)
34902
34903#define S_PGME 21
34904#define V_PGME(x) ((x) << S_PGME)
34905#define F_PGME V_PGME(1U)
34906
34907#define S_CHMN 20
34908#define V_CHMN(x) ((x) << S_CHMN)
34909#define F_CHMN V_CHMN(1U)
34910
34911#define S_RPLC 19
34912#define V_RPLC(x) ((x) << S_RPLC)
34913#define F_RPLC V_RPLC(1U)
34914
34915#define S_ATRB 18
34916#define V_ATRB(x) ((x) << S_ATRB)
34917#define F_ATRB V_ATRB(1U)
34918
34919#define S_PSMX 17
34920#define V_PSMX(x) ((x) << S_PSMX)
34921#define F_PSMX V_PSMX(1U)
34922
34923#define S_PGLL 16
34924#define V_PGLL(x) ((x) << S_PGLL)
34925#define F_PGLL V_PGLL(1U)
34926
34927#define S_PGFL 15
34928#define V_PGFL(x) ((x) << S_PGFL)
34929#define F_PGFL V_PGFL(1U)
34930
34931#define S_PKTQ 14
34932#define V_PKTQ(x) ((x) << S_PKTQ)
34933#define F_PKTQ V_PKTQ(1U)
34934
34935#define S_PKFL 13
34936#define V_PKFL(x) ((x) << S_PKFL)
34937#define F_PKFL V_PKFL(1U)
34938
34939#define S_PPM3 12
34940#define V_PPM3(x) ((x) << S_PPM3)
34941#define F_PPM3 V_PPM3(1U)
34942
34943#define S_PPM2 11
34944#define V_PPM2(x) ((x) << S_PPM2)
34945#define F_PPM2 V_PPM2(1U)
34946
34947#define S_PPM1 10
34948#define V_PPM1(x) ((x) << S_PPM1)
34949#define F_PPM1 V_PPM1(1U)
34950
34951#define S_PPM0 9
34952#define V_PPM0(x) ((x) << S_PPM0)
34953#define F_PPM0 V_PPM0(1U)
34954
34955#define S_SPMX 8
34956#define V_SPMX(x) ((x) << S_SPMX)
34957#define F_SPMX V_SPMX(1U)
34958
34959#define S_CDL3 7
34960#define V_CDL3(x) ((x) << S_CDL3)
34961#define F_CDL3 V_CDL3(1U)
34962
34963#define S_CDL2 6
34964#define V_CDL2(x) ((x) << S_CDL2)
34965#define F_CDL2 V_CDL2(1U)
34966
34967#define S_CDL1 5
34968#define V_CDL1(x) ((x) << S_CDL1)
34969#define F_CDL1 V_CDL1(1U)
34970
34971#define S_CDL0 4
34972#define V_CDL0(x) ((x) << S_CDL0)
34973#define F_CDL0 V_CDL0(1U)
34974
34975#define S_CDM3 3
34976#define V_CDM3(x) ((x) << S_CDM3)
34977#define F_CDM3 V_CDM3(1U)
34978
34979#define S_CDM2 2
34980#define V_CDM2(x) ((x) << S_CDM2)
34981#define F_CDM2 V_CDM2(1U)
34982
34983#define S_CDM1 1
34984#define V_CDM1(x) ((x) << S_CDM1)
34985#define F_CDM1 V_CDM1(1U)
34986
34987#define S_CDM0 0
34988#define V_CDM0(x) ((x) << S_CDM0)
34989#define F_CDM0 V_CDM0(1U)
34990
34991#define S_T6_INT_ERR_INT 24
34992#define V_T6_INT_ERR_INT(x) ((x) << S_T6_INT_ERR_INT)
34993#define F_T6_INT_ERR_INT V_T6_INT_ERR_INT(1U)
34994
34995#define A_MPS_RX_PERR_INT_ENABLE 0x11078
34996
34997#define S_T6_INT_ERR_INT 24
34998#define V_T6_INT_ERR_INT(x) ((x) << S_T6_INT_ERR_INT)
34999#define F_T6_INT_ERR_INT V_T6_INT_ERR_INT(1U)
35000
35001#define A_MPS_RX_PERR_ENABLE 0x1107c
35002
35003#define S_T6_INT_ERR_INT 24
35004#define V_T6_INT_ERR_INT(x) ((x) << S_T6_INT_ERR_INT)
35005#define F_T6_INT_ERR_INT V_T6_INT_ERR_INT(1U)
35006
35007#define A_MPS_RX_PERR_INJECT 0x11080
35008#define A_MPS_RX_FUNC_INT_CAUSE 0x11084
35009
35010#define S_INT_ERR_INT 8
35011#define M_INT_ERR_INT 0x1fU
35012#define V_INT_ERR_INT(x) ((x) << S_INT_ERR_INT)
35013#define G_INT_ERR_INT(x) (((x) >> S_INT_ERR_INT) & M_INT_ERR_INT)
35014
35015#define S_PG_TH_INT7 7
35016#define V_PG_TH_INT7(x) ((x) << S_PG_TH_INT7)
35017#define F_PG_TH_INT7 V_PG_TH_INT7(1U)
35018
35019#define S_PG_TH_INT6 6
35020#define V_PG_TH_INT6(x) ((x) << S_PG_TH_INT6)
35021#define F_PG_TH_INT6 V_PG_TH_INT6(1U)
35022
35023#define S_PG_TH_INT5 5
35024#define V_PG_TH_INT5(x) ((x) << S_PG_TH_INT5)
35025#define F_PG_TH_INT5 V_PG_TH_INT5(1U)
35026
35027#define S_PG_TH_INT4 4
35028#define V_PG_TH_INT4(x) ((x) << S_PG_TH_INT4)
35029#define F_PG_TH_INT4 V_PG_TH_INT4(1U)
35030
35031#define S_PG_TH_INT3 3
35032#define V_PG_TH_INT3(x) ((x) << S_PG_TH_INT3)
35033#define F_PG_TH_INT3 V_PG_TH_INT3(1U)
35034
35035#define S_PG_TH_INT2 2
35036#define V_PG_TH_INT2(x) ((x) << S_PG_TH_INT2)
35037#define F_PG_TH_INT2 V_PG_TH_INT2(1U)
35038
35039#define S_PG_TH_INT1 1
35040#define V_PG_TH_INT1(x) ((x) << S_PG_TH_INT1)
35041#define F_PG_TH_INT1 V_PG_TH_INT1(1U)
35042
35043#define S_PG_TH_INT0 0
35044#define V_PG_TH_INT0(x) ((x) << S_PG_TH_INT0)
35045#define F_PG_TH_INT0 V_PG_TH_INT0(1U)
35046
35047#define S_MTU_ERR_INT3 19
35048#define V_MTU_ERR_INT3(x) ((x) << S_MTU_ERR_INT3)
35049#define F_MTU_ERR_INT3 V_MTU_ERR_INT3(1U)
35050
35051#define S_MTU_ERR_INT2 18
35052#define V_MTU_ERR_INT2(x) ((x) << S_MTU_ERR_INT2)
35053#define F_MTU_ERR_INT2 V_MTU_ERR_INT2(1U)
35054
35055#define S_MTU_ERR_INT1 17
35056#define V_MTU_ERR_INT1(x) ((x) << S_MTU_ERR_INT1)
35057#define F_MTU_ERR_INT1 V_MTU_ERR_INT1(1U)
35058
35059#define S_MTU_ERR_INT0 16
35060#define V_MTU_ERR_INT0(x) ((x) << S_MTU_ERR_INT0)
35061#define F_MTU_ERR_INT0 V_MTU_ERR_INT0(1U)
35062
35063#define S_SE_CNT_ERR_INT 15
35064#define V_SE_CNT_ERR_INT(x) ((x) << S_SE_CNT_ERR_INT)
35065#define F_SE_CNT_ERR_INT V_SE_CNT_ERR_INT(1U)
35066
35067#define S_FRM_ERR_INT 14
35068#define V_FRM_ERR_INT(x) ((x) << S_FRM_ERR_INT)
35069#define F_FRM_ERR_INT V_FRM_ERR_INT(1U)
35070
35071#define S_LEN_ERR_INT 13
35072#define V_LEN_ERR_INT(x) ((x) << S_LEN_ERR_INT)
35073#define F_LEN_ERR_INT V_LEN_ERR_INT(1U)
35074
35075#define A_MPS_RX_FUNC_INT_ENABLE 0x11088
35076#define A_MPS_RX_PAUSE_GEN_TH_0 0x1108c
35077
35078#define S_TH_HIGH 16
35079#define M_TH_HIGH 0xffffU
35080#define V_TH_HIGH(x) ((x) << S_TH_HIGH)
35081#define G_TH_HIGH(x) (((x) >> S_TH_HIGH) & M_TH_HIGH)
35082
35083#define S_TH_LOW 0
35084#define M_TH_LOW 0xffffU
35085#define V_TH_LOW(x) ((x) << S_TH_LOW)
35086#define G_TH_LOW(x) (((x) >> S_TH_LOW) & M_TH_LOW)
35087
35088#define A_MPS_RX_PAUSE_GEN_TH_1 0x11090
35089#define A_MPS_RX_PAUSE_GEN_TH_2 0x11094
35090#define A_MPS_RX_PAUSE_GEN_TH_3 0x11098
35091#define A_MPS_RX_REPL_CTL 0x11098
35092
35093#define S_INDEX_SEL 0
35094#define V_INDEX_SEL(x) ((x) << S_INDEX_SEL)
35095#define F_INDEX_SEL V_INDEX_SEL(1U)
35096
35097#define A_MPS_RX_PPP_ATRB 0x1109c
35098
35099#define S_ETYPE 16
35100#define M_ETYPE 0xffffU
35101#define V_ETYPE(x) ((x) << S_ETYPE)
35102#define G_ETYPE(x) (((x) >> S_ETYPE) & M_ETYPE)
35103
35104#define S_OPCODE 0
35105#define M_OPCODE 0xffffU
35106#define V_OPCODE(x) ((x) << S_OPCODE)
35107#define G_OPCODE(x) (((x) >> S_OPCODE) & M_OPCODE)
35108
35109#define A_MPS_RX_QFC0_ATRB 0x110a0
35110
35111#define S_DA 0
35112#define M_DA 0xffffU
35113#define V_DA(x) ((x) << S_DA)
35114#define G_DA(x) (((x) >> S_DA) & M_DA)
35115
35116#define A_MPS_RX_QFC1_ATRB 0x110a4
35117#define A_MPS_RX_PT_ARB0 0x110a8
35118
35119#define S_LPBK_WT 16
35120#define M_LPBK_WT 0x3fffU
35121#define V_LPBK_WT(x) ((x) << S_LPBK_WT)
35122#define G_LPBK_WT(x) (((x) >> S_LPBK_WT) & M_LPBK_WT)
35123
35124#define S_MAC_WT 0
35125#define M_MAC_WT 0x3fffU
35126#define V_MAC_WT(x) ((x) << S_MAC_WT)
35127#define G_MAC_WT(x) (((x) >> S_MAC_WT) & M_MAC_WT)
35128
35129#define A_MPS_RX_PT_ARB1 0x110ac
35130#define A_MPS_RX_PT_ARB2 0x110b0
35131#define A_MPS_RX_PT_ARB3 0x110b4
35132#define A_T6_MPS_PF_OUT_EN 0x110b4
35133#define A_MPS_RX_PT_ARB4 0x110b8
35134#define A_T6_MPS_BMC_MTU 0x110b8
35135#define A_MPS_PF_OUT_EN 0x110bc
35136
35137#define S_OUTEN 0
35138#define M_OUTEN 0xffU
35139#define V_OUTEN(x) ((x) << S_OUTEN)
35140#define G_OUTEN(x) (((x) >> S_OUTEN) & M_OUTEN)
35141
35142#define A_T6_MPS_BMC_PKT_CNT 0x110bc
35143#define A_MPS_BMC_MTU 0x110c0
35144
35145#define S_MTU 0
35146#define M_MTU 0x3fffU
35147#define V_MTU(x) ((x) << S_MTU)
35148#define G_MTU(x) (((x) >> S_MTU) & M_MTU)
35149
35150#define A_T6_MPS_BMC_BYTE_CNT 0x110c0
35151#define A_MPS_BMC_PKT_CNT 0x110c4
35152#define A_T6_MPS_PFVF_ATRB_CTL 0x110c4
35153
35154#define S_T6_PFVF 0
35155#define M_T6_PFVF 0x1ffU
35156#define V_T6_PFVF(x) ((x) << S_T6_PFVF)
35157#define G_T6_PFVF(x) (((x) >> S_T6_PFVF) & M_T6_PFVF)
35158
35159#define A_MPS_BMC_BYTE_CNT 0x110c8
35160#define A_T6_MPS_PFVF_ATRB 0x110c8
35161
35162#define S_FULL_FRAME_MODE 14
35163#define V_FULL_FRAME_MODE(x) ((x) << S_FULL_FRAME_MODE)
35164#define F_FULL_FRAME_MODE V_FULL_FRAME_MODE(1U)
35165
35166#define A_MPS_PFVF_ATRB_CTL 0x110cc
35167
35168#define S_RD_WRN 31
35169#define V_RD_WRN(x) ((x) << S_RD_WRN)
35170#define F_RD_WRN V_RD_WRN(1U)
35171
35172#define S_PFVF 0
35173#define M_PFVF 0xffU
35174#define V_PFVF(x) ((x) << S_PFVF)
35175#define G_PFVF(x) (((x) >> S_PFVF) & M_PFVF)
35176
35177#define A_T6_MPS_PFVF_ATRB_FLTR0 0x110cc
35178#define A_MPS_PFVF_ATRB 0x110d0
35179
35180#define S_ATTR_PF 28
35181#define M_ATTR_PF 0x7U
35182#define V_ATTR_PF(x) ((x) << S_ATTR_PF)
35183#define G_ATTR_PF(x) (((x) >> S_ATTR_PF) & M_ATTR_PF)
35184
35185#define S_OFF 18
35186#define V_OFF(x) ((x) << S_OFF)
35187#define F_OFF V_OFF(1U)
35188
35189#define S_NV_DROP 17
35190#define V_NV_DROP(x) ((x) << S_NV_DROP)
35191#define F_NV_DROP V_NV_DROP(1U)
35192
35193#define S_ATTR_MODE 16
35194#define V_ATTR_MODE(x) ((x) << S_ATTR_MODE)
35195#define F_ATTR_MODE V_ATTR_MODE(1U)
35196
35197#define A_T6_MPS_PFVF_ATRB_FLTR1 0x110d0
35198#define A_MPS_PFVF_ATRB_FLTR0 0x110d4
35199
35200#define S_VLAN_EN 16
35201#define V_VLAN_EN(x) ((x) << S_VLAN_EN)
35202#define F_VLAN_EN V_VLAN_EN(1U)
35203
35204#define S_VLAN_ID 0
35205#define M_VLAN_ID 0xfffU
35206#define V_VLAN_ID(x) ((x) << S_VLAN_ID)
35207#define G_VLAN_ID(x) (((x) >> S_VLAN_ID) & M_VLAN_ID)
35208
35209#define A_T6_MPS_PFVF_ATRB_FLTR2 0x110d4
35210#define A_MPS_PFVF_ATRB_FLTR1 0x110d8
35211#define A_T6_MPS_PFVF_ATRB_FLTR3 0x110d8
35212#define A_MPS_PFVF_ATRB_FLTR2 0x110dc
35213#define A_T6_MPS_PFVF_ATRB_FLTR4 0x110dc
35214#define A_MPS_PFVF_ATRB_FLTR3 0x110e0
35215#define A_T6_MPS_PFVF_ATRB_FLTR5 0x110e0
35216#define A_MPS_PFVF_ATRB_FLTR4 0x110e4
35217#define A_T6_MPS_PFVF_ATRB_FLTR6 0x110e4
35218#define A_MPS_PFVF_ATRB_FLTR5 0x110e8
35219#define A_T6_MPS_PFVF_ATRB_FLTR7 0x110e8
35220#define A_MPS_PFVF_ATRB_FLTR6 0x110ec
35221#define A_T6_MPS_PFVF_ATRB_FLTR8 0x110ec
35222#define A_MPS_PFVF_ATRB_FLTR7 0x110f0
35223#define A_T6_MPS_PFVF_ATRB_FLTR9 0x110f0
35224#define A_MPS_PFVF_ATRB_FLTR8 0x110f4
35225#define A_T6_MPS_PFVF_ATRB_FLTR10 0x110f4
35226#define A_MPS_PFVF_ATRB_FLTR9 0x110f8
35227#define A_T6_MPS_PFVF_ATRB_FLTR11 0x110f8
35228#define A_MPS_PFVF_ATRB_FLTR10 0x110fc
35229#define A_T6_MPS_PFVF_ATRB_FLTR12 0x110fc
35230#define A_MPS_PFVF_ATRB_FLTR11 0x11100
35231#define A_T6_MPS_PFVF_ATRB_FLTR13 0x11100
35232#define A_MPS_PFVF_ATRB_FLTR12 0x11104
35233#define A_T6_MPS_PFVF_ATRB_FLTR14 0x11104
35234#define A_MPS_PFVF_ATRB_FLTR13 0x11108
35235#define A_T6_MPS_PFVF_ATRB_FLTR15 0x11108
35236#define A_MPS_PFVF_ATRB_FLTR14 0x1110c
35237#define A_T6_MPS_RPLC_MAP_CTL 0x1110c
35238#define A_MPS_PFVF_ATRB_FLTR15 0x11110
35239#define A_T6_MPS_PF_RPLCT_MAP 0x11110
35240#define A_MPS_RPLC_MAP_CTL 0x11114
35241
35242#define S_RPLC_MAP_ADDR 0
35243#define M_RPLC_MAP_ADDR 0x3ffU
35244#define V_RPLC_MAP_ADDR(x) ((x) << S_RPLC_MAP_ADDR)
35245#define G_RPLC_MAP_ADDR(x) (((x) >> S_RPLC_MAP_ADDR) & M_RPLC_MAP_ADDR)
35246
35247#define A_T6_MPS_VF_RPLCT_MAP0 0x11114
35248#define A_MPS_PF_RPLCT_MAP 0x11118
35249
35250#define S_PF_EN 0
35251#define M_PF_EN 0xffU
35252#define V_PF_EN(x) ((x) << S_PF_EN)
35253#define G_PF_EN(x) (((x) >> S_PF_EN) & M_PF_EN)
35254
35255#define A_T6_MPS_VF_RPLCT_MAP1 0x11118
35256#define A_MPS_VF_RPLCT_MAP0 0x1111c
35257#define A_T6_MPS_VF_RPLCT_MAP2 0x1111c
35258#define A_MPS_VF_RPLCT_MAP1 0x11120
35259#define A_T6_MPS_VF_RPLCT_MAP3 0x11120
35260#define A_MPS_VF_RPLCT_MAP2 0x11124
35261#define A_MPS_VF_RPLCT_MAP3 0x11128
35262#define A_MPS_MEM_DBG_CTL 0x1112c
35263
35264#define S_PKD 17
35265#define V_PKD(x) ((x) << S_PKD)
35266#define F_PKD V_PKD(1U)
35267
35268#define S_PGD 16
35269#define V_PGD(x) ((x) << S_PGD)
35270#define F_PGD V_PGD(1U)
35271
35272#define A_MPS_PKD_MEM_DATA0 0x11130
35273#define A_MPS_PKD_MEM_DATA1 0x11134
35274#define A_MPS_PKD_MEM_DATA2 0x11138
35275#define A_MPS_PGD_MEM_DATA 0x1113c
35276#define A_MPS_RX_SE_CNT_ERR 0x11140
35277
35278#define S_RX_SE_ERRMAP 0
35279#define M_RX_SE_ERRMAP 0xfffffU
35280#define V_RX_SE_ERRMAP(x) ((x) << S_RX_SE_ERRMAP)
35281#define G_RX_SE_ERRMAP(x) (((x) >> S_RX_SE_ERRMAP) & M_RX_SE_ERRMAP)
35282
35283#define A_MPS_RX_SE_CNT_CLR 0x11144
35284#define A_MPS_RX_SE_CNT_IN0 0x11148
35285
35286#define S_SOP_CNT_PM 24
35287#define M_SOP_CNT_PM 0xffU
35288#define V_SOP_CNT_PM(x) ((x) << S_SOP_CNT_PM)
35289#define G_SOP_CNT_PM(x) (((x) >> S_SOP_CNT_PM) & M_SOP_CNT_PM)
35290
35291#define S_EOP_CNT_PM 16
35292#define M_EOP_CNT_PM 0xffU
35293#define V_EOP_CNT_PM(x) ((x) << S_EOP_CNT_PM)
35294#define G_EOP_CNT_PM(x) (((x) >> S_EOP_CNT_PM) & M_EOP_CNT_PM)
35295
35296#define S_SOP_CNT_IN 8
35297#define M_SOP_CNT_IN 0xffU
35298#define V_SOP_CNT_IN(x) ((x) << S_SOP_CNT_IN)
35299#define G_SOP_CNT_IN(x) (((x) >> S_SOP_CNT_IN) & M_SOP_CNT_IN)
35300
35301#define S_EOP_CNT_IN 0
35302#define M_EOP_CNT_IN 0xffU
35303#define V_EOP_CNT_IN(x) ((x) << S_EOP_CNT_IN)
35304#define G_EOP_CNT_IN(x) (((x) >> S_EOP_CNT_IN) & M_EOP_CNT_IN)
35305
35306#define A_MPS_RX_SE_CNT_IN1 0x1114c
35307#define A_MPS_RX_SE_CNT_IN2 0x11150
35308#define A_MPS_RX_SE_CNT_IN3 0x11154
35309#define A_MPS_RX_SE_CNT_IN4 0x11158
35310#define A_MPS_RX_SE_CNT_IN5 0x1115c
35311#define A_MPS_RX_SE_CNT_IN6 0x11160
35312#define A_MPS_RX_SE_CNT_IN7 0x11164
35313#define A_MPS_RX_SE_CNT_OUT01 0x11168
35314
35315#define S_SOP_CNT_1 24
35316#define M_SOP_CNT_1 0xffU
35317#define V_SOP_CNT_1(x) ((x) << S_SOP_CNT_1)
35318#define G_SOP_CNT_1(x) (((x) >> S_SOP_CNT_1) & M_SOP_CNT_1)
35319
35320#define S_EOP_CNT_1 16
35321#define M_EOP_CNT_1 0xffU
35322#define V_EOP_CNT_1(x) ((x) << S_EOP_CNT_1)
35323#define G_EOP_CNT_1(x) (((x) >> S_EOP_CNT_1) & M_EOP_CNT_1)
35324
35325#define S_SOP_CNT_0 8
35326#define M_SOP_CNT_0 0xffU
35327#define V_SOP_CNT_0(x) ((x) << S_SOP_CNT_0)
35328#define G_SOP_CNT_0(x) (((x) >> S_SOP_CNT_0) & M_SOP_CNT_0)
35329
35330#define S_EOP_CNT_0 0
35331#define M_EOP_CNT_0 0xffU
35332#define V_EOP_CNT_0(x) ((x) << S_EOP_CNT_0)
35333#define G_EOP_CNT_0(x) (((x) >> S_EOP_CNT_0) & M_EOP_CNT_0)
35334
35335#define A_MPS_RX_SE_CNT_OUT23 0x1116c
35336
35337#define S_SOP_CNT_3 24
35338#define M_SOP_CNT_3 0xffU
35339#define V_SOP_CNT_3(x) ((x) << S_SOP_CNT_3)
35340#define G_SOP_CNT_3(x) (((x) >> S_SOP_CNT_3) & M_SOP_CNT_3)
35341
35342#define S_EOP_CNT_3 16
35343#define M_EOP_CNT_3 0xffU
35344#define V_EOP_CNT_3(x) ((x) << S_EOP_CNT_3)
35345#define G_EOP_CNT_3(x) (((x) >> S_EOP_CNT_3) & M_EOP_CNT_3)
35346
35347#define S_SOP_CNT_2 8
35348#define M_SOP_CNT_2 0xffU
35349#define V_SOP_CNT_2(x) ((x) << S_SOP_CNT_2)
35350#define G_SOP_CNT_2(x) (((x) >> S_SOP_CNT_2) & M_SOP_CNT_2)
35351
35352#define S_EOP_CNT_2 0
35353#define M_EOP_CNT_2 0xffU
35354#define V_EOP_CNT_2(x) ((x) << S_EOP_CNT_2)
35355#define G_EOP_CNT_2(x) (((x) >> S_EOP_CNT_2) & M_EOP_CNT_2)
35356
35357#define A_MPS_RX_SPI_ERR 0x11170
35358
35359#define S_LENERR 21
35360#define M_LENERR 0xfU
35361#define V_LENERR(x) ((x) << S_LENERR)
35362#define G_LENERR(x) (((x) >> S_LENERR) & M_LENERR)
35363
35364#define S_SPIERR 0
35365#define M_SPIERR 0x1fffffU
35366#define V_SPIERR(x) ((x) << S_SPIERR)
35367#define G_SPIERR(x) (((x) >> S_SPIERR) & M_SPIERR)
35368
35369#define A_MPS_RX_IN_BUS_STATE 0x11174
35370
35371#define S_ST3 24
35372#define M_ST3 0xffU
35373#define V_ST3(x) ((x) << S_ST3)
35374#define G_ST3(x) (((x) >> S_ST3) & M_ST3)
35375
35376#define S_ST2 16
35377#define M_ST2 0xffU
35378#define V_ST2(x) ((x) << S_ST2)
35379#define G_ST2(x) (((x) >> S_ST2) & M_ST2)
35380
35381#define S_ST1 8
35382#define M_ST1 0xffU
35383#define V_ST1(x) ((x) << S_ST1)
35384#define G_ST1(x) (((x) >> S_ST1) & M_ST1)
35385
35386#define S_ST0 0
35387#define M_ST0 0xffU
35388#define V_ST0(x) ((x) << S_ST0)
35389#define G_ST0(x) (((x) >> S_ST0) & M_ST0)
35390
35391#define A_MPS_RX_OUT_BUS_STATE 0x11178
35392
35393#define S_ST_NCSI 23
35394#define M_ST_NCSI 0x1ffU
35395#define V_ST_NCSI(x) ((x) << S_ST_NCSI)
35396#define G_ST_NCSI(x) (((x) >> S_ST_NCSI) & M_ST_NCSI)
35397
35398#define S_ST_TP 0
35399#define M_ST_TP 0x7fffffU
35400#define V_ST_TP(x) ((x) << S_ST_TP)
35401#define G_ST_TP(x) (((x) >> S_ST_TP) & M_ST_TP)
35402
35403#define A_MPS_RX_DBG_CTL 0x1117c
35404
35405#define S_OUT_DBG_CHNL 8
35406#define M_OUT_DBG_CHNL 0x7U
35407#define V_OUT_DBG_CHNL(x) ((x) << S_OUT_DBG_CHNL)
35408#define G_OUT_DBG_CHNL(x) (((x) >> S_OUT_DBG_CHNL) & M_OUT_DBG_CHNL)
35409
35410#define S_DBG_PKD_QSEL 7
35411#define V_DBG_PKD_QSEL(x) ((x) << S_DBG_PKD_QSEL)
35412#define F_DBG_PKD_QSEL V_DBG_PKD_QSEL(1U)
35413
35414#define S_DBG_CDS_INV 6
35415#define V_DBG_CDS_INV(x) ((x) << S_DBG_CDS_INV)
35416#define F_DBG_CDS_INV V_DBG_CDS_INV(1U)
35417
35418#define S_IN_DBG_PORT 3
35419#define M_IN_DBG_PORT 0x7U
35420#define V_IN_DBG_PORT(x) ((x) << S_IN_DBG_PORT)
35421#define G_IN_DBG_PORT(x) (((x) >> S_IN_DBG_PORT) & M_IN_DBG_PORT)
35422
35423#define S_IN_DBG_CHNL 0
35424#define M_IN_DBG_CHNL 0x7U
35425#define V_IN_DBG_CHNL(x) ((x) << S_IN_DBG_CHNL)
35426#define G_IN_DBG_CHNL(x) (((x) >> S_IN_DBG_CHNL) & M_IN_DBG_CHNL)
35427
35428#define A_MPS_RX_CLS_DROP_CNT0 0x11180
35429
35430#define S_LPBK_CNT0 16
35431#define M_LPBK_CNT0 0xffffU
35432#define V_LPBK_CNT0(x) ((x) << S_LPBK_CNT0)
35433#define G_LPBK_CNT0(x) (((x) >> S_LPBK_CNT0) & M_LPBK_CNT0)
35434
35435#define S_MAC_CNT0 0
35436#define M_MAC_CNT0 0xffffU
35437#define V_MAC_CNT0(x) ((x) << S_MAC_CNT0)
35438#define G_MAC_CNT0(x) (((x) >> S_MAC_CNT0) & M_MAC_CNT0)
35439
35440#define A_MPS_RX_CLS_DROP_CNT1 0x11184
35441
35442#define S_LPBK_CNT1 16
35443#define M_LPBK_CNT1 0xffffU
35444#define V_LPBK_CNT1(x) ((x) << S_LPBK_CNT1)
35445#define G_LPBK_CNT1(x) (((x) >> S_LPBK_CNT1) & M_LPBK_CNT1)
35446
35447#define S_MAC_CNT1 0
35448#define M_MAC_CNT1 0xffffU
35449#define V_MAC_CNT1(x) ((x) << S_MAC_CNT1)
35450#define G_MAC_CNT1(x) (((x) >> S_MAC_CNT1) & M_MAC_CNT1)
35451
35452#define A_MPS_RX_CLS_DROP_CNT2 0x11188
35453
35454#define S_LPBK_CNT2 16
35455#define M_LPBK_CNT2 0xffffU
35456#define V_LPBK_CNT2(x) ((x) << S_LPBK_CNT2)
35457#define G_LPBK_CNT2(x) (((x) >> S_LPBK_CNT2) & M_LPBK_CNT2)
35458
35459#define S_MAC_CNT2 0
35460#define M_MAC_CNT2 0xffffU
35461#define V_MAC_CNT2(x) ((x) << S_MAC_CNT2)
35462#define G_MAC_CNT2(x) (((x) >> S_MAC_CNT2) & M_MAC_CNT2)
35463
35464#define A_MPS_RX_CLS_DROP_CNT3 0x1118c
35465
35466#define S_LPBK_CNT3 16
35467#define M_LPBK_CNT3 0xffffU
35468#define V_LPBK_CNT3(x) ((x) << S_LPBK_CNT3)
35469#define G_LPBK_CNT3(x) (((x) >> S_LPBK_CNT3) & M_LPBK_CNT3)
35470
35471#define S_MAC_CNT3 0
35472#define M_MAC_CNT3 0xffffU
35473#define V_MAC_CNT3(x) ((x) << S_MAC_CNT3)
35474#define G_MAC_CNT3(x) (((x) >> S_MAC_CNT3) & M_MAC_CNT3)
35475
35476#define A_MPS_RX_SPARE 0x11190
35477#define A_MPS_RX_PTP_ETYPE 0x11194
35478
35479#define S_PETYPE2 16
35480#define M_PETYPE2 0xffffU
35481#define V_PETYPE2(x) ((x) << S_PETYPE2)
35482#define G_PETYPE2(x) (((x) >> S_PETYPE2) & M_PETYPE2)
35483
35484#define S_PETYPE1 0
35485#define M_PETYPE1 0xffffU
35486#define V_PETYPE1(x) ((x) << S_PETYPE1)
35487#define G_PETYPE1(x) (((x) >> S_PETYPE1) & M_PETYPE1)
35488
35489#define A_MPS_RX_PTP_TCP 0x11198
35490
35491#define S_PTCPORT2 16
35492#define M_PTCPORT2 0xffffU
35493#define V_PTCPORT2(x) ((x) << S_PTCPORT2)
35494#define G_PTCPORT2(x) (((x) >> S_PTCPORT2) & M_PTCPORT2)
35495
35496#define S_PTCPORT1 0
35497#define M_PTCPORT1 0xffffU
35498#define V_PTCPORT1(x) ((x) << S_PTCPORT1)
35499#define G_PTCPORT1(x) (((x) >> S_PTCPORT1) & M_PTCPORT1)
35500
35501#define A_MPS_RX_PTP_UDP 0x1119c
35502
35503#define S_PUDPORT2 16
35504#define M_PUDPORT2 0xffffU
35505#define V_PUDPORT2(x) ((x) << S_PUDPORT2)
35506#define G_PUDPORT2(x) (((x) >> S_PUDPORT2) & M_PUDPORT2)
35507
35508#define S_PUDPORT1 0
35509#define M_PUDPORT1 0xffffU
35510#define V_PUDPORT1(x) ((x) << S_PUDPORT1)
35511#define G_PUDPORT1(x) (((x) >> S_PUDPORT1) & M_PUDPORT1)
35512
35513#define A_MPS_RX_PTP_CTL 0x111a0
35514
35515#define S_MIN_PTP_SPACE 24
35516#define M_MIN_PTP_SPACE 0x7fU
35517#define V_MIN_PTP_SPACE(x) ((x) << S_MIN_PTP_SPACE)
35518#define G_MIN_PTP_SPACE(x) (((x) >> S_MIN_PTP_SPACE) & M_MIN_PTP_SPACE)
35519
35520#define S_PUDP2EN 20
35521#define M_PUDP2EN 0xfU
35522#define V_PUDP2EN(x) ((x) << S_PUDP2EN)
35523#define G_PUDP2EN(x) (((x) >> S_PUDP2EN) & M_PUDP2EN)
35524
35525#define S_PUDP1EN 16
35526#define M_PUDP1EN 0xfU
35527#define V_PUDP1EN(x) ((x) << S_PUDP1EN)
35528#define G_PUDP1EN(x) (((x) >> S_PUDP1EN) & M_PUDP1EN)
35529
35530#define S_PTCP2EN 12
35531#define M_PTCP2EN 0xfU
35532#define V_PTCP2EN(x) ((x) << S_PTCP2EN)
35533#define G_PTCP2EN(x) (((x) >> S_PTCP2EN) & M_PTCP2EN)
35534
35535#define S_PTCP1EN 8
35536#define M_PTCP1EN 0xfU
35537#define V_PTCP1EN(x) ((x) << S_PTCP1EN)
35538#define G_PTCP1EN(x) (((x) >> S_PTCP1EN) & M_PTCP1EN)
35539
35540#define S_PETYPE2EN 4
35541#define M_PETYPE2EN 0xfU
35542#define V_PETYPE2EN(x) ((x) << S_PETYPE2EN)
35543#define G_PETYPE2EN(x) (((x) >> S_PETYPE2EN) & M_PETYPE2EN)
35544
35545#define S_PETYPE1EN 0
35546#define M_PETYPE1EN 0xfU
35547#define V_PETYPE1EN(x) ((x) << S_PETYPE1EN)
35548#define G_PETYPE1EN(x) (((x) >> S_PETYPE1EN) & M_PETYPE1EN)
35549
35550#define A_MPS_RX_PAUSE_GEN_TH_0_0 0x111a4
35551#define A_MPS_RX_PAUSE_GEN_TH_0_1 0x111a8
35552#define A_MPS_RX_PAUSE_GEN_TH_0_2 0x111ac
35553#define A_MPS_RX_PAUSE_GEN_TH_0_3 0x111b0
35554#define A_MPS_RX_PAUSE_GEN_TH_1_0 0x111b4
35555#define A_MPS_RX_PAUSE_GEN_TH_1_1 0x111b8
35556#define A_MPS_RX_PAUSE_GEN_TH_1_2 0x111bc
35557#define A_MPS_RX_PAUSE_GEN_TH_1_3 0x111c0
35558#define A_MPS_RX_PAUSE_GEN_TH_2_0 0x111c4
35559#define A_MPS_RX_PAUSE_GEN_TH_2_1 0x111c8
35560#define A_MPS_RX_PAUSE_GEN_TH_2_2 0x111cc
35561#define A_MPS_RX_PAUSE_GEN_TH_2_3 0x111d0
35562#define A_MPS_RX_PAUSE_GEN_TH_3_0 0x111d4
35563#define A_MPS_RX_PAUSE_GEN_TH_3_1 0x111d8
35564#define A_MPS_RX_PAUSE_GEN_TH_3_2 0x111dc
35565#define A_MPS_RX_PAUSE_GEN_TH_3_3 0x111e0
35566#define A_MPS_RX_MAC_CLS_DROP_CNT0 0x111e4
35567#define A_MPS_RX_MAC_CLS_DROP_CNT1 0x111e8
35568#define A_MPS_RX_MAC_CLS_DROP_CNT2 0x111ec
35569#define A_MPS_RX_MAC_CLS_DROP_CNT3 0x111f0
35570#define A_MPS_RX_LPBK_CLS_DROP_CNT0 0x111f4
35571#define A_MPS_RX_LPBK_CLS_DROP_CNT1 0x111f8
35572#define A_MPS_RX_LPBK_CLS_DROP_CNT2 0x111fc
35573#define A_MPS_RX_LPBK_CLS_DROP_CNT3 0x11200
35574#define A_MPS_RX_CGEN 0x11204
35575
35576#define S_MPS_RX_CGEN_NCSI 12
35577#define V_MPS_RX_CGEN_NCSI(x) ((x) << S_MPS_RX_CGEN_NCSI)
35578#define F_MPS_RX_CGEN_NCSI V_MPS_RX_CGEN_NCSI(1U)
35579
35580#define S_MPS_RX_CGEN_OUT 8
35581#define M_MPS_RX_CGEN_OUT 0xfU
35582#define V_MPS_RX_CGEN_OUT(x) ((x) << S_MPS_RX_CGEN_OUT)
35583#define G_MPS_RX_CGEN_OUT(x) (((x) >> S_MPS_RX_CGEN_OUT) & M_MPS_RX_CGEN_OUT)
35584
35585#define S_MPS_RX_CGEN_LPBK_IN 4
35586#define M_MPS_RX_CGEN_LPBK_IN 0xfU
35587#define V_MPS_RX_CGEN_LPBK_IN(x) ((x) << S_MPS_RX_CGEN_LPBK_IN)
35588#define G_MPS_RX_CGEN_LPBK_IN(x) (((x) >> S_MPS_RX_CGEN_LPBK_IN) & M_MPS_RX_CGEN_LPBK_IN)
35589
35590#define S_MPS_RX_CGEN_MAC_IN 0
35591#define M_MPS_RX_CGEN_MAC_IN 0xfU
35592#define V_MPS_RX_CGEN_MAC_IN(x) ((x) << S_MPS_RX_CGEN_MAC_IN)
35593#define G_MPS_RX_CGEN_MAC_IN(x) (((x) >> S_MPS_RX_CGEN_MAC_IN) & M_MPS_RX_CGEN_MAC_IN)
35594
35595#define A_MPS_RX_MAC_BG_PG_CNT0 0x11208
35596
35597#define S_MAC_USED 16
35598#define M_MAC_USED 0x7ffU
35599#define V_MAC_USED(x) ((x) << S_MAC_USED)
35600#define G_MAC_USED(x) (((x) >> S_MAC_USED) & M_MAC_USED)
35601
35602#define S_MAC_ALLOC 0
35603#define M_MAC_ALLOC 0x7ffU
35604#define V_MAC_ALLOC(x) ((x) << S_MAC_ALLOC)
35605#define G_MAC_ALLOC(x) (((x) >> S_MAC_ALLOC) & M_MAC_ALLOC)
35606
35607#define A_MPS_RX_MAC_BG_PG_CNT1 0x1120c
35608#define A_MPS_RX_MAC_BG_PG_CNT2 0x11210
35609#define A_MPS_RX_MAC_BG_PG_CNT3 0x11214
35610#define A_MPS_RX_LPBK_BG_PG_CNT0 0x11218
35611
35612#define S_LPBK_USED 16
35613#define M_LPBK_USED 0x7ffU
35614#define V_LPBK_USED(x) ((x) << S_LPBK_USED)
35615#define G_LPBK_USED(x) (((x) >> S_LPBK_USED) & M_LPBK_USED)
35616
35617#define S_LPBK_ALLOC 0
35618#define M_LPBK_ALLOC 0x7ffU
35619#define V_LPBK_ALLOC(x) ((x) << S_LPBK_ALLOC)
35620#define G_LPBK_ALLOC(x) (((x) >> S_LPBK_ALLOC) & M_LPBK_ALLOC)
35621
35622#define A_MPS_RX_LPBK_BG_PG_CNT1 0x1121c
35623#define A_MPS_RX_CONGESTION_THRESHOLD_BG0 0x11220
35624
35625#define S_CONG_EN 31
35626#define V_CONG_EN(x) ((x) << S_CONG_EN)
35627#define F_CONG_EN V_CONG_EN(1U)
35628
35629#define S_CONG_TH 0
35630#define M_CONG_TH 0xfffffU
35631#define V_CONG_TH(x) ((x) << S_CONG_TH)
35632#define G_CONG_TH(x) (((x) >> S_CONG_TH) & M_CONG_TH)
35633
35634#define A_MPS_RX_CONGESTION_THRESHOLD_BG1 0x11224
35635#define A_MPS_RX_CONGESTION_THRESHOLD_BG2 0x11228
35636#define A_MPS_RX_CONGESTION_THRESHOLD_BG3 0x1122c
35637#define A_MPS_RX_GRE_PROT_TYPE 0x11230
35638
35639#define S_NVGRE_EN 9
35640#define V_NVGRE_EN(x) ((x) << S_NVGRE_EN)
35641#define F_NVGRE_EN V_NVGRE_EN(1U)
35642
35643#define S_GRE_EN 8
35644#define V_GRE_EN(x) ((x) << S_GRE_EN)
35645#define F_GRE_EN V_GRE_EN(1U)
35646
35647#define S_GRE 0
35648#define M_GRE 0xffU
35649#define V_GRE(x) ((x) << S_GRE)
35650#define G_GRE(x) (((x) >> S_GRE) & M_GRE)
35651
35652#define A_MPS_RX_VXLAN_TYPE 0x11234
35653
35654#define S_VXLAN_EN 16
35655#define V_VXLAN_EN(x) ((x) << S_VXLAN_EN)
35656#define F_VXLAN_EN V_VXLAN_EN(1U)
35657
35658#define S_VXLAN 0
35659#define M_VXLAN 0xffffU
35660#define V_VXLAN(x) ((x) << S_VXLAN)
35661#define G_VXLAN(x) (((x) >> S_VXLAN) & M_VXLAN)
35662
35663#define A_MPS_RX_GENEVE_TYPE 0x11238
35664
35665#define S_GENEVE_EN 16
35666#define V_GENEVE_EN(x) ((x) << S_GENEVE_EN)
35667#define F_GENEVE_EN V_GENEVE_EN(1U)
35668
35669#define S_GENEVE 0
35670#define M_GENEVE 0xffffU
35671#define V_GENEVE(x) ((x) << S_GENEVE)
35672#define G_GENEVE(x) (((x) >> S_GENEVE) & M_GENEVE)
35673
35674#define A_MPS_RX_INNER_HDR_IVLAN 0x1123c
35675
35676#define S_T6_IVLAN_EN 16
35677#define V_T6_IVLAN_EN(x) ((x) << S_T6_IVLAN_EN)
35678#define F_T6_IVLAN_EN V_T6_IVLAN_EN(1U)
35679
35680#define A_MPS_RX_ENCAP_NVGRE 0x11240
35681
35682#define S_ETYPE_EN 16
35683#define V_ETYPE_EN(x) ((x) << S_ETYPE_EN)
35684#define F_ETYPE_EN V_ETYPE_EN(1U)
35685
35686#define S_T6_ETYPE 0
35687#define M_T6_ETYPE 0xffffU
35688#define V_T6_ETYPE(x) ((x) << S_T6_ETYPE)
35689#define G_T6_ETYPE(x) (((x) >> S_T6_ETYPE) & M_T6_ETYPE)
35690
35691#define A_MPS_RX_ENCAP_GENEVE 0x11244
35692
35693#define S_T6_ETYPE 0
35694#define M_T6_ETYPE 0xffffU
35695#define V_T6_ETYPE(x) ((x) << S_T6_ETYPE)
35696#define G_T6_ETYPE(x) (((x) >> S_T6_ETYPE) & M_T6_ETYPE)
35697
35698#define A_MPS_RX_TCP 0x11248
35699
35700#define S_PROT_TYPE_EN 8
35701#define V_PROT_TYPE_EN(x) ((x) << S_PROT_TYPE_EN)
35702#define F_PROT_TYPE_EN V_PROT_TYPE_EN(1U)
35703
35704#define S_PROT_TYPE 0
35705#define M_PROT_TYPE 0xffU
35706#define V_PROT_TYPE(x) ((x) << S_PROT_TYPE)
35707#define G_PROT_TYPE(x) (((x) >> S_PROT_TYPE) & M_PROT_TYPE)
35708
35709#define A_MPS_RX_UDP 0x1124c
35710#define A_MPS_RX_PAUSE 0x11250
35711#define A_MPS_RX_LENGTH 0x11254
35712
35713#define S_SAP_VALUE 16
35714#define M_SAP_VALUE 0xffffU
35715#define V_SAP_VALUE(x) ((x) << S_SAP_VALUE)
35716#define G_SAP_VALUE(x) (((x) >> S_SAP_VALUE) & M_SAP_VALUE)
35717
35718#define S_LENGTH_ETYPE 0
35719#define M_LENGTH_ETYPE 0xffffU
35720#define V_LENGTH_ETYPE(x) ((x) << S_LENGTH_ETYPE)
35721#define G_LENGTH_ETYPE(x) (((x) >> S_LENGTH_ETYPE) & M_LENGTH_ETYPE)
35722
35723#define A_MPS_RX_CTL_ORG 0x11258
35724
35725#define S_CTL_VALUE 24
35726#define M_CTL_VALUE 0xffU
35727#define V_CTL_VALUE(x) ((x) << S_CTL_VALUE)
35728#define G_CTL_VALUE(x) (((x) >> S_CTL_VALUE) & M_CTL_VALUE)
35729
35730#define S_ORG_VALUE 0
35731#define M_ORG_VALUE 0xffffffU
35732#define V_ORG_VALUE(x) ((x) << S_ORG_VALUE)
35733#define G_ORG_VALUE(x) (((x) >> S_ORG_VALUE) & M_ORG_VALUE)
35734
35735#define A_MPS_RX_IPV4 0x1125c
35736
35737#define S_ETYPE_IPV4 0
35738#define M_ETYPE_IPV4 0xffffU
35739#define V_ETYPE_IPV4(x) ((x) << S_ETYPE_IPV4)
35740#define G_ETYPE_IPV4(x) (((x) >> S_ETYPE_IPV4) & M_ETYPE_IPV4)
35741
35742#define A_MPS_RX_IPV6 0x11260
35743
35744#define S_ETYPE_IPV6 0
35745#define M_ETYPE_IPV6 0xffffU
35746#define V_ETYPE_IPV6(x) ((x) << S_ETYPE_IPV6)
35747#define G_ETYPE_IPV6(x) (((x) >> S_ETYPE_IPV6) & M_ETYPE_IPV6)
35748
35749#define A_MPS_RX_TTL 0x11264
35750
35751#define S_TTL_IPV4 10
35752#define M_TTL_IPV4 0xffU
35753#define V_TTL_IPV4(x) ((x) << S_TTL_IPV4)
35754#define G_TTL_IPV4(x) (((x) >> S_TTL_IPV4) & M_TTL_IPV4)
35755
35756#define S_TTL_IPV6 2
35757#define M_TTL_IPV6 0xffU
35758#define V_TTL_IPV6(x) ((x) << S_TTL_IPV6)
35759#define G_TTL_IPV6(x) (((x) >> S_TTL_IPV6) & M_TTL_IPV6)
35760
35761#define S_TTL_CHK_EN_IPV4 1
35762#define V_TTL_CHK_EN_IPV4(x) ((x) << S_TTL_CHK_EN_IPV4)
35763#define F_TTL_CHK_EN_IPV4 V_TTL_CHK_EN_IPV4(1U)
35764
35765#define S_TTL_CHK_EN_IPV6 0
35766#define V_TTL_CHK_EN_IPV6(x) ((x) << S_TTL_CHK_EN_IPV6)
35767#define F_TTL_CHK_EN_IPV6 V_TTL_CHK_EN_IPV6(1U)
35768
35769#define A_MPS_RX_DEFAULT_VNI 0x11268
35770
35771#define S_VNI 0
35772#define M_VNI 0xffffffU
35773#define V_VNI(x) ((x) << S_VNI)
35774#define G_VNI(x) (((x) >> S_VNI) & M_VNI)
35775
35776#define A_MPS_RX_PRS_CTL 0x1126c
35777
35778#define S_CTL_CHK_EN 28
35779#define V_CTL_CHK_EN(x) ((x) << S_CTL_CHK_EN)
35780#define F_CTL_CHK_EN V_CTL_CHK_EN(1U)
35781
35782#define S_ORG_CHK_EN 27
35783#define V_ORG_CHK_EN(x) ((x) << S_ORG_CHK_EN)
35784#define F_ORG_CHK_EN V_ORG_CHK_EN(1U)
35785
35786#define S_SAP_CHK_EN 26
35787#define V_SAP_CHK_EN(x) ((x) << S_SAP_CHK_EN)
35788#define F_SAP_CHK_EN V_SAP_CHK_EN(1U)
35789
35790#define S_VXLAN_FLAG_CHK_EN 25
35791#define V_VXLAN_FLAG_CHK_EN(x) ((x) << S_VXLAN_FLAG_CHK_EN)
35792#define F_VXLAN_FLAG_CHK_EN V_VXLAN_FLAG_CHK_EN(1U)
35793
35794#define S_VXLAN_FLAG_MASK 17
35795#define M_VXLAN_FLAG_MASK 0xffU
35796#define V_VXLAN_FLAG_MASK(x) ((x) << S_VXLAN_FLAG_MASK)
35797#define G_VXLAN_FLAG_MASK(x) (((x) >> S_VXLAN_FLAG_MASK) & M_VXLAN_FLAG_MASK)
35798
35799#define S_VXLAN_FLAG 9
35800#define M_VXLAN_FLAG 0xffU
35801#define V_VXLAN_FLAG(x) ((x) << S_VXLAN_FLAG)
35802#define G_VXLAN_FLAG(x) (((x) >> S_VXLAN_FLAG) & M_VXLAN_FLAG)
35803
35804#define S_GRE_VER_CHK_EN 8
35805#define V_GRE_VER_CHK_EN(x) ((x) << S_GRE_VER_CHK_EN)
35806#define F_GRE_VER_CHK_EN V_GRE_VER_CHK_EN(1U)
35807
35808#define S_GRE_VER 5
35809#define M_GRE_VER 0x7U
35810#define V_GRE_VER(x) ((x) << S_GRE_VER)
35811#define G_GRE_VER(x) (((x) >> S_GRE_VER) & M_GRE_VER)
35812
35813#define S_GENEVE_VER_CHK_EN 4
35814#define V_GENEVE_VER_CHK_EN(x) ((x) << S_GENEVE_VER_CHK_EN)
35815#define F_GENEVE_VER_CHK_EN V_GENEVE_VER_CHK_EN(1U)
35816
35817#define S_GENEVE_VER 2
35818#define M_GENEVE_VER 0x3U
35819#define V_GENEVE_VER(x) ((x) << S_GENEVE_VER)
35820#define G_GENEVE_VER(x) (((x) >> S_GENEVE_VER) & M_GENEVE_VER)
35821
35822#define S_DIP_EN 1
35823#define V_DIP_EN(x) ((x) << S_DIP_EN)
35824#define F_DIP_EN V_DIP_EN(1U)
35825
35826#define A_MPS_RX_PRS_CTL_2 0x11270
35827
35828#define S_EN_UDP_CSUM_CHK 4
35829#define V_EN_UDP_CSUM_CHK(x) ((x) << S_EN_UDP_CSUM_CHK)
35830#define F_EN_UDP_CSUM_CHK V_EN_UDP_CSUM_CHK(1U)
35831
35832#define S_EN_UDP_LEN_CHK 3
35833#define V_EN_UDP_LEN_CHK(x) ((x) << S_EN_UDP_LEN_CHK)
35834#define F_EN_UDP_LEN_CHK V_EN_UDP_LEN_CHK(1U)
35835
35836#define S_EN_IP_CSUM_CHK 2
35837#define V_EN_IP_CSUM_CHK(x) ((x) << S_EN_IP_CSUM_CHK)
35838#define F_EN_IP_CSUM_CHK V_EN_IP_CSUM_CHK(1U)
35839
35840#define S_EN_IP_PAYLOAD_LEN_CHK 1
35841#define V_EN_IP_PAYLOAD_LEN_CHK(x) ((x) << S_EN_IP_PAYLOAD_LEN_CHK)
35842#define F_EN_IP_PAYLOAD_LEN_CHK V_EN_IP_PAYLOAD_LEN_CHK(1U)
35843
35844#define S_T6_IPV6_UDP_CSUM_COMPAT 0
35845#define V_T6_IPV6_UDP_CSUM_COMPAT(x) ((x) << S_T6_IPV6_UDP_CSUM_COMPAT)
35846#define F_T6_IPV6_UDP_CSUM_COMPAT V_T6_IPV6_UDP_CSUM_COMPAT(1U)
35847
35848#define A_MPS_RX_MPS2NCSI_CNT 0x11274
35849#define A_MPS_RX_MAX_TNL_HDR_LEN 0x11278
35850
35851#define S_T6_LEN 0
35852#define M_T6_LEN 0x1ffU
35853#define V_T6_LEN(x) ((x) << S_T6_LEN)
35854#define G_T6_LEN(x) (((x) >> S_T6_LEN) & M_T6_LEN)
35855
35856#define A_MPS_RX_PAUSE_DA_H 0x1127c
35857#define A_MPS_RX_PAUSE_DA_L 0x11280
35858#define A_MPS_RX_CNT_NVGRE_PKT_MAC0 0x11284
35859#define A_MPS_RX_CNT_VXLAN_PKT_MAC0 0x11288
35860#define A_MPS_RX_CNT_GENEVE_PKT_MAC0 0x1128c
35861#define A_MPS_RX_CNT_TNL_ERR_PKT_MAC0 0x11290
35862#define A_MPS_RX_CNT_NVGRE_PKT_MAC1 0x11294
35863#define A_MPS_RX_CNT_VXLAN_PKT_MAC1 0x11298
35864#define A_MPS_RX_CNT_GENEVE_PKT_MAC1 0x1129c
35865#define A_MPS_RX_CNT_TNL_ERR_PKT_MAC1 0x112a0
35866#define A_MPS_RX_CNT_NVGRE_PKT_LPBK0 0x112a4
35867#define A_MPS_RX_CNT_VXLAN_PKT_LPBK0 0x112a8
35868#define A_MPS_RX_CNT_GENEVE_PKT_LPBK0 0x112ac
35869#define A_MPS_RX_CNT_TNL_ERR_PKT_LPBK0 0x112b0
35870#define A_MPS_RX_CNT_NVGRE_PKT_LPBK1 0x112b4
35871#define A_MPS_RX_CNT_VXLAN_PKT_LPBK1 0x112b8
35872#define A_MPS_RX_CNT_GENEVE_PKT_LPBK1 0x112bc
35873#define A_MPS_RX_CNT_TNL_ERR_PKT_LPBK1 0x112c0
35874#define A_MPS_RX_CNT_NVGRE_PKT_TO_TP0 0x112c4
35875#define A_MPS_RX_CNT_VXLAN_PKT_TO_TP0 0x112c8
35876#define A_MPS_RX_CNT_GENEVE_PKT_TO_TP0 0x112cc
35877#define A_MPS_RX_CNT_TNL_ERR_PKT_TO_TP0 0x112d0
35878#define A_MPS_RX_CNT_NVGRE_PKT_TO_TP1 0x112d4
35879#define A_MPS_RX_CNT_VXLAN_PKT_TO_TP1 0x112d8
35880#define A_MPS_RX_CNT_GENEVE_PKT_TO_TP1 0x112dc
35881#define A_MPS_RX_CNT_TNL_ERR_PKT_TO_TP1 0x112e0
35882#define A_MPS_VF_RPLCT_MAP4 0x11300
35883#define A_MPS_VF_RPLCT_MAP5 0x11304
35884#define A_MPS_VF_RPLCT_MAP6 0x11308
35885#define A_MPS_VF_RPLCT_MAP7 0x1130c
35886#define A_MPS_CLS_DIPIPV4_ID_TABLE 0x12000
35887#define A_MPS_CLS_DIPIPV4_MASK_TABLE 0x12004
35888#define A_MPS_CLS_DIPIPV6ID_0_TABLE 0x12020
35889#define A_MPS_CLS_DIPIPV6ID_1_TABLE 0x12024
35890#define A_MPS_CLS_DIPIPV6ID_2_TABLE 0x12028
35891#define A_MPS_CLS_DIPIPV6ID_3_TABLE 0x1202c
35892#define A_MPS_CLS_DIPIPV6MASK_0_TABLE 0x12030
35893#define A_MPS_CLS_DIPIPV6MASK_1_TABLE 0x12034
35894#define A_MPS_CLS_DIPIPV6MASK_2_TABLE 0x12038
35895#define A_MPS_CLS_DIPIPV6MASK_3_TABLE 0x1203c
35896#define A_MPS_RX_HASH_LKP_TABLE 0x12060
35897
35898/* registers for module CPL_SWITCH */
35899#define CPL_SWITCH_BASE_ADDR 0x19040
35900
35901#define A_CPL_SWITCH_CNTRL 0x19040
35902
35903#define S_CPL_PKT_TID 8
35904#define M_CPL_PKT_TID 0xffffffU
35905#define V_CPL_PKT_TID(x) ((x) << S_CPL_PKT_TID)
35906#define G_CPL_PKT_TID(x) (((x) >> S_CPL_PKT_TID) & M_CPL_PKT_TID)
35907
35908#define S_CIM_TRUNCATE_ENABLE 5
35909#define V_CIM_TRUNCATE_ENABLE(x) ((x) << S_CIM_TRUNCATE_ENABLE)
35910#define F_CIM_TRUNCATE_ENABLE V_CIM_TRUNCATE_ENABLE(1U)
35911
35912#define S_CIM_TO_UP_FULL_SIZE 4
35913#define V_CIM_TO_UP_FULL_SIZE(x) ((x) << S_CIM_TO_UP_FULL_SIZE)
35914#define F_CIM_TO_UP_FULL_SIZE V_CIM_TO_UP_FULL_SIZE(1U)
35915
35916#define S_CPU_NO_ENABLE 3
35917#define V_CPU_NO_ENABLE(x) ((x) << S_CPU_NO_ENABLE)
35918#define F_CPU_NO_ENABLE V_CPU_NO_ENABLE(1U)
35919
35920#define S_SWITCH_TABLE_ENABLE 2
35921#define V_SWITCH_TABLE_ENABLE(x) ((x) << S_SWITCH_TABLE_ENABLE)
35922#define F_SWITCH_TABLE_ENABLE V_SWITCH_TABLE_ENABLE(1U)
35923
35924#define S_SGE_ENABLE 1
35925#define V_SGE_ENABLE(x) ((x) << S_SGE_ENABLE)
35926#define F_SGE_ENABLE V_SGE_ENABLE(1U)
35927
35928#define S_CIM_ENABLE 0
35929#define V_CIM_ENABLE(x) ((x) << S_CIM_ENABLE)
35930#define F_CIM_ENABLE V_CIM_ENABLE(1U)
35931
35932#define S_CIM_SPLIT_ENABLE 6
35933#define V_CIM_SPLIT_ENABLE(x) ((x) << S_CIM_SPLIT_ENABLE)
35934#define F_CIM_SPLIT_ENABLE V_CIM_SPLIT_ENABLE(1U)
35935
35936#define A_CPL_SWITCH_TBL_IDX 0x19044
35937
35938#define S_SWITCH_TBL_IDX 0
35939#define M_SWITCH_TBL_IDX 0xfU
35940#define V_SWITCH_TBL_IDX(x) ((x) << S_SWITCH_TBL_IDX)
35941#define G_SWITCH_TBL_IDX(x) (((x) >> S_SWITCH_TBL_IDX) & M_SWITCH_TBL_IDX)
35942
35943#define A_CPL_SWITCH_TBL_DATA 0x19048
35944#define A_CPL_SWITCH_ZERO_ERROR 0x1904c
35945
35946#define S_ZERO_CMD_CH1 8
35947#define M_ZERO_CMD_CH1 0xffU
35948#define V_ZERO_CMD_CH1(x) ((x) << S_ZERO_CMD_CH1)
35949#define G_ZERO_CMD_CH1(x) (((x) >> S_ZERO_CMD_CH1) & M_ZERO_CMD_CH1)
35950
35951#define S_ZERO_CMD_CH0 0
35952#define M_ZERO_CMD_CH0 0xffU
35953#define V_ZERO_CMD_CH0(x) ((x) << S_ZERO_CMD_CH0)
35954#define G_ZERO_CMD_CH0(x) (((x) >> S_ZERO_CMD_CH0) & M_ZERO_CMD_CH0)
35955
35956#define A_CPL_INTR_ENABLE 0x19050
35957
35958#define S_CIM_OP_MAP_PERR 5
35959#define V_CIM_OP_MAP_PERR(x) ((x) << S_CIM_OP_MAP_PERR)
35960#define F_CIM_OP_MAP_PERR V_CIM_OP_MAP_PERR(1U)
35961
35962#define S_CIM_OVFL_ERROR 4
35963#define V_CIM_OVFL_ERROR(x) ((x) << S_CIM_OVFL_ERROR)
35964#define F_CIM_OVFL_ERROR V_CIM_OVFL_ERROR(1U)
35965
35966#define S_TP_FRAMING_ERROR 3
35967#define V_TP_FRAMING_ERROR(x) ((x) << S_TP_FRAMING_ERROR)
35968#define F_TP_FRAMING_ERROR V_TP_FRAMING_ERROR(1U)
35969
35970#define S_SGE_FRAMING_ERROR 2
35971#define V_SGE_FRAMING_ERROR(x) ((x) << S_SGE_FRAMING_ERROR)
35972#define F_SGE_FRAMING_ERROR V_SGE_FRAMING_ERROR(1U)
35973
35974#define S_CIM_FRAMING_ERROR 1
35975#define V_CIM_FRAMING_ERROR(x) ((x) << S_CIM_FRAMING_ERROR)
35976#define F_CIM_FRAMING_ERROR V_CIM_FRAMING_ERROR(1U)
35977
35978#define S_ZERO_SWITCH_ERROR 0
35979#define V_ZERO_SWITCH_ERROR(x) ((x) << S_ZERO_SWITCH_ERROR)
35980#define F_ZERO_SWITCH_ERROR V_ZERO_SWITCH_ERROR(1U)
35981
35982#define S_PERR_CPL_128TO128_1 7
35983#define V_PERR_CPL_128TO128_1(x) ((x) << S_PERR_CPL_128TO128_1)
35984#define F_PERR_CPL_128TO128_1 V_PERR_CPL_128TO128_1(1U)
35985
35986#define S_PERR_CPL_128TO128_0 6
35987#define V_PERR_CPL_128TO128_0(x) ((x) << S_PERR_CPL_128TO128_0)
35988#define F_PERR_CPL_128TO128_0 V_PERR_CPL_128TO128_0(1U)
35989
35990#define A_CPL_INTR_CAUSE 0x19054
35991#define A_CPL_MAP_TBL_IDX 0x19058
35992
35993#define S_MAP_TBL_IDX 0
35994#define M_MAP_TBL_IDX 0xffU
35995#define V_MAP_TBL_IDX(x) ((x) << S_MAP_TBL_IDX)
35996#define G_MAP_TBL_IDX(x) (((x) >> S_MAP_TBL_IDX) & M_MAP_TBL_IDX)
35997
35998#define S_CIM_SPLIT_OPCODE_PROGRAM 8
35999#define V_CIM_SPLIT_OPCODE_PROGRAM(x) ((x) << S_CIM_SPLIT_OPCODE_PROGRAM)
36000#define F_CIM_SPLIT_OPCODE_PROGRAM V_CIM_SPLIT_OPCODE_PROGRAM(1U)
36001
36002#define A_CPL_MAP_TBL_DATA 0x1905c
36003
36004#define S_MAP_TBL_DATA 0
36005#define M_MAP_TBL_DATA 0xffU
36006#define V_MAP_TBL_DATA(x) ((x) << S_MAP_TBL_DATA)
36007#define G_MAP_TBL_DATA(x) (((x) >> S_MAP_TBL_DATA) & M_MAP_TBL_DATA)
36008
36009/* registers for module SMB */
36010#define SMB_BASE_ADDR 0x19060
36011
36012#define A_SMB_GLOBAL_TIME_CFG 0x19060
36013
36014#define S_MACROCNTCFG 8
36015#define M_MACROCNTCFG 0x1fU
36016#define V_MACROCNTCFG(x) ((x) << S_MACROCNTCFG)
36017#define G_MACROCNTCFG(x) (((x) >> S_MACROCNTCFG) & M_MACROCNTCFG)
36018
36019#define S_MICROCNTCFG 0
36020#define M_MICROCNTCFG 0xffU
36021#define V_MICROCNTCFG(x) ((x) << S_MICROCNTCFG)
36022#define G_MICROCNTCFG(x) (((x) >> S_MICROCNTCFG) & M_MICROCNTCFG)
36023
36024#define A_SMB_MST_TIMEOUT_CFG 0x19064
36025
36026#define S_MSTTIMEOUTCFG 0
36027#define M_MSTTIMEOUTCFG 0xffffffU
36028#define V_MSTTIMEOUTCFG(x) ((x) << S_MSTTIMEOUTCFG)
36029#define G_MSTTIMEOUTCFG(x) (((x) >> S_MSTTIMEOUTCFG) & M_MSTTIMEOUTCFG)
36030
36031#define A_SMB_MST_CTL_CFG 0x19068
36032
36033#define S_MSTFIFODBG 31
36034#define V_MSTFIFODBG(x) ((x) << S_MSTFIFODBG)
36035#define F_MSTFIFODBG V_MSTFIFODBG(1U)
36036
36037#define S_MSTFIFODBGCLR 30
36038#define V_MSTFIFODBGCLR(x) ((x) << S_MSTFIFODBGCLR)
36039#define F_MSTFIFODBGCLR V_MSTFIFODBGCLR(1U)
36040
36041#define S_MSTRXBYTECFG 12
36042#define M_MSTRXBYTECFG 0x3fU
36043#define V_MSTRXBYTECFG(x) ((x) << S_MSTRXBYTECFG)
36044#define G_MSTRXBYTECFG(x) (((x) >> S_MSTRXBYTECFG) & M_MSTRXBYTECFG)
36045
36046#define S_MSTTXBYTECFG 6
36047#define M_MSTTXBYTECFG 0x3fU
36048#define V_MSTTXBYTECFG(x) ((x) << S_MSTTXBYTECFG)
36049#define G_MSTTXBYTECFG(x) (((x) >> S_MSTTXBYTECFG) & M_MSTTXBYTECFG)
36050
36051#define S_MSTRESET 1
36052#define V_MSTRESET(x) ((x) << S_MSTRESET)
36053#define F_MSTRESET V_MSTRESET(1U)
36054
36055#define S_MSTCTLEN 0
36056#define V_MSTCTLEN(x) ((x) << S_MSTCTLEN)
36057#define F_MSTCTLEN V_MSTCTLEN(1U)
36058
36059#define A_SMB_MST_CTL_STS 0x1906c
36060
36061#define S_MSTRXBYTECNT 12
36062#define M_MSTRXBYTECNT 0x3fU
36063#define V_MSTRXBYTECNT(x) ((x) << S_MSTRXBYTECNT)
36064#define G_MSTRXBYTECNT(x) (((x) >> S_MSTRXBYTECNT) & M_MSTRXBYTECNT)
36065
36066#define S_MSTTXBYTECNT 6
36067#define M_MSTTXBYTECNT 0x3fU
36068#define V_MSTTXBYTECNT(x) ((x) << S_MSTTXBYTECNT)
36069#define G_MSTTXBYTECNT(x) (((x) >> S_MSTTXBYTECNT) & M_MSTTXBYTECNT)
36070
36071#define S_MSTBUSYSTS 0
36072#define V_MSTBUSYSTS(x) ((x) << S_MSTBUSYSTS)
36073#define F_MSTBUSYSTS V_MSTBUSYSTS(1U)
36074
36075#define A_SMB_MST_TX_FIFO_RDWR 0x19070
36076#define A_SMB_MST_RX_FIFO_RDWR 0x19074
36077#define A_SMB_SLV_TIMEOUT_CFG 0x19078
36078
36079#define S_SLVTIMEOUTCFG 0
36080#define M_SLVTIMEOUTCFG 0xffffffU
36081#define V_SLVTIMEOUTCFG(x) ((x) << S_SLVTIMEOUTCFG)
36082#define G_SLVTIMEOUTCFG(x) (((x) >> S_SLVTIMEOUTCFG) & M_SLVTIMEOUTCFG)
36083
36084#define A_SMB_SLV_CTL_CFG 0x1907c
36085
36086#define S_SLVFIFODBG 31
36087#define V_SLVFIFODBG(x) ((x) << S_SLVFIFODBG)
36088#define F_SLVFIFODBG V_SLVFIFODBG(1U)
36089
36090#define S_SLVFIFODBGCLR 30
36091#define V_SLVFIFODBGCLR(x) ((x) << S_SLVFIFODBGCLR)
36092#define F_SLVFIFODBGCLR V_SLVFIFODBGCLR(1U)
36093
36094#define S_SLVCRCOUTBITINV 21
36095#define V_SLVCRCOUTBITINV(x) ((x) << S_SLVCRCOUTBITINV)
36096#define F_SLVCRCOUTBITINV V_SLVCRCOUTBITINV(1U)
36097
36098#define S_SLVCRCOUTBITREV 20
36099#define V_SLVCRCOUTBITREV(x) ((x) << S_SLVCRCOUTBITREV)
36100#define F_SLVCRCOUTBITREV V_SLVCRCOUTBITREV(1U)
36101
36102#define S_SLVCRCINBITREV 19
36103#define V_SLVCRCINBITREV(x) ((x) << S_SLVCRCINBITREV)
36104#define F_SLVCRCINBITREV V_SLVCRCINBITREV(1U)
36105
36106#define S_SLVCRCPRESET 11
36107#define M_SLVCRCPRESET 0xffU
36108#define V_SLVCRCPRESET(x) ((x) << S_SLVCRCPRESET)
36109#define G_SLVCRCPRESET(x) (((x) >> S_SLVCRCPRESET) & M_SLVCRCPRESET)
36110
36111#define S_SLVADDRCFG 4
36112#define M_SLVADDRCFG 0x7fU
36113#define V_SLVADDRCFG(x) ((x) << S_SLVADDRCFG)
36114#define G_SLVADDRCFG(x) (((x) >> S_SLVADDRCFG) & M_SLVADDRCFG)
36115
36116#define S_SLVALRTSET 2
36117#define V_SLVALRTSET(x) ((x) << S_SLVALRTSET)
36118#define F_SLVALRTSET V_SLVALRTSET(1U)
36119
36120#define S_SLVRESET 1
36121#define V_SLVRESET(x) ((x) << S_SLVRESET)
36122#define F_SLVRESET V_SLVRESET(1U)
36123
36124#define S_SLVCTLEN 0
36125#define V_SLVCTLEN(x) ((x) << S_SLVCTLEN)
36126#define F_SLVCTLEN V_SLVCTLEN(1U)
36127
36128#define A_SMB_SLV_CTL_STS 0x19080
36129
36130#define S_SLVFIFOTXCNT 12
36131#define M_SLVFIFOTXCNT 0x3fU
36132#define V_SLVFIFOTXCNT(x) ((x) << S_SLVFIFOTXCNT)
36133#define G_SLVFIFOTXCNT(x) (((x) >> S_SLVFIFOTXCNT) & M_SLVFIFOTXCNT)
36134
36135#define S_SLVFIFOCNT 6
36136#define M_SLVFIFOCNT 0x3fU
36137#define V_SLVFIFOCNT(x) ((x) << S_SLVFIFOCNT)
36138#define G_SLVFIFOCNT(x) (((x) >> S_SLVFIFOCNT) & M_SLVFIFOCNT)
36139
36140#define S_SLVALRTSTS 2
36141#define V_SLVALRTSTS(x) ((x) << S_SLVALRTSTS)
36142#define F_SLVALRTSTS V_SLVALRTSTS(1U)
36143
36144#define S_SLVBUSYSTS 0
36145#define V_SLVBUSYSTS(x) ((x) << S_SLVBUSYSTS)
36146#define F_SLVBUSYSTS V_SLVBUSYSTS(1U)
36147
36148#define A_SMB_SLV_FIFO_RDWR 0x19084
36149#define A_SMB_INT_ENABLE 0x1908c
36150
36151#define S_MSTTXFIFOPAREN 21
36152#define V_MSTTXFIFOPAREN(x) ((x) << S_MSTTXFIFOPAREN)
36153#define F_MSTTXFIFOPAREN V_MSTTXFIFOPAREN(1U)
36154
36155#define S_MSTRXFIFOPAREN 20
36156#define V_MSTRXFIFOPAREN(x) ((x) << S_MSTRXFIFOPAREN)
36157#define F_MSTRXFIFOPAREN V_MSTRXFIFOPAREN(1U)
36158
36159#define S_SLVFIFOPAREN 19
36160#define V_SLVFIFOPAREN(x) ((x) << S_SLVFIFOPAREN)
36161#define F_SLVFIFOPAREN V_SLVFIFOPAREN(1U)
36162
36163#define S_SLVUNEXPBUSSTOPEN 18
36164#define V_SLVUNEXPBUSSTOPEN(x) ((x) << S_SLVUNEXPBUSSTOPEN)
36165#define F_SLVUNEXPBUSSTOPEN V_SLVUNEXPBUSSTOPEN(1U)
36166
36167#define S_SLVUNEXPBUSSTARTEN 17
36168#define V_SLVUNEXPBUSSTARTEN(x) ((x) << S_SLVUNEXPBUSSTARTEN)
36169#define F_SLVUNEXPBUSSTARTEN V_SLVUNEXPBUSSTARTEN(1U)
36170
36171#define S_SLVCOMMANDCODEINVEN 16
36172#define V_SLVCOMMANDCODEINVEN(x) ((x) << S_SLVCOMMANDCODEINVEN)
36173#define F_SLVCOMMANDCODEINVEN V_SLVCOMMANDCODEINVEN(1U)
36174
36175#define S_SLVBYTECNTERREN 15
36176#define V_SLVBYTECNTERREN(x) ((x) << S_SLVBYTECNTERREN)
36177#define F_SLVBYTECNTERREN V_SLVBYTECNTERREN(1U)
36178
36179#define S_SLVUNEXPACKMSTEN 14
36180#define V_SLVUNEXPACKMSTEN(x) ((x) << S_SLVUNEXPACKMSTEN)
36181#define F_SLVUNEXPACKMSTEN V_SLVUNEXPACKMSTEN(1U)
36182
36183#define S_SLVUNEXPNACKMSTEN 13
36184#define V_SLVUNEXPNACKMSTEN(x) ((x) << S_SLVUNEXPNACKMSTEN)
36185#define F_SLVUNEXPNACKMSTEN V_SLVUNEXPNACKMSTEN(1U)
36186
36187#define S_SLVNOBUSSTOPEN 12
36188#define V_SLVNOBUSSTOPEN(x) ((x) << S_SLVNOBUSSTOPEN)
36189#define F_SLVNOBUSSTOPEN V_SLVNOBUSSTOPEN(1U)
36190
36191#define S_SLVNOREPSTARTEN 11
36192#define V_SLVNOREPSTARTEN(x) ((x) << S_SLVNOREPSTARTEN)
36193#define F_SLVNOREPSTARTEN V_SLVNOREPSTARTEN(1U)
36194
36195#define S_SLVRXADDRINTEN 10
36196#define V_SLVRXADDRINTEN(x) ((x) << S_SLVRXADDRINTEN)
36197#define F_SLVRXADDRINTEN V_SLVRXADDRINTEN(1U)
36198
36199#define S_SLVRXPECERRINTEN 9
36200#define V_SLVRXPECERRINTEN(x) ((x) << S_SLVRXPECERRINTEN)
36201#define F_SLVRXPECERRINTEN V_SLVRXPECERRINTEN(1U)
36202
36203#define S_SLVPREPTOARPINTEN 8
36204#define V_SLVPREPTOARPINTEN(x) ((x) << S_SLVPREPTOARPINTEN)
36205#define F_SLVPREPTOARPINTEN V_SLVPREPTOARPINTEN(1U)
36206
36207#define S_SLVTIMEOUTINTEN 7
36208#define V_SLVTIMEOUTINTEN(x) ((x) << S_SLVTIMEOUTINTEN)
36209#define F_SLVTIMEOUTINTEN V_SLVTIMEOUTINTEN(1U)
36210
36211#define S_SLVERRINTEN 6
36212#define V_SLVERRINTEN(x) ((x) << S_SLVERRINTEN)
36213#define F_SLVERRINTEN V_SLVERRINTEN(1U)
36214
36215#define S_SLVDONEINTEN 5
36216#define V_SLVDONEINTEN(x) ((x) << S_SLVDONEINTEN)
36217#define F_SLVDONEINTEN V_SLVDONEINTEN(1U)
36218
36219#define S_SLVRXRDYINTEN 4
36220#define V_SLVRXRDYINTEN(x) ((x) << S_SLVRXRDYINTEN)
36221#define F_SLVRXRDYINTEN V_SLVRXRDYINTEN(1U)
36222
36223#define S_MSTTIMEOUTINTEN 3
36224#define V_MSTTIMEOUTINTEN(x) ((x) << S_MSTTIMEOUTINTEN)
36225#define F_MSTTIMEOUTINTEN V_MSTTIMEOUTINTEN(1U)
36226
36227#define S_MSTNACKINTEN 2
36228#define V_MSTNACKINTEN(x) ((x) << S_MSTNACKINTEN)
36229#define F_MSTNACKINTEN V_MSTNACKINTEN(1U)
36230
36231#define S_MSTLOSTARBINTEN 1
36232#define V_MSTLOSTARBINTEN(x) ((x) << S_MSTLOSTARBINTEN)
36233#define F_MSTLOSTARBINTEN V_MSTLOSTARBINTEN(1U)
36234
36235#define S_MSTDONEINTEN 0
36236#define V_MSTDONEINTEN(x) ((x) << S_MSTDONEINTEN)
36237#define F_MSTDONEINTEN V_MSTDONEINTEN(1U)
36238
36239#define A_SMB_INT_CAUSE 0x19090
36240
36241#define S_MSTTXFIFOPARINT 21
36242#define V_MSTTXFIFOPARINT(x) ((x) << S_MSTTXFIFOPARINT)
36243#define F_MSTTXFIFOPARINT V_MSTTXFIFOPARINT(1U)
36244
36245#define S_MSTRXFIFOPARINT 20
36246#define V_MSTRXFIFOPARINT(x) ((x) << S_MSTRXFIFOPARINT)
36247#define F_MSTRXFIFOPARINT V_MSTRXFIFOPARINT(1U)
36248
36249#define S_SLVFIFOPARINT 19
36250#define V_SLVFIFOPARINT(x) ((x) << S_SLVFIFOPARINT)
36251#define F_SLVFIFOPARINT V_SLVFIFOPARINT(1U)
36252
36253#define S_SLVUNEXPBUSSTOPINT 18
36254#define V_SLVUNEXPBUSSTOPINT(x) ((x) << S_SLVUNEXPBUSSTOPINT)
36255#define F_SLVUNEXPBUSSTOPINT V_SLVUNEXPBUSSTOPINT(1U)
36256
36257#define S_SLVUNEXPBUSSTARTINT 17
36258#define V_SLVUNEXPBUSSTARTINT(x) ((x) << S_SLVUNEXPBUSSTARTINT)
36259#define F_SLVUNEXPBUSSTARTINT V_SLVUNEXPBUSSTARTINT(1U)
36260
36261#define S_SLVCOMMANDCODEINVINT 16
36262#define V_SLVCOMMANDCODEINVINT(x) ((x) << S_SLVCOMMANDCODEINVINT)
36263#define F_SLVCOMMANDCODEINVINT V_SLVCOMMANDCODEINVINT(1U)
36264
36265#define S_SLVBYTECNTERRINT 15
36266#define V_SLVBYTECNTERRINT(x) ((x) << S_SLVBYTECNTERRINT)
36267#define F_SLVBYTECNTERRINT V_SLVBYTECNTERRINT(1U)
36268
36269#define S_SLVUNEXPACKMSTINT 14
36270#define V_SLVUNEXPACKMSTINT(x) ((x) << S_SLVUNEXPACKMSTINT)
36271#define F_SLVUNEXPACKMSTINT V_SLVUNEXPACKMSTINT(1U)
36272
36273#define S_SLVUNEXPNACKMSTINT 13
36274#define V_SLVUNEXPNACKMSTINT(x) ((x) << S_SLVUNEXPNACKMSTINT)
36275#define F_SLVUNEXPNACKMSTINT V_SLVUNEXPNACKMSTINT(1U)
36276
36277#define S_SLVNOBUSSTOPINT 12
36278#define V_SLVNOBUSSTOPINT(x) ((x) << S_SLVNOBUSSTOPINT)
36279#define F_SLVNOBUSSTOPINT V_SLVNOBUSSTOPINT(1U)
36280
36281#define S_SLVNOREPSTARTINT 11
36282#define V_SLVNOREPSTARTINT(x) ((x) << S_SLVNOREPSTARTINT)
36283#define F_SLVNOREPSTARTINT V_SLVNOREPSTARTINT(1U)
36284
36285#define S_SLVRXADDRINT 10
36286#define V_SLVRXADDRINT(x) ((x) << S_SLVRXADDRINT)
36287#define F_SLVRXADDRINT V_SLVRXADDRINT(1U)
36288
36289#define S_SLVRXPECERRINT 9
36290#define V_SLVRXPECERRINT(x) ((x) << S_SLVRXPECERRINT)
36291#define F_SLVRXPECERRINT V_SLVRXPECERRINT(1U)
36292
36293#define S_SLVPREPTOARPINT 8
36294#define V_SLVPREPTOARPINT(x) ((x) << S_SLVPREPTOARPINT)
36295#define F_SLVPREPTOARPINT V_SLVPREPTOARPINT(1U)
36296
36297#define S_SLVTIMEOUTINT 7
36298#define V_SLVTIMEOUTINT(x) ((x) << S_SLVTIMEOUTINT)
36299#define F_SLVTIMEOUTINT V_SLVTIMEOUTINT(1U)
36300
36301#define S_SLVERRINT 6
36302#define V_SLVERRINT(x) ((x) << S_SLVERRINT)
36303#define F_SLVERRINT V_SLVERRINT(1U)
36304
36305#define S_SLVDONEINT 5
36306#define V_SLVDONEINT(x) ((x) << S_SLVDONEINT)
36307#define F_SLVDONEINT V_SLVDONEINT(1U)
36308
36309#define S_SLVRXRDYINT 4
36310#define V_SLVRXRDYINT(x) ((x) << S_SLVRXRDYINT)
36311#define F_SLVRXRDYINT V_SLVRXRDYINT(1U)
36312
36313#define S_MSTTIMEOUTINT 3
36314#define V_MSTTIMEOUTINT(x) ((x) << S_MSTTIMEOUTINT)
36315#define F_MSTTIMEOUTINT V_MSTTIMEOUTINT(1U)
36316
36317#define S_MSTNACKINT 2
36318#define V_MSTNACKINT(x) ((x) << S_MSTNACKINT)
36319#define F_MSTNACKINT V_MSTNACKINT(1U)
36320
36321#define S_MSTLOSTARBINT 1
36322#define V_MSTLOSTARBINT(x) ((x) << S_MSTLOSTARBINT)
36323#define F_MSTLOSTARBINT V_MSTLOSTARBINT(1U)
36324
36325#define S_MSTDONEINT 0
36326#define V_MSTDONEINT(x) ((x) << S_MSTDONEINT)
36327#define F_MSTDONEINT V_MSTDONEINT(1U)
36328
36329#define A_SMB_DEBUG_DATA 0x19094
36330
36331#define S_DEBUGDATAH 16
36332#define M_DEBUGDATAH 0xffffU
36333#define V_DEBUGDATAH(x) ((x) << S_DEBUGDATAH)
36334#define G_DEBUGDATAH(x) (((x) >> S_DEBUGDATAH) & M_DEBUGDATAH)
36335
36336#define S_DEBUGDATAL 0
36337#define M_DEBUGDATAL 0xffffU
36338#define V_DEBUGDATAL(x) ((x) << S_DEBUGDATAL)
36339#define G_DEBUGDATAL(x) (((x) >> S_DEBUGDATAL) & M_DEBUGDATAL)
36340
36341#define A_SMB_PERR_EN 0x19098
36342
36343#define S_MSTTXFIFOPERREN 2
36344#define V_MSTTXFIFOPERREN(x) ((x) << S_MSTTXFIFOPERREN)
36345#define F_MSTTXFIFOPERREN V_MSTTXFIFOPERREN(1U)
36346
36347#define S_MSTRXFIFOPERREN 1
36348#define V_MSTRXFIFOPERREN(x) ((x) << S_MSTRXFIFOPERREN)
36349#define F_MSTRXFIFOPERREN V_MSTRXFIFOPERREN(1U)
36350
36351#define S_SLVFIFOPERREN 0
36352#define V_SLVFIFOPERREN(x) ((x) << S_SLVFIFOPERREN)
36353#define F_SLVFIFOPERREN V_SLVFIFOPERREN(1U)
36354
36355#define S_MSTTXFIFO 21
36356#define V_MSTTXFIFO(x) ((x) << S_MSTTXFIFO)
36357#define F_MSTTXFIFO V_MSTTXFIFO(1U)
36358
36359#define S_MSTRXFIFO 19
36360#define V_MSTRXFIFO(x) ((x) << S_MSTRXFIFO)
36361#define F_MSTRXFIFO V_MSTRXFIFO(1U)
36362
36363#define S_SLVFIFO 18
36364#define V_SLVFIFO(x) ((x) << S_SLVFIFO)
36365#define F_SLVFIFO V_SLVFIFO(1U)
36366
36367#define A_SMB_PERR_INJ 0x1909c
36368
36369#define S_MSTTXINJDATAERR 3
36370#define V_MSTTXINJDATAERR(x) ((x) << S_MSTTXINJDATAERR)
36371#define F_MSTTXINJDATAERR V_MSTTXINJDATAERR(1U)
36372
36373#define S_MSTRXINJDATAERR 2
36374#define V_MSTRXINJDATAERR(x) ((x) << S_MSTRXINJDATAERR)
36375#define F_MSTRXINJDATAERR V_MSTRXINJDATAERR(1U)
36376
36377#define S_SLVINJDATAERR 1
36378#define V_SLVINJDATAERR(x) ((x) << S_SLVINJDATAERR)
36379#define F_SLVINJDATAERR V_SLVINJDATAERR(1U)
36380
36381#define S_FIFOINJDATAERREN 0
36382#define V_FIFOINJDATAERREN(x) ((x) << S_FIFOINJDATAERREN)
36383#define F_FIFOINJDATAERREN V_FIFOINJDATAERREN(1U)
36384
36385#define A_SMB_SLV_ARP_CTL 0x190a0
36386
36387#define S_ARPCOMMANDCODE 2
36388#define M_ARPCOMMANDCODE 0xffU
36389#define V_ARPCOMMANDCODE(x) ((x) << S_ARPCOMMANDCODE)
36390#define G_ARPCOMMANDCODE(x) (((x) >> S_ARPCOMMANDCODE) & M_ARPCOMMANDCODE)
36391
36392#define S_ARPADDRRES 1
36393#define V_ARPADDRRES(x) ((x) << S_ARPADDRRES)
36394#define F_ARPADDRRES V_ARPADDRRES(1U)
36395
36396#define S_ARPADDRVAL 0
36397#define V_ARPADDRVAL(x) ((x) << S_ARPADDRVAL)
36398#define F_ARPADDRVAL V_ARPADDRVAL(1U)
36399
36400#define A_SMB_ARP_UDID0 0x190a4
36401#define A_SMB_ARP_UDID1 0x190a8
36402
36403#define S_SUBSYSTEMVENDORID 16
36404#define M_SUBSYSTEMVENDORID 0xffffU
36405#define V_SUBSYSTEMVENDORID(x) ((x) << S_SUBSYSTEMVENDORID)
36406#define G_SUBSYSTEMVENDORID(x) (((x) >> S_SUBSYSTEMVENDORID) & M_SUBSYSTEMVENDORID)
36407
36408#define S_SUBSYSTEMDEVICEID 0
36409#define M_SUBSYSTEMDEVICEID 0xffffU
36410#define V_SUBSYSTEMDEVICEID(x) ((x) << S_SUBSYSTEMDEVICEID)
36411#define G_SUBSYSTEMDEVICEID(x) (((x) >> S_SUBSYSTEMDEVICEID) & M_SUBSYSTEMDEVICEID)
36412
36413#define A_SMB_ARP_UDID2 0x190ac
36414
36415#define S_DEVICEID 16
36416#define M_DEVICEID 0xffffU
36417#define V_DEVICEID(x) ((x) << S_DEVICEID)
36418#define G_DEVICEID(x) (((x) >> S_DEVICEID) & M_DEVICEID)
36419
36420#define S_INTERFACE 0
36421#define M_INTERFACE 0xffffU
36422#define V_INTERFACE(x) ((x) << S_INTERFACE)
36423#define G_INTERFACE(x) (((x) >> S_INTERFACE) & M_INTERFACE)
36424
36425#define A_SMB_ARP_UDID3 0x190b0
36426
36427#define S_DEVICECAP 24
36428#define M_DEVICECAP 0xffU
36429#define V_DEVICECAP(x) ((x) << S_DEVICECAP)
36430#define G_DEVICECAP(x) (((x) >> S_DEVICECAP) & M_DEVICECAP)
36431
36432#define S_VERSIONID 16
36433#define M_VERSIONID 0xffU
36434#define V_VERSIONID(x) ((x) << S_VERSIONID)
36435#define G_VERSIONID(x) (((x) >> S_VERSIONID) & M_VERSIONID)
36436
36437#define S_VENDORID 0
36438#define M_VENDORID 0xffffU
36439#define V_VENDORID(x) ((x) << S_VENDORID)
36440#define G_VENDORID(x) (((x) >> S_VENDORID) & M_VENDORID)
36441
36442#define A_SMB_SLV_AUX_ADDR0 0x190b4
36443
36444#define S_AUXADDR0VAL 6
36445#define V_AUXADDR0VAL(x) ((x) << S_AUXADDR0VAL)
36446#define F_AUXADDR0VAL V_AUXADDR0VAL(1U)
36447
36448#define S_AUXADDR0 0
36449#define M_AUXADDR0 0x3fU
36450#define V_AUXADDR0(x) ((x) << S_AUXADDR0)
36451#define G_AUXADDR0(x) (((x) >> S_AUXADDR0) & M_AUXADDR0)
36452
36453#define A_SMB_SLV_AUX_ADDR1 0x190b8
36454
36455#define S_AUXADDR1VAL 6
36456#define V_AUXADDR1VAL(x) ((x) << S_AUXADDR1VAL)
36457#define F_AUXADDR1VAL V_AUXADDR1VAL(1U)
36458
36459#define S_AUXADDR1 0
36460#define M_AUXADDR1 0x3fU
36461#define V_AUXADDR1(x) ((x) << S_AUXADDR1)
36462#define G_AUXADDR1(x) (((x) >> S_AUXADDR1) & M_AUXADDR1)
36463
36464#define A_SMB_SLV_AUX_ADDR2 0x190bc
36465
36466#define S_AUXADDR2VAL 6
36467#define V_AUXADDR2VAL(x) ((x) << S_AUXADDR2VAL)
36468#define F_AUXADDR2VAL V_AUXADDR2VAL(1U)
36469
36470#define S_AUXADDR2 0
36471#define M_AUXADDR2 0x3fU
36472#define V_AUXADDR2(x) ((x) << S_AUXADDR2)
36473#define G_AUXADDR2(x) (((x) >> S_AUXADDR2) & M_AUXADDR2)
36474
36475#define A_SMB_SLV_AUX_ADDR3 0x190c0
36476
36477#define S_AUXADDR3VAL 6
36478#define V_AUXADDR3VAL(x) ((x) << S_AUXADDR3VAL)
36479#define F_AUXADDR3VAL V_AUXADDR3VAL(1U)
36480
36481#define S_AUXADDR3 0
36482#define M_AUXADDR3 0x3fU
36483#define V_AUXADDR3(x) ((x) << S_AUXADDR3)
36484#define G_AUXADDR3(x) (((x) >> S_AUXADDR3) & M_AUXADDR3)
36485
36486#define A_SMB_COMMAND_CODE0 0x190c4
36487
36488#define S_SMBUSCOMMANDCODE0 0
36489#define M_SMBUSCOMMANDCODE0 0xffU
36490#define V_SMBUSCOMMANDCODE0(x) ((x) << S_SMBUSCOMMANDCODE0)
36491#define G_SMBUSCOMMANDCODE0(x) (((x) >> S_SMBUSCOMMANDCODE0) & M_SMBUSCOMMANDCODE0)
36492
36493#define A_SMB_COMMAND_CODE1 0x190c8
36494
36495#define S_SMBUSCOMMANDCODE1 0
36496#define M_SMBUSCOMMANDCODE1 0xffU
36497#define V_SMBUSCOMMANDCODE1(x) ((x) << S_SMBUSCOMMANDCODE1)
36498#define G_SMBUSCOMMANDCODE1(x) (((x) >> S_SMBUSCOMMANDCODE1) & M_SMBUSCOMMANDCODE1)
36499
36500#define A_SMB_COMMAND_CODE2 0x190cc
36501
36502#define S_SMBUSCOMMANDCODE2 0
36503#define M_SMBUSCOMMANDCODE2 0xffU
36504#define V_SMBUSCOMMANDCODE2(x) ((x) << S_SMBUSCOMMANDCODE2)
36505#define G_SMBUSCOMMANDCODE2(x) (((x) >> S_SMBUSCOMMANDCODE2) & M_SMBUSCOMMANDCODE2)
36506
36507#define A_SMB_COMMAND_CODE3 0x190d0
36508
36509#define S_SMBUSCOMMANDCODE3 0
36510#define M_SMBUSCOMMANDCODE3 0xffU
36511#define V_SMBUSCOMMANDCODE3(x) ((x) << S_SMBUSCOMMANDCODE3)
36512#define G_SMBUSCOMMANDCODE3(x) (((x) >> S_SMBUSCOMMANDCODE3) & M_SMBUSCOMMANDCODE3)
36513
36514#define A_SMB_COMMAND_CODE4 0x190d4
36515
36516#define S_SMBUSCOMMANDCODE4 0
36517#define M_SMBUSCOMMANDCODE4 0xffU
36518#define V_SMBUSCOMMANDCODE4(x) ((x) << S_SMBUSCOMMANDCODE4)
36519#define G_SMBUSCOMMANDCODE4(x) (((x) >> S_SMBUSCOMMANDCODE4) & M_SMBUSCOMMANDCODE4)
36520
36521#define A_SMB_COMMAND_CODE5 0x190d8
36522
36523#define S_SMBUSCOMMANDCODE5 0
36524#define M_SMBUSCOMMANDCODE5 0xffU
36525#define V_SMBUSCOMMANDCODE5(x) ((x) << S_SMBUSCOMMANDCODE5)
36526#define G_SMBUSCOMMANDCODE5(x) (((x) >> S_SMBUSCOMMANDCODE5) & M_SMBUSCOMMANDCODE5)
36527
36528#define A_SMB_COMMAND_CODE6 0x190dc
36529
36530#define S_SMBUSCOMMANDCODE6 0
36531#define M_SMBUSCOMMANDCODE6 0xffU
36532#define V_SMBUSCOMMANDCODE6(x) ((x) << S_SMBUSCOMMANDCODE6)
36533#define G_SMBUSCOMMANDCODE6(x) (((x) >> S_SMBUSCOMMANDCODE6) & M_SMBUSCOMMANDCODE6)
36534
36535#define A_SMB_COMMAND_CODE7 0x190e0
36536
36537#define S_SMBUSCOMMANDCODE7 0
36538#define M_SMBUSCOMMANDCODE7 0xffU
36539#define V_SMBUSCOMMANDCODE7(x) ((x) << S_SMBUSCOMMANDCODE7)
36540#define G_SMBUSCOMMANDCODE7(x) (((x) >> S_SMBUSCOMMANDCODE7) & M_SMBUSCOMMANDCODE7)
36541
36542#define A_SMB_MICRO_CNT_CLK_CFG 0x190e4
36543
36544#define S_MACROCNTCLKCFG 8
36545#define M_MACROCNTCLKCFG 0x1fU
36546#define V_MACROCNTCLKCFG(x) ((x) << S_MACROCNTCLKCFG)
36547#define G_MACROCNTCLKCFG(x) (((x) >> S_MACROCNTCLKCFG) & M_MACROCNTCLKCFG)
36548
36549#define S_MICROCNTCLKCFG 0
36550#define M_MICROCNTCLKCFG 0xffU
36551#define V_MICROCNTCLKCFG(x) ((x) << S_MICROCNTCLKCFG)
36552#define G_MICROCNTCLKCFG(x) (((x) >> S_MICROCNTCLKCFG) & M_MICROCNTCLKCFG)
36553
36554#define A_SMB_CTL_STATUS 0x190e8
36555
36556#define S_MSTBUSBUSY 2
36557#define V_MSTBUSBUSY(x) ((x) << S_MSTBUSBUSY)
36558#define F_MSTBUSBUSY V_MSTBUSBUSY(1U)
36559
36560#define S_SLVBUSBUSY 1
36561#define V_SLVBUSBUSY(x) ((x) << S_SLVBUSBUSY)
36562#define F_SLVBUSBUSY V_SLVBUSBUSY(1U)
36563
36564#define S_BUSBUSY 0
36565#define V_BUSBUSY(x) ((x) << S_BUSBUSY)
36566#define F_BUSBUSY V_BUSBUSY(1U)
36567
36568/* registers for module I2CM */
36569#define I2CM_BASE_ADDR 0x190f0
36570
36571#define A_I2CM_CFG 0x190f0
36572
36573#define S_I2C_CLKDIV 0
36574#define M_I2C_CLKDIV 0xfffU
36575#define V_I2C_CLKDIV(x) ((x) << S_I2C_CLKDIV)
36576#define G_I2C_CLKDIV(x) (((x) >> S_I2C_CLKDIV) & M_I2C_CLKDIV)
36577
36578#define S_I2C_CLKDIV16B 0
36579#define M_I2C_CLKDIV16B 0xffffU
36580#define V_I2C_CLKDIV16B(x) ((x) << S_I2C_CLKDIV16B)
36581#define G_I2C_CLKDIV16B(x) (((x) >> S_I2C_CLKDIV16B) & M_I2C_CLKDIV16B)
36582
36583#define A_I2CM_DATA 0x190f4
36584
36585#define S_I2C_DATA 0
36586#define M_I2C_DATA 0xffU
36587#define V_I2C_DATA(x) ((x) << S_I2C_DATA)
36588#define G_I2C_DATA(x) (((x) >> S_I2C_DATA) & M_I2C_DATA)
36589
36590#define A_I2CM_OP 0x190f8
36591
36592#define S_I2C_ACK 30
36593#define V_I2C_ACK(x) ((x) << S_I2C_ACK)
36594#define F_I2C_ACK V_I2C_ACK(1U)
36595
36596#define S_I2C_CONT 1
36597#define V_I2C_CONT(x) ((x) << S_I2C_CONT)
36598#define F_I2C_CONT V_I2C_CONT(1U)
36599
36600#define S_OP 0
36601#define V_OP(x) ((x) << S_OP)
36602#define F_OP V_OP(1U)
36603
36604/* registers for module MI */
36605#define MI_BASE_ADDR 0x19100
36606
36607#define A_MI_CFG 0x19100
36608
36609#define S_T4_ST 14
36610#define V_T4_ST(x) ((x) << S_T4_ST)
36611#define F_T4_ST V_T4_ST(1U)
36612
36613#define S_CLKDIV 5
36614#define M_CLKDIV 0xffU
36615#define V_CLKDIV(x) ((x) << S_CLKDIV)
36616#define G_CLKDIV(x) (((x) >> S_CLKDIV) & M_CLKDIV)
36617
36618#define S_ST 3
36619#define M_ST 0x3U
36620#define V_ST(x) ((x) << S_ST)
36621#define G_ST(x) (((x) >> S_ST) & M_ST)
36622
36623#define S_PREEN 2
36624#define V_PREEN(x) ((x) << S_PREEN)
36625#define F_PREEN V_PREEN(1U)
36626
36627#define S_MDIINV 1
36628#define V_MDIINV(x) ((x) << S_MDIINV)
36629#define F_MDIINV V_MDIINV(1U)
36630
36631#define S_MDIO_1P2V_SEL 0
36632#define V_MDIO_1P2V_SEL(x) ((x) << S_MDIO_1P2V_SEL)
36633#define F_MDIO_1P2V_SEL V_MDIO_1P2V_SEL(1U)
36634
36635#define A_MI_ADDR 0x19104
36636
36637#define S_PHYADDR 5
36638#define M_PHYADDR 0x1fU
36639#define V_PHYADDR(x) ((x) << S_PHYADDR)
36640#define G_PHYADDR(x) (((x) >> S_PHYADDR) & M_PHYADDR)
36641
36642#define S_REGADDR 0
36643#define M_REGADDR 0x1fU
36644#define V_REGADDR(x) ((x) << S_REGADDR)
36645#define G_REGADDR(x) (((x) >> S_REGADDR) & M_REGADDR)
36646
36647#define A_MI_DATA 0x19108
36648
36649#define S_MDIDATA 0
36650#define M_MDIDATA 0xffffU
36651#define V_MDIDATA(x) ((x) << S_MDIDATA)
36652#define G_MDIDATA(x) (((x) >> S_MDIDATA) & M_MDIDATA)
36653
36654#define A_MI_OP 0x1910c
36655
36656#define S_INC 2
36657#define V_INC(x) ((x) << S_INC)
36658#define F_INC V_INC(1U)
36659
36660#define S_MDIOP 0
36661#define M_MDIOP 0x3U
36662#define V_MDIOP(x) ((x) << S_MDIOP)
36663#define G_MDIOP(x) (((x) >> S_MDIOP) & M_MDIOP)
36664
36665/* registers for module UART */
36666#define UART_BASE_ADDR 0x19110
36667
36668#define A_UART_CONFIG 0x19110
36669
36670#define S_STOPBITS 22
36671#define M_STOPBITS 0x3U
36672#define V_STOPBITS(x) ((x) << S_STOPBITS)
36673#define G_STOPBITS(x) (((x) >> S_STOPBITS) & M_STOPBITS)
36674
36675#define S_PARITY 20
36676#define M_PARITY 0x3U
36677#define V_PARITY(x) ((x) << S_PARITY)
36678#define G_PARITY(x) (((x) >> S_PARITY) & M_PARITY)
36679
36680#define S_DATABITS 16
36681#define M_DATABITS 0xfU
36682#define V_DATABITS(x) ((x) << S_DATABITS)
36683#define G_DATABITS(x) (((x) >> S_DATABITS) & M_DATABITS)
36684
36685#define S_UART_CLKDIV 0
36686#define M_UART_CLKDIV 0xfffU
36687#define V_UART_CLKDIV(x) ((x) << S_UART_CLKDIV)
36688#define G_UART_CLKDIV(x) (((x) >> S_UART_CLKDIV) & M_UART_CLKDIV)
36689
36690/* registers for module PMU */
36691#define PMU_BASE_ADDR 0x19120
36692
36693#define A_PMU_PART_CG_PWRMODE 0x19120
36694
36695#define S_TPPARTCGEN 14
36696#define V_TPPARTCGEN(x) ((x) << S_TPPARTCGEN)
36697#define F_TPPARTCGEN V_TPPARTCGEN(1U)
36698
36699#define S_PDPPARTCGEN 13
36700#define V_PDPPARTCGEN(x) ((x) << S_PDPPARTCGEN)
36701#define F_PDPPARTCGEN V_PDPPARTCGEN(1U)
36702
36703#define S_PCIEPARTCGEN 12
36704#define V_PCIEPARTCGEN(x) ((x) << S_PCIEPARTCGEN)
36705#define F_PCIEPARTCGEN V_PCIEPARTCGEN(1U)
36706
36707#define S_EDC1PARTCGEN 11
36708#define V_EDC1PARTCGEN(x) ((x) << S_EDC1PARTCGEN)
36709#define F_EDC1PARTCGEN V_EDC1PARTCGEN(1U)
36710
36711#define S_MCPARTCGEN 10
36712#define V_MCPARTCGEN(x) ((x) << S_MCPARTCGEN)
36713#define F_MCPARTCGEN V_MCPARTCGEN(1U)
36714
36715#define S_EDC0PARTCGEN 9
36716#define V_EDC0PARTCGEN(x) ((x) << S_EDC0PARTCGEN)
36717#define F_EDC0PARTCGEN V_EDC0PARTCGEN(1U)
36718
36719#define S_LEPARTCGEN 8
36720#define V_LEPARTCGEN(x) ((x) << S_LEPARTCGEN)
36721#define F_LEPARTCGEN V_LEPARTCGEN(1U)
36722
36723#define S_INITPOWERMODE 0
36724#define M_INITPOWERMODE 0x3U
36725#define V_INITPOWERMODE(x) ((x) << S_INITPOWERMODE)
36726#define G_INITPOWERMODE(x) (((x) >> S_INITPOWERMODE) & M_INITPOWERMODE)
36727
36728#define S_SGE_PART_CGEN 19
36729#define V_SGE_PART_CGEN(x) ((x) << S_SGE_PART_CGEN)
36730#define F_SGE_PART_CGEN V_SGE_PART_CGEN(1U)
36731
36732#define S_PDP_PART_CGEN 18
36733#define V_PDP_PART_CGEN(x) ((x) << S_PDP_PART_CGEN)
36734#define F_PDP_PART_CGEN V_PDP_PART_CGEN(1U)
36735
36736#define S_TP_PART_CGEN 17
36737#define V_TP_PART_CGEN(x) ((x) << S_TP_PART_CGEN)
36738#define F_TP_PART_CGEN V_TP_PART_CGEN(1U)
36739
36740#define S_EDC0_PART_CGEN 16
36741#define V_EDC0_PART_CGEN(x) ((x) << S_EDC0_PART_CGEN)
36742#define F_EDC0_PART_CGEN V_EDC0_PART_CGEN(1U)
36743
36744#define S_EDC1_PART_CGEN 15
36745#define V_EDC1_PART_CGEN(x) ((x) << S_EDC1_PART_CGEN)
36746#define F_EDC1_PART_CGEN V_EDC1_PART_CGEN(1U)
36747
36748#define S_LE_PART_CGEN 14
36749#define V_LE_PART_CGEN(x) ((x) << S_LE_PART_CGEN)
36750#define F_LE_PART_CGEN V_LE_PART_CGEN(1U)
36751
36752#define S_MA_PART_CGEN 13
36753#define V_MA_PART_CGEN(x) ((x) << S_MA_PART_CGEN)
36754#define F_MA_PART_CGEN V_MA_PART_CGEN(1U)
36755
36756#define S_MC0_PART_CGEN 12
36757#define V_MC0_PART_CGEN(x) ((x) << S_MC0_PART_CGEN)
36758#define F_MC0_PART_CGEN V_MC0_PART_CGEN(1U)
36759
36760#define S_MC1_PART_CGEN 11
36761#define V_MC1_PART_CGEN(x) ((x) << S_MC1_PART_CGEN)
36762#define F_MC1_PART_CGEN V_MC1_PART_CGEN(1U)
36763
36764#define S_PCIE_PART_CGEN 10
36765#define V_PCIE_PART_CGEN(x) ((x) << S_PCIE_PART_CGEN)
36766#define F_PCIE_PART_CGEN V_PCIE_PART_CGEN(1U)
36767
36768#define S_PL_DIS_PRTY_CHK 20
36769#define V_PL_DIS_PRTY_CHK(x) ((x) << S_PL_DIS_PRTY_CHK)
36770#define F_PL_DIS_PRTY_CHK V_PL_DIS_PRTY_CHK(1U)
36771
36772#define A_PMU_SLEEPMODE_WAKEUP 0x19124
36773
36774#define S_HWWAKEUPEN 5
36775#define V_HWWAKEUPEN(x) ((x) << S_HWWAKEUPEN)
36776#define F_HWWAKEUPEN V_HWWAKEUPEN(1U)
36777
36778#define S_PORT3SLEEPMODE 4
36779#define V_PORT3SLEEPMODE(x) ((x) << S_PORT3SLEEPMODE)
36780#define F_PORT3SLEEPMODE V_PORT3SLEEPMODE(1U)
36781
36782#define S_PORT2SLEEPMODE 3
36783#define V_PORT2SLEEPMODE(x) ((x) << S_PORT2SLEEPMODE)
36784#define F_PORT2SLEEPMODE V_PORT2SLEEPMODE(1U)
36785
36786#define S_PORT1SLEEPMODE 2
36787#define V_PORT1SLEEPMODE(x) ((x) << S_PORT1SLEEPMODE)
36788#define F_PORT1SLEEPMODE V_PORT1SLEEPMODE(1U)
36789
36790#define S_PORT0SLEEPMODE 1
36791#define V_PORT0SLEEPMODE(x) ((x) << S_PORT0SLEEPMODE)
36792#define F_PORT0SLEEPMODE V_PORT0SLEEPMODE(1U)
36793
36794#define S_WAKEUP 0
36795#define V_WAKEUP(x) ((x) << S_WAKEUP)
36796#define F_WAKEUP V_WAKEUP(1U)
36797
36798#define S_GLOBALDEEPSLEEPEN 6
36799#define V_GLOBALDEEPSLEEPEN(x) ((x) << S_GLOBALDEEPSLEEPEN)
36800#define F_GLOBALDEEPSLEEPEN V_GLOBALDEEPSLEEPEN(1U)
36801
36802/* registers for module ULP_RX */
36803#define ULP_RX_BASE_ADDR 0x19150
36804
36805#define A_ULP_RX_CTL 0x19150
36806
36807#define S_PCMD1THRESHOLD 24
36808#define M_PCMD1THRESHOLD 0xffU
36809#define V_PCMD1THRESHOLD(x) ((x) << S_PCMD1THRESHOLD)
36810#define G_PCMD1THRESHOLD(x) (((x) >> S_PCMD1THRESHOLD) & M_PCMD1THRESHOLD)
36811
36812#define S_PCMD0THRESHOLD 16
36813#define M_PCMD0THRESHOLD 0xffU
36814#define V_PCMD0THRESHOLD(x) ((x) << S_PCMD0THRESHOLD)
36815#define G_PCMD0THRESHOLD(x) (((x) >> S_PCMD0THRESHOLD) & M_PCMD0THRESHOLD)
36816
36817#define S_DISABLE_0B_STAG_ERR 14
36818#define V_DISABLE_0B_STAG_ERR(x) ((x) << S_DISABLE_0B_STAG_ERR)
36819#define F_DISABLE_0B_STAG_ERR V_DISABLE_0B_STAG_ERR(1U)
36820
36821#define S_RDMA_0B_WR_OPCODE 10
36822#define M_RDMA_0B_WR_OPCODE 0xfU
36823#define V_RDMA_0B_WR_OPCODE(x) ((x) << S_RDMA_0B_WR_OPCODE)
36824#define G_RDMA_0B_WR_OPCODE(x) (((x) >> S_RDMA_0B_WR_OPCODE) & M_RDMA_0B_WR_OPCODE)
36825
36826#define S_RDMA_0B_WR_PASS 9
36827#define V_RDMA_0B_WR_PASS(x) ((x) << S_RDMA_0B_WR_PASS)
36828#define F_RDMA_0B_WR_PASS V_RDMA_0B_WR_PASS(1U)
36829
36830#define S_STAG_RQE 8
36831#define V_STAG_RQE(x) ((x) << S_STAG_RQE)
36832#define F_STAG_RQE V_STAG_RQE(1U)
36833
36834#define S_RDMA_STATE_EN 7
36835#define V_RDMA_STATE_EN(x) ((x) << S_RDMA_STATE_EN)
36836#define F_RDMA_STATE_EN V_RDMA_STATE_EN(1U)
36837
36838#define S_CRC1_EN 6
36839#define V_CRC1_EN(x) ((x) << S_CRC1_EN)
36840#define F_CRC1_EN V_CRC1_EN(1U)
36841
36842#define S_RDMA_0B_WR_CQE 5
36843#define V_RDMA_0B_WR_CQE(x) ((x) << S_RDMA_0B_WR_CQE)
36844#define F_RDMA_0B_WR_CQE V_RDMA_0B_WR_CQE(1U)
36845
36846#define S_PCIE_ATRB_EN 4
36847#define V_PCIE_ATRB_EN(x) ((x) << S_PCIE_ATRB_EN)
36848#define F_PCIE_ATRB_EN V_PCIE_ATRB_EN(1U)
36849
36850#define S_RDMA_PERMISSIVE_MODE 3
36851#define V_RDMA_PERMISSIVE_MODE(x) ((x) << S_RDMA_PERMISSIVE_MODE)
36852#define F_RDMA_PERMISSIVE_MODE V_RDMA_PERMISSIVE_MODE(1U)
36853
36854#define S_PAGEPODME 2
36855#define V_PAGEPODME(x) ((x) << S_PAGEPODME)
36856#define F_PAGEPODME V_PAGEPODME(1U)
36857
36858#define S_ISCSITAGTCB 1
36859#define V_ISCSITAGTCB(x) ((x) << S_ISCSITAGTCB)
36860#define F_ISCSITAGTCB V_ISCSITAGTCB(1U)
36861
36862#define S_TDDPTAGTCB 0
36863#define V_TDDPTAGTCB(x) ((x) << S_TDDPTAGTCB)
36864#define F_TDDPTAGTCB V_TDDPTAGTCB(1U)
36865
36866#define A_ULP_RX_INT_ENABLE 0x19154
36867
36868#define S_ENABLE_CTX_1 24
36869#define V_ENABLE_CTX_1(x) ((x) << S_ENABLE_CTX_1)
36870#define F_ENABLE_CTX_1 V_ENABLE_CTX_1(1U)
36871
36872#define S_ENABLE_CTX_0 23
36873#define V_ENABLE_CTX_0(x) ((x) << S_ENABLE_CTX_0)
36874#define F_ENABLE_CTX_0 V_ENABLE_CTX_0(1U)
36875
36876#define S_ENABLE_FF 22
36877#define V_ENABLE_FF(x) ((x) << S_ENABLE_FF)
36878#define F_ENABLE_FF V_ENABLE_FF(1U)
36879
36880#define S_ENABLE_APF_1 21
36881#define V_ENABLE_APF_1(x) ((x) << S_ENABLE_APF_1)
36882#define F_ENABLE_APF_1 V_ENABLE_APF_1(1U)
36883
36884#define S_ENABLE_APF_0 20
36885#define V_ENABLE_APF_0(x) ((x) << S_ENABLE_APF_0)
36886#define F_ENABLE_APF_0 V_ENABLE_APF_0(1U)
36887
36888#define S_ENABLE_AF_1 19
36889#define V_ENABLE_AF_1(x) ((x) << S_ENABLE_AF_1)
36890#define F_ENABLE_AF_1 V_ENABLE_AF_1(1U)
36891
36892#define S_ENABLE_AF_0 18
36893#define V_ENABLE_AF_0(x) ((x) << S_ENABLE_AF_0)
36894#define F_ENABLE_AF_0 V_ENABLE_AF_0(1U)
36895
36896#define S_ENABLE_DDPDF_1 17
36897#define V_ENABLE_DDPDF_1(x) ((x) << S_ENABLE_DDPDF_1)
36898#define F_ENABLE_DDPDF_1 V_ENABLE_DDPDF_1(1U)
36899
36900#define S_ENABLE_DDPMF_1 16
36901#define V_ENABLE_DDPMF_1(x) ((x) << S_ENABLE_DDPMF_1)
36902#define F_ENABLE_DDPMF_1 V_ENABLE_DDPMF_1(1U)
36903
36904#define S_ENABLE_MEMRF_1 15
36905#define V_ENABLE_MEMRF_1(x) ((x) << S_ENABLE_MEMRF_1)
36906#define F_ENABLE_MEMRF_1 V_ENABLE_MEMRF_1(1U)
36907
36908#define S_ENABLE_PRSDF_1 14
36909#define V_ENABLE_PRSDF_1(x) ((x) << S_ENABLE_PRSDF_1)
36910#define F_ENABLE_PRSDF_1 V_ENABLE_PRSDF_1(1U)
36911
36912#define S_ENABLE_DDPDF_0 13
36913#define V_ENABLE_DDPDF_0(x) ((x) << S_ENABLE_DDPDF_0)
36914#define F_ENABLE_DDPDF_0 V_ENABLE_DDPDF_0(1U)
36915
36916#define S_ENABLE_DDPMF_0 12
36917#define V_ENABLE_DDPMF_0(x) ((x) << S_ENABLE_DDPMF_0)
36918#define F_ENABLE_DDPMF_0 V_ENABLE_DDPMF_0(1U)
36919
36920#define S_ENABLE_MEMRF_0 11
36921#define V_ENABLE_MEMRF_0(x) ((x) << S_ENABLE_MEMRF_0)
36922#define F_ENABLE_MEMRF_0 V_ENABLE_MEMRF_0(1U)
36923
36924#define S_ENABLE_PRSDF_0 10
36925#define V_ENABLE_PRSDF_0(x) ((x) << S_ENABLE_PRSDF_0)
36926#define F_ENABLE_PRSDF_0 V_ENABLE_PRSDF_0(1U)
36927
36928#define S_ENABLE_PCMDF_1 9
36929#define V_ENABLE_PCMDF_1(x) ((x) << S_ENABLE_PCMDF_1)
36930#define F_ENABLE_PCMDF_1 V_ENABLE_PCMDF_1(1U)
36931
36932#define S_ENABLE_TPTCF_1 8
36933#define V_ENABLE_TPTCF_1(x) ((x) << S_ENABLE_TPTCF_1)
36934#define F_ENABLE_TPTCF_1 V_ENABLE_TPTCF_1(1U)
36935
36936#define S_ENABLE_DDPCF_1 7
36937#define V_ENABLE_DDPCF_1(x) ((x) << S_ENABLE_DDPCF_1)
36938#define F_ENABLE_DDPCF_1 V_ENABLE_DDPCF_1(1U)
36939
36940#define S_ENABLE_MPARF_1 6
36941#define V_ENABLE_MPARF_1(x) ((x) << S_ENABLE_MPARF_1)
36942#define F_ENABLE_MPARF_1 V_ENABLE_MPARF_1(1U)
36943
36944#define S_ENABLE_MPARC_1 5
36945#define V_ENABLE_MPARC_1(x) ((x) << S_ENABLE_MPARC_1)
36946#define F_ENABLE_MPARC_1 V_ENABLE_MPARC_1(1U)
36947
36948#define S_ENABLE_PCMDF_0 4
36949#define V_ENABLE_PCMDF_0(x) ((x) << S_ENABLE_PCMDF_0)
36950#define F_ENABLE_PCMDF_0 V_ENABLE_PCMDF_0(1U)
36951
36952#define S_ENABLE_TPTCF_0 3
36953#define V_ENABLE_TPTCF_0(x) ((x) << S_ENABLE_TPTCF_0)
36954#define F_ENABLE_TPTCF_0 V_ENABLE_TPTCF_0(1U)
36955
36956#define S_ENABLE_DDPCF_0 2
36957#define V_ENABLE_DDPCF_0(x) ((x) << S_ENABLE_DDPCF_0)
36958#define F_ENABLE_DDPCF_0 V_ENABLE_DDPCF_0(1U)
36959
36960#define S_ENABLE_MPARF_0 1
36961#define V_ENABLE_MPARF_0(x) ((x) << S_ENABLE_MPARF_0)
36962#define F_ENABLE_MPARF_0 V_ENABLE_MPARF_0(1U)
36963
36964#define S_ENABLE_MPARC_0 0
36965#define V_ENABLE_MPARC_0(x) ((x) << S_ENABLE_MPARC_0)
36966#define F_ENABLE_MPARC_0 V_ENABLE_MPARC_0(1U)
36967
36968#define S_SE_CNT_MISMATCH_1 26
36969#define V_SE_CNT_MISMATCH_1(x) ((x) << S_SE_CNT_MISMATCH_1)
36970#define F_SE_CNT_MISMATCH_1 V_SE_CNT_MISMATCH_1(1U)
36971
36972#define S_SE_CNT_MISMATCH_0 25
36973#define V_SE_CNT_MISMATCH_0(x) ((x) << S_SE_CNT_MISMATCH_0)
36974#define F_SE_CNT_MISMATCH_0 V_SE_CNT_MISMATCH_0(1U)
36975
36976#define A_ULP_RX_INT_CAUSE 0x19158
36977
36978#define S_CAUSE_CTX_1 24
36979#define V_CAUSE_CTX_1(x) ((x) << S_CAUSE_CTX_1)
36980#define F_CAUSE_CTX_1 V_CAUSE_CTX_1(1U)
36981
36982#define S_CAUSE_CTX_0 23
36983#define V_CAUSE_CTX_0(x) ((x) << S_CAUSE_CTX_0)
36984#define F_CAUSE_CTX_0 V_CAUSE_CTX_0(1U)
36985
36986#define S_CAUSE_FF 22
36987#define V_CAUSE_FF(x) ((x) << S_CAUSE_FF)
36988#define F_CAUSE_FF V_CAUSE_FF(1U)
36989
36990#define S_CAUSE_APF_1 21
36991#define V_CAUSE_APF_1(x) ((x) << S_CAUSE_APF_1)
36992#define F_CAUSE_APF_1 V_CAUSE_APF_1(1U)
36993
36994#define S_CAUSE_APF_0 20
36995#define V_CAUSE_APF_0(x) ((x) << S_CAUSE_APF_0)
36996#define F_CAUSE_APF_0 V_CAUSE_APF_0(1U)
36997
36998#define S_CAUSE_AF_1 19
36999#define V_CAUSE_AF_1(x) ((x) << S_CAUSE_AF_1)
37000#define F_CAUSE_AF_1 V_CAUSE_AF_1(1U)
37001
37002#define S_CAUSE_AF_0 18
37003#define V_CAUSE_AF_0(x) ((x) << S_CAUSE_AF_0)
37004#define F_CAUSE_AF_0 V_CAUSE_AF_0(1U)
37005
37006#define S_CAUSE_DDPDF_1 17
37007#define V_CAUSE_DDPDF_1(x) ((x) << S_CAUSE_DDPDF_1)
37008#define F_CAUSE_DDPDF_1 V_CAUSE_DDPDF_1(1U)
37009
37010#define S_CAUSE_DDPMF_1 16
37011#define V_CAUSE_DDPMF_1(x) ((x) << S_CAUSE_DDPMF_1)
37012#define F_CAUSE_DDPMF_1 V_CAUSE_DDPMF_1(1U)
37013
37014#define S_CAUSE_MEMRF_1 15
37015#define V_CAUSE_MEMRF_1(x) ((x) << S_CAUSE_MEMRF_1)
37016#define F_CAUSE_MEMRF_1 V_CAUSE_MEMRF_1(1U)
37017
37018#define S_CAUSE_PRSDF_1 14
37019#define V_CAUSE_PRSDF_1(x) ((x) << S_CAUSE_PRSDF_1)
37020#define F_CAUSE_PRSDF_1 V_CAUSE_PRSDF_1(1U)
37021
37022#define S_CAUSE_DDPDF_0 13
37023#define V_CAUSE_DDPDF_0(x) ((x) << S_CAUSE_DDPDF_0)
37024#define F_CAUSE_DDPDF_0 V_CAUSE_DDPDF_0(1U)
37025
37026#define S_CAUSE_DDPMF_0 12
37027#define V_CAUSE_DDPMF_0(x) ((x) << S_CAUSE_DDPMF_0)
37028#define F_CAUSE_DDPMF_0 V_CAUSE_DDPMF_0(1U)
37029
37030#define S_CAUSE_MEMRF_0 11
37031#define V_CAUSE_MEMRF_0(x) ((x) << S_CAUSE_MEMRF_0)
37032#define F_CAUSE_MEMRF_0 V_CAUSE_MEMRF_0(1U)
37033
37034#define S_CAUSE_PRSDF_0 10
37035#define V_CAUSE_PRSDF_0(x) ((x) << S_CAUSE_PRSDF_0)
37036#define F_CAUSE_PRSDF_0 V_CAUSE_PRSDF_0(1U)
37037
37038#define S_CAUSE_PCMDF_1 9
37039#define V_CAUSE_PCMDF_1(x) ((x) << S_CAUSE_PCMDF_1)
37040#define F_CAUSE_PCMDF_1 V_CAUSE_PCMDF_1(1U)
37041
37042#define S_CAUSE_TPTCF_1 8
37043#define V_CAUSE_TPTCF_1(x) ((x) << S_CAUSE_TPTCF_1)
37044#define F_CAUSE_TPTCF_1 V_CAUSE_TPTCF_1(1U)
37045
37046#define S_CAUSE_DDPCF_1 7
37047#define V_CAUSE_DDPCF_1(x) ((x) << S_CAUSE_DDPCF_1)
37048#define F_CAUSE_DDPCF_1 V_CAUSE_DDPCF_1(1U)
37049
37050#define S_CAUSE_MPARF_1 6
37051#define V_CAUSE_MPARF_1(x) ((x) << S_CAUSE_MPARF_1)
37052#define F_CAUSE_MPARF_1 V_CAUSE_MPARF_1(1U)
37053
37054#define S_CAUSE_MPARC_1 5
37055#define V_CAUSE_MPARC_1(x) ((x) << S_CAUSE_MPARC_1)
37056#define F_CAUSE_MPARC_1 V_CAUSE_MPARC_1(1U)
37057
37058#define S_CAUSE_PCMDF_0 4
37059#define V_CAUSE_PCMDF_0(x) ((x) << S_CAUSE_PCMDF_0)
37060#define F_CAUSE_PCMDF_0 V_CAUSE_PCMDF_0(1U)
37061
37062#define S_CAUSE_TPTCF_0 3
37063#define V_CAUSE_TPTCF_0(x) ((x) << S_CAUSE_TPTCF_0)
37064#define F_CAUSE_TPTCF_0 V_CAUSE_TPTCF_0(1U)
37065
37066#define S_CAUSE_DDPCF_0 2
37067#define V_CAUSE_DDPCF_0(x) ((x) << S_CAUSE_DDPCF_0)
37068#define F_CAUSE_DDPCF_0 V_CAUSE_DDPCF_0(1U)
37069
37070#define S_CAUSE_MPARF_0 1
37071#define V_CAUSE_MPARF_0(x) ((x) << S_CAUSE_MPARF_0)
37072#define F_CAUSE_MPARF_0 V_CAUSE_MPARF_0(1U)
37073
37074#define S_CAUSE_MPARC_0 0
37075#define V_CAUSE_MPARC_0(x) ((x) << S_CAUSE_MPARC_0)
37076#define F_CAUSE_MPARC_0 V_CAUSE_MPARC_0(1U)
37077
37078#define A_ULP_RX_ISCSI_LLIMIT 0x1915c
37079
37080#define S_ISCSILLIMIT 6
37081#define M_ISCSILLIMIT 0x3ffffffU
37082#define V_ISCSILLIMIT(x) ((x) << S_ISCSILLIMIT)
37083#define G_ISCSILLIMIT(x) (((x) >> S_ISCSILLIMIT) & M_ISCSILLIMIT)
37084
37085#define A_ULP_RX_ISCSI_ULIMIT 0x19160
37086
37087#define S_ISCSIULIMIT 6
37088#define M_ISCSIULIMIT 0x3ffffffU
37089#define V_ISCSIULIMIT(x) ((x) << S_ISCSIULIMIT)
37090#define G_ISCSIULIMIT(x) (((x) >> S_ISCSIULIMIT) & M_ISCSIULIMIT)
37091
37092#define A_ULP_RX_ISCSI_TAGMASK 0x19164
37093
37094#define S_ISCSITAGMASK 6
37095#define M_ISCSITAGMASK 0x3ffffffU
37096#define V_ISCSITAGMASK(x) ((x) << S_ISCSITAGMASK)
37097#define G_ISCSITAGMASK(x) (((x) >> S_ISCSITAGMASK) & M_ISCSITAGMASK)
37098
37099#define A_ULP_RX_ISCSI_PSZ 0x19168
37100
37101#define S_HPZ3 24
37102#define M_HPZ3 0xfU
37103#define V_HPZ3(x) ((x) << S_HPZ3)
37104#define G_HPZ3(x) (((x) >> S_HPZ3) & M_HPZ3)
37105
37106#define S_HPZ2 16
37107#define M_HPZ2 0xfU
37108#define V_HPZ2(x) ((x) << S_HPZ2)
37109#define G_HPZ2(x) (((x) >> S_HPZ2) & M_HPZ2)
37110
37111#define S_HPZ1 8
37112#define M_HPZ1 0xfU
37113#define V_HPZ1(x) ((x) << S_HPZ1)
37114#define G_HPZ1(x) (((x) >> S_HPZ1) & M_HPZ1)
37115
37116#define S_HPZ0 0
37117#define M_HPZ0 0xfU
37118#define V_HPZ0(x) ((x) << S_HPZ0)
37119#define G_HPZ0(x) (((x) >> S_HPZ0) & M_HPZ0)
37120
37121#define A_ULP_RX_TDDP_LLIMIT 0x1916c
37122
37123#define S_TDDPLLIMIT 6
37124#define M_TDDPLLIMIT 0x3ffffffU
37125#define V_TDDPLLIMIT(x) ((x) << S_TDDPLLIMIT)
37126#define G_TDDPLLIMIT(x) (((x) >> S_TDDPLLIMIT) & M_TDDPLLIMIT)
37127
37128#define A_ULP_RX_TDDP_ULIMIT 0x19170
37129
37130#define S_TDDPULIMIT 6
37131#define M_TDDPULIMIT 0x3ffffffU
37132#define V_TDDPULIMIT(x) ((x) << S_TDDPULIMIT)
37133#define G_TDDPULIMIT(x) (((x) >> S_TDDPULIMIT) & M_TDDPULIMIT)
37134
37135#define A_ULP_RX_TDDP_TAGMASK 0x19174
37136
37137#define S_TDDPTAGMASK 6
37138#define M_TDDPTAGMASK 0x3ffffffU
37139#define V_TDDPTAGMASK(x) ((x) << S_TDDPTAGMASK)
37140#define G_TDDPTAGMASK(x) (((x) >> S_TDDPTAGMASK) & M_TDDPTAGMASK)
37141
37142#define A_ULP_RX_TDDP_PSZ 0x19178
37143#define A_ULP_RX_STAG_LLIMIT 0x1917c
37144#define A_ULP_RX_STAG_ULIMIT 0x19180
37145#define A_ULP_RX_RQ_LLIMIT 0x19184
37146#define A_ULP_RX_RQ_ULIMIT 0x19188
37147#define A_ULP_RX_PBL_LLIMIT 0x1918c
37148#define A_ULP_RX_PBL_ULIMIT 0x19190
37149#define A_ULP_RX_CTX_BASE 0x19194
37150#define A_ULP_RX_PERR_ENABLE 0x1919c
37151
37152#define S_PERR_ENABLE_FF 22
37153#define V_PERR_ENABLE_FF(x) ((x) << S_PERR_ENABLE_FF)
37154#define F_PERR_ENABLE_FF V_PERR_ENABLE_FF(1U)
37155
37156#define S_PERR_ENABLE_APF_1 21
37157#define V_PERR_ENABLE_APF_1(x) ((x) << S_PERR_ENABLE_APF_1)
37158#define F_PERR_ENABLE_APF_1 V_PERR_ENABLE_APF_1(1U)
37159
37160#define S_PERR_ENABLE_APF_0 20
37161#define V_PERR_ENABLE_APF_0(x) ((x) << S_PERR_ENABLE_APF_0)
37162#define F_PERR_ENABLE_APF_0 V_PERR_ENABLE_APF_0(1U)
37163
37164#define S_PERR_ENABLE_AF_1 19
37165#define V_PERR_ENABLE_AF_1(x) ((x) << S_PERR_ENABLE_AF_1)
37166#define F_PERR_ENABLE_AF_1 V_PERR_ENABLE_AF_1(1U)
37167
37168#define S_PERR_ENABLE_AF_0 18
37169#define V_PERR_ENABLE_AF_0(x) ((x) << S_PERR_ENABLE_AF_0)
37170#define F_PERR_ENABLE_AF_0 V_PERR_ENABLE_AF_0(1U)
37171
37172#define S_PERR_ENABLE_DDPDF_1 17
37173#define V_PERR_ENABLE_DDPDF_1(x) ((x) << S_PERR_ENABLE_DDPDF_1)
37174#define F_PERR_ENABLE_DDPDF_1 V_PERR_ENABLE_DDPDF_1(1U)
37175
37176#define S_PERR_ENABLE_DDPMF_1 16
37177#define V_PERR_ENABLE_DDPMF_1(x) ((x) << S_PERR_ENABLE_DDPMF_1)
37178#define F_PERR_ENABLE_DDPMF_1 V_PERR_ENABLE_DDPMF_1(1U)
37179
37180#define S_PERR_ENABLE_MEMRF_1 15
37181#define V_PERR_ENABLE_MEMRF_1(x) ((x) << S_PERR_ENABLE_MEMRF_1)
37182#define F_PERR_ENABLE_MEMRF_1 V_PERR_ENABLE_MEMRF_1(1U)
37183
37184#define S_PERR_ENABLE_PRSDF_1 14
37185#define V_PERR_ENABLE_PRSDF_1(x) ((x) << S_PERR_ENABLE_PRSDF_1)
37186#define F_PERR_ENABLE_PRSDF_1 V_PERR_ENABLE_PRSDF_1(1U)
37187
37188#define S_PERR_ENABLE_DDPDF_0 13
37189#define V_PERR_ENABLE_DDPDF_0(x) ((x) << S_PERR_ENABLE_DDPDF_0)
37190#define F_PERR_ENABLE_DDPDF_0 V_PERR_ENABLE_DDPDF_0(1U)
37191
37192#define S_PERR_ENABLE_DDPMF_0 12
37193#define V_PERR_ENABLE_DDPMF_0(x) ((x) << S_PERR_ENABLE_DDPMF_0)
37194#define F_PERR_ENABLE_DDPMF_0 V_PERR_ENABLE_DDPMF_0(1U)
37195
37196#define S_PERR_ENABLE_MEMRF_0 11
37197#define V_PERR_ENABLE_MEMRF_0(x) ((x) << S_PERR_ENABLE_MEMRF_0)
37198#define F_PERR_ENABLE_MEMRF_0 V_PERR_ENABLE_MEMRF_0(1U)
37199
37200#define S_PERR_ENABLE_PRSDF_0 10
37201#define V_PERR_ENABLE_PRSDF_0(x) ((x) << S_PERR_ENABLE_PRSDF_0)
37202#define F_PERR_ENABLE_PRSDF_0 V_PERR_ENABLE_PRSDF_0(1U)
37203
37204#define S_PERR_ENABLE_PCMDF_1 9
37205#define V_PERR_ENABLE_PCMDF_1(x) ((x) << S_PERR_ENABLE_PCMDF_1)
37206#define F_PERR_ENABLE_PCMDF_1 V_PERR_ENABLE_PCMDF_1(1U)
37207
37208#define S_PERR_ENABLE_TPTCF_1 8
37209#define V_PERR_ENABLE_TPTCF_1(x) ((x) << S_PERR_ENABLE_TPTCF_1)
37210#define F_PERR_ENABLE_TPTCF_1 V_PERR_ENABLE_TPTCF_1(1U)
37211
37212#define S_PERR_ENABLE_DDPCF_1 7
37213#define V_PERR_ENABLE_DDPCF_1(x) ((x) << S_PERR_ENABLE_DDPCF_1)
37214#define F_PERR_ENABLE_DDPCF_1 V_PERR_ENABLE_DDPCF_1(1U)
37215
37216#define S_PERR_ENABLE_MPARF_1 6
37217#define V_PERR_ENABLE_MPARF_1(x) ((x) << S_PERR_ENABLE_MPARF_1)
37218#define F_PERR_ENABLE_MPARF_1 V_PERR_ENABLE_MPARF_1(1U)
37219
37220#define S_PERR_ENABLE_MPARC_1 5
37221#define V_PERR_ENABLE_MPARC_1(x) ((x) << S_PERR_ENABLE_MPARC_1)
37222#define F_PERR_ENABLE_MPARC_1 V_PERR_ENABLE_MPARC_1(1U)
37223
37224#define S_PERR_ENABLE_PCMDF_0 4
37225#define V_PERR_ENABLE_PCMDF_0(x) ((x) << S_PERR_ENABLE_PCMDF_0)
37226#define F_PERR_ENABLE_PCMDF_0 V_PERR_ENABLE_PCMDF_0(1U)
37227
37228#define S_PERR_ENABLE_TPTCF_0 3
37229#define V_PERR_ENABLE_TPTCF_0(x) ((x) << S_PERR_ENABLE_TPTCF_0)
37230#define F_PERR_ENABLE_TPTCF_0 V_PERR_ENABLE_TPTCF_0(1U)
37231
37232#define S_PERR_ENABLE_DDPCF_0 2
37233#define V_PERR_ENABLE_DDPCF_0(x) ((x) << S_PERR_ENABLE_DDPCF_0)
37234#define F_PERR_ENABLE_DDPCF_0 V_PERR_ENABLE_DDPCF_0(1U)
37235
37236#define S_PERR_ENABLE_MPARF_0 1
37237#define V_PERR_ENABLE_MPARF_0(x) ((x) << S_PERR_ENABLE_MPARF_0)
37238#define F_PERR_ENABLE_MPARF_0 V_PERR_ENABLE_MPARF_0(1U)
37239
37240#define S_PERR_ENABLE_MPARC_0 0
37241#define V_PERR_ENABLE_MPARC_0(x) ((x) << S_PERR_ENABLE_MPARC_0)
37242#define F_PERR_ENABLE_MPARC_0 V_PERR_ENABLE_MPARC_0(1U)
37243
37244#define S_PERR_SE_CNT_MISMATCH_1 26
37245#define V_PERR_SE_CNT_MISMATCH_1(x) ((x) << S_PERR_SE_CNT_MISMATCH_1)
37246#define F_PERR_SE_CNT_MISMATCH_1 V_PERR_SE_CNT_MISMATCH_1(1U)
37247
37248#define S_PERR_SE_CNT_MISMATCH_0 25
37249#define V_PERR_SE_CNT_MISMATCH_0(x) ((x) << S_PERR_SE_CNT_MISMATCH_0)
37250#define F_PERR_SE_CNT_MISMATCH_0 V_PERR_SE_CNT_MISMATCH_0(1U)
37251
37252#define S_PERR_RSVD0 24
37253#define V_PERR_RSVD0(x) ((x) << S_PERR_RSVD0)
37254#define F_PERR_RSVD0 V_PERR_RSVD0(1U)
37255
37256#define S_PERR_RSVD1 23
37257#define V_PERR_RSVD1(x) ((x) << S_PERR_RSVD1)
37258#define F_PERR_RSVD1 V_PERR_RSVD1(1U)
37259
37260#define S_PERR_ENABLE_CTX_1 24
37261#define V_PERR_ENABLE_CTX_1(x) ((x) << S_PERR_ENABLE_CTX_1)
37262#define F_PERR_ENABLE_CTX_1 V_PERR_ENABLE_CTX_1(1U)
37263
37264#define S_PERR_ENABLE_CTX_0 23
37265#define V_PERR_ENABLE_CTX_0(x) ((x) << S_PERR_ENABLE_CTX_0)
37266#define F_PERR_ENABLE_CTX_0 V_PERR_ENABLE_CTX_0(1U)
37267
37268#define A_ULP_RX_PERR_INJECT 0x191a0
37269#define A_ULP_RX_RQUDP_LLIMIT 0x191a4
37270#define A_ULP_RX_RQUDP_ULIMIT 0x191a8
37271#define A_ULP_RX_CTX_ACC_CH0 0x191ac
37272
37273#define S_REQ 21
37274#define V_REQ(x) ((x) << S_REQ)
37275#define F_REQ V_REQ(1U)
37276
37277#define S_WB 20
37278#define V_WB(x) ((x) << S_WB)
37279#define F_WB V_WB(1U)
37280
37281#define S_ULPRX_TID 0
37282#define M_ULPRX_TID 0xfffffU
37283#define V_ULPRX_TID(x) ((x) << S_ULPRX_TID)
37284#define G_ULPRX_TID(x) (((x) >> S_ULPRX_TID) & M_ULPRX_TID)
37285
37286#define A_ULP_RX_CTX_ACC_CH1 0x191b0
37287#define A_ULP_RX_SE_CNT_ERR 0x191d0
37288#define A_ULP_RX_SE_CNT_CLR 0x191d4
37289
37290#define S_CLRCHAN0 4
37291#define M_CLRCHAN0 0xfU
37292#define V_CLRCHAN0(x) ((x) << S_CLRCHAN0)
37293#define G_CLRCHAN0(x) (((x) >> S_CLRCHAN0) & M_CLRCHAN0)
37294
37295#define S_CLRCHAN1 0
37296#define M_CLRCHAN1 0xfU
37297#define V_CLRCHAN1(x) ((x) << S_CLRCHAN1)
37298#define G_CLRCHAN1(x) (((x) >> S_CLRCHAN1) & M_CLRCHAN1)
37299
37300#define A_ULP_RX_SE_CNT_CH0 0x191d8
37301
37302#define S_SOP_CNT_OUT0 28
37303#define M_SOP_CNT_OUT0 0xfU
37304#define V_SOP_CNT_OUT0(x) ((x) << S_SOP_CNT_OUT0)
37305#define G_SOP_CNT_OUT0(x) (((x) >> S_SOP_CNT_OUT0) & M_SOP_CNT_OUT0)
37306
37307#define S_EOP_CNT_OUT0 24
37308#define M_EOP_CNT_OUT0 0xfU
37309#define V_EOP_CNT_OUT0(x) ((x) << S_EOP_CNT_OUT0)
37310#define G_EOP_CNT_OUT0(x) (((x) >> S_EOP_CNT_OUT0) & M_EOP_CNT_OUT0)
37311
37312#define S_SOP_CNT_AL0 20
37313#define M_SOP_CNT_AL0 0xfU
37314#define V_SOP_CNT_AL0(x) ((x) << S_SOP_CNT_AL0)
37315#define G_SOP_CNT_AL0(x) (((x) >> S_SOP_CNT_AL0) & M_SOP_CNT_AL0)
37316
37317#define S_EOP_CNT_AL0 16
37318#define M_EOP_CNT_AL0 0xfU
37319#define V_EOP_CNT_AL0(x) ((x) << S_EOP_CNT_AL0)
37320#define G_EOP_CNT_AL0(x) (((x) >> S_EOP_CNT_AL0) & M_EOP_CNT_AL0)
37321
37322#define S_SOP_CNT_MR0 12
37323#define M_SOP_CNT_MR0 0xfU
37324#define V_SOP_CNT_MR0(x) ((x) << S_SOP_CNT_MR0)
37325#define G_SOP_CNT_MR0(x) (((x) >> S_SOP_CNT_MR0) & M_SOP_CNT_MR0)
37326
37327#define S_EOP_CNT_MR0 8
37328#define M_EOP_CNT_MR0 0xfU
37329#define V_EOP_CNT_MR0(x) ((x) << S_EOP_CNT_MR0)
37330#define G_EOP_CNT_MR0(x) (((x) >> S_EOP_CNT_MR0) & M_EOP_CNT_MR0)
37331
37332#define S_SOP_CNT_IN0 4
37333#define M_SOP_CNT_IN0 0xfU
37334#define V_SOP_CNT_IN0(x) ((x) << S_SOP_CNT_IN0)
37335#define G_SOP_CNT_IN0(x) (((x) >> S_SOP_CNT_IN0) & M_SOP_CNT_IN0)
37336
37337#define S_EOP_CNT_IN0 0
37338#define M_EOP_CNT_IN0 0xfU
37339#define V_EOP_CNT_IN0(x) ((x) << S_EOP_CNT_IN0)
37340#define G_EOP_CNT_IN0(x) (((x) >> S_EOP_CNT_IN0) & M_EOP_CNT_IN0)
37341
37342#define A_ULP_RX_SE_CNT_CH1 0x191dc
37343
37344#define S_SOP_CNT_OUT1 28
37345#define M_SOP_CNT_OUT1 0xfU
37346#define V_SOP_CNT_OUT1(x) ((x) << S_SOP_CNT_OUT1)
37347#define G_SOP_CNT_OUT1(x) (((x) >> S_SOP_CNT_OUT1) & M_SOP_CNT_OUT1)
37348
37349#define S_EOP_CNT_OUT1 24
37350#define M_EOP_CNT_OUT1 0xfU
37351#define V_EOP_CNT_OUT1(x) ((x) << S_EOP_CNT_OUT1)
37352#define G_EOP_CNT_OUT1(x) (((x) >> S_EOP_CNT_OUT1) & M_EOP_CNT_OUT1)
37353
37354#define S_SOP_CNT_AL1 20
37355#define M_SOP_CNT_AL1 0xfU
37356#define V_SOP_CNT_AL1(x) ((x) << S_SOP_CNT_AL1)
37357#define G_SOP_CNT_AL1(x) (((x) >> S_SOP_CNT_AL1) & M_SOP_CNT_AL1)
37358
37359#define S_EOP_CNT_AL1 16
37360#define M_EOP_CNT_AL1 0xfU
37361#define V_EOP_CNT_AL1(x) ((x) << S_EOP_CNT_AL1)
37362#define G_EOP_CNT_AL1(x) (((x) >> S_EOP_CNT_AL1) & M_EOP_CNT_AL1)
37363
37364#define S_SOP_CNT_MR1 12
37365#define M_SOP_CNT_MR1 0xfU
37366#define V_SOP_CNT_MR1(x) ((x) << S_SOP_CNT_MR1)
37367#define G_SOP_CNT_MR1(x) (((x) >> S_SOP_CNT_MR1) & M_SOP_CNT_MR1)
37368
37369#define S_EOP_CNT_MR1 8
37370#define M_EOP_CNT_MR1 0xfU
37371#define V_EOP_CNT_MR1(x) ((x) << S_EOP_CNT_MR1)
37372#define G_EOP_CNT_MR1(x) (((x) >> S_EOP_CNT_MR1) & M_EOP_CNT_MR1)
37373
37374#define S_SOP_CNT_IN1 4
37375#define M_SOP_CNT_IN1 0xfU
37376#define V_SOP_CNT_IN1(x) ((x) << S_SOP_CNT_IN1)
37377#define G_SOP_CNT_IN1(x) (((x) >> S_SOP_CNT_IN1) & M_SOP_CNT_IN1)
37378
37379#define S_EOP_CNT_IN1 0
37380#define M_EOP_CNT_IN1 0xfU
37381#define V_EOP_CNT_IN1(x) ((x) << S_EOP_CNT_IN1)
37382#define G_EOP_CNT_IN1(x) (((x) >> S_EOP_CNT_IN1) & M_EOP_CNT_IN1)
37383
37384#define A_ULP_RX_DBG_CTL 0x191e0
37385
37386#define S_EN_DBG_H 17
37387#define V_EN_DBG_H(x) ((x) << S_EN_DBG_H)
37388#define F_EN_DBG_H V_EN_DBG_H(1U)
37389
37390#define S_EN_DBG_L 16
37391#define V_EN_DBG_L(x) ((x) << S_EN_DBG_L)
37392#define F_EN_DBG_L V_EN_DBG_L(1U)
37393
37394#define S_SEL_H 8
37395#define M_SEL_H 0xffU
37396#define V_SEL_H(x) ((x) << S_SEL_H)
37397#define G_SEL_H(x) (((x) >> S_SEL_H) & M_SEL_H)
37398
37399#define S_SEL_L 0
37400#define M_SEL_L 0xffU
37401#define V_SEL_L(x) ((x) << S_SEL_L)
37402#define G_SEL_L(x) (((x) >> S_SEL_L) & M_SEL_L)
37403
37404#define A_ULP_RX_DBG_DATAH 0x191e4
37405#define A_ULP_RX_DBG_DATAL 0x191e8
37406#define A_ULP_RX_LA_CHNL 0x19238
37407
37408#define S_CHNL_SEL 0
37409#define V_CHNL_SEL(x) ((x) << S_CHNL_SEL)
37410#define F_CHNL_SEL V_CHNL_SEL(1U)
37411
37412#define A_ULP_RX_LA_CTL 0x1923c
37413
37414#define S_TRC_SEL 0
37415#define V_TRC_SEL(x) ((x) << S_TRC_SEL)
37416#define F_TRC_SEL V_TRC_SEL(1U)
37417
37418#define A_ULP_RX_LA_RDPTR 0x19240
37419
37420#define S_RD_PTR 0
37421#define M_RD_PTR 0x1ffU
37422#define V_RD_PTR(x) ((x) << S_RD_PTR)
37423#define G_RD_PTR(x) (((x) >> S_RD_PTR) & M_RD_PTR)
37424
37425#define A_ULP_RX_LA_RDDATA 0x19244
37426#define A_ULP_RX_LA_WRPTR 0x19248
37427
37428#define S_WR_PTR 0
37429#define M_WR_PTR 0x1ffU
37430#define V_WR_PTR(x) ((x) << S_WR_PTR)
37431#define G_WR_PTR(x) (((x) >> S_WR_PTR) & M_WR_PTR)
37432
37433#define A_ULP_RX_LA_RESERVED 0x1924c
37434#define A_ULP_RX_CQE_GEN_EN 0x19250
37435
37436#define S_TERMIMATE_MSG 1
37437#define V_TERMIMATE_MSG(x) ((x) << S_TERMIMATE_MSG)
37438#define F_TERMIMATE_MSG V_TERMIMATE_MSG(1U)
37439
37440#define S_TERMINATE_WITH_ERR 0
37441#define V_TERMINATE_WITH_ERR(x) ((x) << S_TERMINATE_WITH_ERR)
37442#define F_TERMINATE_WITH_ERR V_TERMINATE_WITH_ERR(1U)
37443
37444#define A_ULP_RX_ATOMIC_OPCODES 0x19254
37445
37446#define S_ATOMIC_REQ_QNO 22
37447#define M_ATOMIC_REQ_QNO 0x3U
37448#define V_ATOMIC_REQ_QNO(x) ((x) << S_ATOMIC_REQ_QNO)
37449#define G_ATOMIC_REQ_QNO(x) (((x) >> S_ATOMIC_REQ_QNO) & M_ATOMIC_REQ_QNO)
37450
37451#define S_ATOMIC_RSP_QNO 20
37452#define M_ATOMIC_RSP_QNO 0x3U
37453#define V_ATOMIC_RSP_QNO(x) ((x) << S_ATOMIC_RSP_QNO)
37454#define G_ATOMIC_RSP_QNO(x) (((x) >> S_ATOMIC_RSP_QNO) & M_ATOMIC_RSP_QNO)
37455
37456#define S_IMMEDIATE_QNO 18
37457#define M_IMMEDIATE_QNO 0x3U
37458#define V_IMMEDIATE_QNO(x) ((x) << S_IMMEDIATE_QNO)
37459#define G_IMMEDIATE_QNO(x) (((x) >> S_IMMEDIATE_QNO) & M_IMMEDIATE_QNO)
37460
37461#define S_IMMEDIATE_WITH_SE_QNO 16
37462#define M_IMMEDIATE_WITH_SE_QNO 0x3U
37463#define V_IMMEDIATE_WITH_SE_QNO(x) ((x) << S_IMMEDIATE_WITH_SE_QNO)
37464#define G_IMMEDIATE_WITH_SE_QNO(x) (((x) >> S_IMMEDIATE_WITH_SE_QNO) & M_IMMEDIATE_WITH_SE_QNO)
37465
37466#define S_ATOMIC_WR_OPCODE 12
37467#define M_ATOMIC_WR_OPCODE 0xfU
37468#define V_ATOMIC_WR_OPCODE(x) ((x) << S_ATOMIC_WR_OPCODE)
37469#define G_ATOMIC_WR_OPCODE(x) (((x) >> S_ATOMIC_WR_OPCODE) & M_ATOMIC_WR_OPCODE)
37470
37471#define S_ATOMIC_RD_OPCODE 8
37472#define M_ATOMIC_RD_OPCODE 0xfU
37473#define V_ATOMIC_RD_OPCODE(x) ((x) << S_ATOMIC_RD_OPCODE)
37474#define G_ATOMIC_RD_OPCODE(x) (((x) >> S_ATOMIC_RD_OPCODE) & M_ATOMIC_RD_OPCODE)
37475
37476#define S_IMMEDIATE_OPCODE 4
37477#define M_IMMEDIATE_OPCODE 0xfU
37478#define V_IMMEDIATE_OPCODE(x) ((x) << S_IMMEDIATE_OPCODE)
37479#define G_IMMEDIATE_OPCODE(x) (((x) >> S_IMMEDIATE_OPCODE) & M_IMMEDIATE_OPCODE)
37480
37481#define S_IMMEDIATE_WITH_SE_OPCODE 0
37482#define M_IMMEDIATE_WITH_SE_OPCODE 0xfU
37483#define V_IMMEDIATE_WITH_SE_OPCODE(x) ((x) << S_IMMEDIATE_WITH_SE_OPCODE)
37484#define G_IMMEDIATE_WITH_SE_OPCODE(x) (((x) >> S_IMMEDIATE_WITH_SE_OPCODE) & M_IMMEDIATE_WITH_SE_OPCODE)
37485
37486#define A_ULP_RX_T10_CRC_ENDIAN_SWITCHING 0x19258
37487
37488#define S_EN_ORIG_DATA 0
37489#define V_EN_ORIG_DATA(x) ((x) << S_EN_ORIG_DATA)
37490#define F_EN_ORIG_DATA V_EN_ORIG_DATA(1U)
37491
37492#define A_ULP_RX_MISC_FEATURE_ENABLE 0x1925c
37493
37494#define S_TERMINATE_STATUS_EN 4
37495#define V_TERMINATE_STATUS_EN(x) ((x) << S_TERMINATE_STATUS_EN)
37496#define F_TERMINATE_STATUS_EN V_TERMINATE_STATUS_EN(1U)
37497
37498#define S_MULTIPLE_PREF_ENABLE 3
37499#define V_MULTIPLE_PREF_ENABLE(x) ((x) << S_MULTIPLE_PREF_ENABLE)
37500#define F_MULTIPLE_PREF_ENABLE V_MULTIPLE_PREF_ENABLE(1U)
37501
37502#define S_UMUDP_PBL_PREF_ENABLE 2
37503#define V_UMUDP_PBL_PREF_ENABLE(x) ((x) << S_UMUDP_PBL_PREF_ENABLE)
37504#define F_UMUDP_PBL_PREF_ENABLE V_UMUDP_PBL_PREF_ENABLE(1U)
37505
37506#define S_RDMA_PBL_PREF_EN 1
37507#define V_RDMA_PBL_PREF_EN(x) ((x) << S_RDMA_PBL_PREF_EN)
37508#define F_RDMA_PBL_PREF_EN V_RDMA_PBL_PREF_EN(1U)
37509
37510#define S_SDC_CRC_PROT_EN 0
37511#define V_SDC_CRC_PROT_EN(x) ((x) << S_SDC_CRC_PROT_EN)
37512#define F_SDC_CRC_PROT_EN V_SDC_CRC_PROT_EN(1U)
37513
37514#define S_ISCSI_DCRC_ERROR_CMP_EN 25
37515#define V_ISCSI_DCRC_ERROR_CMP_EN(x) ((x) << S_ISCSI_DCRC_ERROR_CMP_EN)
37516#define F_ISCSI_DCRC_ERROR_CMP_EN V_ISCSI_DCRC_ERROR_CMP_EN(1U)
37517
37518#define S_ISCSITAGPI 24
37519#define V_ISCSITAGPI(x) ((x) << S_ISCSITAGPI)
37520#define F_ISCSITAGPI V_ISCSITAGPI(1U)
37521
37522#define S_DDP_VERSION_1 22
37523#define M_DDP_VERSION_1 0x3U
37524#define V_DDP_VERSION_1(x) ((x) << S_DDP_VERSION_1)
37525#define G_DDP_VERSION_1(x) (((x) >> S_DDP_VERSION_1) & M_DDP_VERSION_1)
37526
37527#define S_DDP_VERSION_0 20
37528#define M_DDP_VERSION_0 0x3U
37529#define V_DDP_VERSION_0(x) ((x) << S_DDP_VERSION_0)
37530#define G_DDP_VERSION_0(x) (((x) >> S_DDP_VERSION_0) & M_DDP_VERSION_0)
37531
37532#define S_RDMA_VERSION_1 18
37533#define M_RDMA_VERSION_1 0x3U
37534#define V_RDMA_VERSION_1(x) ((x) << S_RDMA_VERSION_1)
37535#define G_RDMA_VERSION_1(x) (((x) >> S_RDMA_VERSION_1) & M_RDMA_VERSION_1)
37536
37537#define S_RDMA_VERSION_0 16
37538#define M_RDMA_VERSION_0 0x3U
37539#define V_RDMA_VERSION_0(x) ((x) << S_RDMA_VERSION_0)
37540#define G_RDMA_VERSION_0(x) (((x) >> S_RDMA_VERSION_0) & M_RDMA_VERSION_0)
37541
37542#define S_PBL_BOUND_CHECK_W_PGLEN 15
37543#define V_PBL_BOUND_CHECK_W_PGLEN(x) ((x) << S_PBL_BOUND_CHECK_W_PGLEN)
37544#define F_PBL_BOUND_CHECK_W_PGLEN V_PBL_BOUND_CHECK_W_PGLEN(1U)
37545
37546#define S_ZBYTE_FIX_DISABLE 14
37547#define V_ZBYTE_FIX_DISABLE(x) ((x) << S_ZBYTE_FIX_DISABLE)
37548#define F_ZBYTE_FIX_DISABLE V_ZBYTE_FIX_DISABLE(1U)
37549
37550#define S_T10_OFFSET_UPDATE_EN 13
37551#define V_T10_OFFSET_UPDATE_EN(x) ((x) << S_T10_OFFSET_UPDATE_EN)
37552#define F_T10_OFFSET_UPDATE_EN V_T10_OFFSET_UPDATE_EN(1U)
37553
37554#define S_ULP_INSERT_PI 12
37555#define V_ULP_INSERT_PI(x) ((x) << S_ULP_INSERT_PI)
37556#define F_ULP_INSERT_PI V_ULP_INSERT_PI(1U)
37557
37558#define S_PDU_DPI 11
37559#define V_PDU_DPI(x) ((x) << S_PDU_DPI)
37560#define F_PDU_DPI V_PDU_DPI(1U)
37561
37562#define S_ISCSI_EFF_OFFSET_EN 10
37563#define V_ISCSI_EFF_OFFSET_EN(x) ((x) << S_ISCSI_EFF_OFFSET_EN)
37564#define F_ISCSI_EFF_OFFSET_EN V_ISCSI_EFF_OFFSET_EN(1U)
37565
37566#define S_ISCSI_ALL_CMP_MODE 9
37567#define V_ISCSI_ALL_CMP_MODE(x) ((x) << S_ISCSI_ALL_CMP_MODE)
37568#define F_ISCSI_ALL_CMP_MODE V_ISCSI_ALL_CMP_MODE(1U)
37569
37570#define S_ISCSI_ENABLE_HDR_CMD 8
37571#define V_ISCSI_ENABLE_HDR_CMD(x) ((x) << S_ISCSI_ENABLE_HDR_CMD)
37572#define F_ISCSI_ENABLE_HDR_CMD V_ISCSI_ENABLE_HDR_CMD(1U)
37573
37574#define S_ISCSI_FORCE_CMP_MODE 7
37575#define V_ISCSI_FORCE_CMP_MODE(x) ((x) << S_ISCSI_FORCE_CMP_MODE)
37576#define F_ISCSI_FORCE_CMP_MODE V_ISCSI_FORCE_CMP_MODE(1U)
37577
37578#define S_ISCSI_ENABLE_CMP_MODE 6
37579#define V_ISCSI_ENABLE_CMP_MODE(x) ((x) << S_ISCSI_ENABLE_CMP_MODE)
37580#define F_ISCSI_ENABLE_CMP_MODE V_ISCSI_ENABLE_CMP_MODE(1U)
37581
37582#define S_PIO_RDMA_SEND_RQE 5
37583#define V_PIO_RDMA_SEND_RQE(x) ((x) << S_PIO_RDMA_SEND_RQE)
37584#define F_PIO_RDMA_SEND_RQE V_PIO_RDMA_SEND_RQE(1U)
37585
37586#define A_ULP_RX_CH0_CGEN 0x19260
37587
37588#define S_BYPASS_CGEN 7
37589#define V_BYPASS_CGEN(x) ((x) << S_BYPASS_CGEN)
37590#define F_BYPASS_CGEN V_BYPASS_CGEN(1U)
37591
37592#define S_TDDP_CGEN 6
37593#define V_TDDP_CGEN(x) ((x) << S_TDDP_CGEN)
37594#define F_TDDP_CGEN V_TDDP_CGEN(1U)
37595
37596#define S_ISCSI_CGEN 5
37597#define V_ISCSI_CGEN(x) ((x) << S_ISCSI_CGEN)
37598#define F_ISCSI_CGEN V_ISCSI_CGEN(1U)
37599
37600#define S_RDMA_CGEN 4
37601#define V_RDMA_CGEN(x) ((x) << S_RDMA_CGEN)
37602#define F_RDMA_CGEN V_RDMA_CGEN(1U)
37603
37604#define S_CHANNEL_CGEN 3
37605#define V_CHANNEL_CGEN(x) ((x) << S_CHANNEL_CGEN)
37606#define F_CHANNEL_CGEN V_CHANNEL_CGEN(1U)
37607
37608#define S_ALL_DATAPATH_CGEN 2
37609#define V_ALL_DATAPATH_CGEN(x) ((x) << S_ALL_DATAPATH_CGEN)
37610#define F_ALL_DATAPATH_CGEN V_ALL_DATAPATH_CGEN(1U)
37611
37612#define S_T10DIFF_DATAPATH_CGEN 1
37613#define V_T10DIFF_DATAPATH_CGEN(x) ((x) << S_T10DIFF_DATAPATH_CGEN)
37614#define F_T10DIFF_DATAPATH_CGEN V_T10DIFF_DATAPATH_CGEN(1U)
37615
37616#define S_RDMA_DATAPATH_CGEN 0
37617#define V_RDMA_DATAPATH_CGEN(x) ((x) << S_RDMA_DATAPATH_CGEN)
37618#define F_RDMA_DATAPATH_CGEN V_RDMA_DATAPATH_CGEN(1U)
37619
37620#define A_ULP_RX_CH1_CGEN 0x19264
37621#define A_ULP_RX_RFE_DISABLE 0x19268
37622
37623#define S_RQE_LIM_CHECK_RFE_DISABLE 0
37624#define V_RQE_LIM_CHECK_RFE_DISABLE(x) ((x) << S_RQE_LIM_CHECK_RFE_DISABLE)
37625#define F_RQE_LIM_CHECK_RFE_DISABLE V_RQE_LIM_CHECK_RFE_DISABLE(1U)
37626
37627#define A_ULP_RX_INT_ENABLE_2 0x1926c
37628
37629#define S_ULPRX2MA_INTFPERR 8
37630#define V_ULPRX2MA_INTFPERR(x) ((x) << S_ULPRX2MA_INTFPERR)
37631#define F_ULPRX2MA_INTFPERR V_ULPRX2MA_INTFPERR(1U)
37632
37633#define S_ALN_SDC_ERR_1 7
37634#define V_ALN_SDC_ERR_1(x) ((x) << S_ALN_SDC_ERR_1)
37635#define F_ALN_SDC_ERR_1 V_ALN_SDC_ERR_1(1U)
37636
37637#define S_ALN_SDC_ERR_0 6
37638#define V_ALN_SDC_ERR_0(x) ((x) << S_ALN_SDC_ERR_0)
37639#define F_ALN_SDC_ERR_0 V_ALN_SDC_ERR_0(1U)
37640
37641#define S_PF_UNTAGGED_TPT_1 5
37642#define V_PF_UNTAGGED_TPT_1(x) ((x) << S_PF_UNTAGGED_TPT_1)
37643#define F_PF_UNTAGGED_TPT_1 V_PF_UNTAGGED_TPT_1(1U)
37644
37645#define S_PF_UNTAGGED_TPT_0 4
37646#define V_PF_UNTAGGED_TPT_0(x) ((x) << S_PF_UNTAGGED_TPT_0)
37647#define F_PF_UNTAGGED_TPT_0 V_PF_UNTAGGED_TPT_0(1U)
37648
37649#define S_PF_PBL_1 3
37650#define V_PF_PBL_1(x) ((x) << S_PF_PBL_1)
37651#define F_PF_PBL_1 V_PF_PBL_1(1U)
37652
37653#define S_PF_PBL_0 2
37654#define V_PF_PBL_0(x) ((x) << S_PF_PBL_0)
37655#define F_PF_PBL_0 V_PF_PBL_0(1U)
37656
37657#define S_DDP_HINT_1 1
37658#define V_DDP_HINT_1(x) ((x) << S_DDP_HINT_1)
37659#define F_DDP_HINT_1 V_DDP_HINT_1(1U)
37660
37661#define S_DDP_HINT_0 0
37662#define V_DDP_HINT_0(x) ((x) << S_DDP_HINT_0)
37663#define F_DDP_HINT_0 V_DDP_HINT_0(1U)
37664
37665#define A_ULP_RX_INT_CAUSE_2 0x19270
37666#define A_ULP_RX_PERR_ENABLE_2 0x19274
37667
37668#define S_ENABLE_ULPRX2MA_INTFPERR 8
37669#define V_ENABLE_ULPRX2MA_INTFPERR(x) ((x) << S_ENABLE_ULPRX2MA_INTFPERR)
37670#define F_ENABLE_ULPRX2MA_INTFPERR V_ENABLE_ULPRX2MA_INTFPERR(1U)
37671
37672#define S_ENABLE_ALN_SDC_ERR_1 7
37673#define V_ENABLE_ALN_SDC_ERR_1(x) ((x) << S_ENABLE_ALN_SDC_ERR_1)
37674#define F_ENABLE_ALN_SDC_ERR_1 V_ENABLE_ALN_SDC_ERR_1(1U)
37675
37676#define S_ENABLE_ALN_SDC_ERR_0 6
37677#define V_ENABLE_ALN_SDC_ERR_0(x) ((x) << S_ENABLE_ALN_SDC_ERR_0)
37678#define F_ENABLE_ALN_SDC_ERR_0 V_ENABLE_ALN_SDC_ERR_0(1U)
37679
37680#define S_ENABLE_PF_UNTAGGED_TPT_1 5
37681#define V_ENABLE_PF_UNTAGGED_TPT_1(x) ((x) << S_ENABLE_PF_UNTAGGED_TPT_1)
37682#define F_ENABLE_PF_UNTAGGED_TPT_1 V_ENABLE_PF_UNTAGGED_TPT_1(1U)
37683
37684#define S_ENABLE_PF_UNTAGGED_TPT_0 4
37685#define V_ENABLE_PF_UNTAGGED_TPT_0(x) ((x) << S_ENABLE_PF_UNTAGGED_TPT_0)
37686#define F_ENABLE_PF_UNTAGGED_TPT_0 V_ENABLE_PF_UNTAGGED_TPT_0(1U)
37687
37688#define S_ENABLE_PF_PBL_1 3
37689#define V_ENABLE_PF_PBL_1(x) ((x) << S_ENABLE_PF_PBL_1)
37690#define F_ENABLE_PF_PBL_1 V_ENABLE_PF_PBL_1(1U)
37691
37692#define S_ENABLE_PF_PBL_0 2
37693#define V_ENABLE_PF_PBL_0(x) ((x) << S_ENABLE_PF_PBL_0)
37694#define F_ENABLE_PF_PBL_0 V_ENABLE_PF_PBL_0(1U)
37695
37696#define S_ENABLE_DDP_HINT_1 1
37697#define V_ENABLE_DDP_HINT_1(x) ((x) << S_ENABLE_DDP_HINT_1)
37698#define F_ENABLE_DDP_HINT_1 V_ENABLE_DDP_HINT_1(1U)
37699
37700#define S_ENABLE_DDP_HINT_0 0
37701#define V_ENABLE_DDP_HINT_0(x) ((x) << S_ENABLE_DDP_HINT_0)
37702#define F_ENABLE_DDP_HINT_0 V_ENABLE_DDP_HINT_0(1U)
37703
37704#define A_ULP_RX_RQE_PBL_MULTIPLE_OUTSTANDING_CNT 0x19278
37705
37706#define S_PIO_RQE_PBL_MULTIPLE_CNT 0
37707#define M_PIO_RQE_PBL_MULTIPLE_CNT 0xfU
37708#define V_PIO_RQE_PBL_MULTIPLE_CNT(x) ((x) << S_PIO_RQE_PBL_MULTIPLE_CNT)
37709#define G_PIO_RQE_PBL_MULTIPLE_CNT(x) (((x) >> S_PIO_RQE_PBL_MULTIPLE_CNT) & M_PIO_RQE_PBL_MULTIPLE_CNT)
37710
37711#define A_ULP_RX_ATOMIC_LEN 0x1927c
37712
37713#define S_ATOMIC_RPL_LEN 16
37714#define M_ATOMIC_RPL_LEN 0xffU
37715#define V_ATOMIC_RPL_LEN(x) ((x) << S_ATOMIC_RPL_LEN)
37716#define G_ATOMIC_RPL_LEN(x) (((x) >> S_ATOMIC_RPL_LEN) & M_ATOMIC_RPL_LEN)
37717
37718#define S_ATOMIC_REQ_LEN 8
37719#define M_ATOMIC_REQ_LEN 0xffU
37720#define V_ATOMIC_REQ_LEN(x) ((x) << S_ATOMIC_REQ_LEN)
37721#define G_ATOMIC_REQ_LEN(x) (((x) >> S_ATOMIC_REQ_LEN) & M_ATOMIC_REQ_LEN)
37722
37723#define S_ATOMIC_IMMEDIATE_LEN 0
37724#define M_ATOMIC_IMMEDIATE_LEN 0xffU
37725#define V_ATOMIC_IMMEDIATE_LEN(x) ((x) << S_ATOMIC_IMMEDIATE_LEN)
37726#define G_ATOMIC_IMMEDIATE_LEN(x) (((x) >> S_ATOMIC_IMMEDIATE_LEN) & M_ATOMIC_IMMEDIATE_LEN)
37727
37728#define A_ULP_RX_CGEN_GLOBAL 0x19280
37729#define A_ULP_RX_CTX_SKIP_MA_REQ 0x19284
37730
37731#define S_CLEAR_CTX_ERR_CNT1 3
37732#define V_CLEAR_CTX_ERR_CNT1(x) ((x) << S_CLEAR_CTX_ERR_CNT1)
37733#define F_CLEAR_CTX_ERR_CNT1 V_CLEAR_CTX_ERR_CNT1(1U)
37734
37735#define S_CLEAR_CTX_ERR_CNT0 2
37736#define V_CLEAR_CTX_ERR_CNT0(x) ((x) << S_CLEAR_CTX_ERR_CNT0)
37737#define F_CLEAR_CTX_ERR_CNT0 V_CLEAR_CTX_ERR_CNT0(1U)
37738
37739#define S_SKIP_MA_REQ_EN1 1
37740#define V_SKIP_MA_REQ_EN1(x) ((x) << S_SKIP_MA_REQ_EN1)
37741#define F_SKIP_MA_REQ_EN1 V_SKIP_MA_REQ_EN1(1U)
37742
37743#define S_SKIP_MA_REQ_EN0 0
37744#define V_SKIP_MA_REQ_EN0(x) ((x) << S_SKIP_MA_REQ_EN0)
37745#define F_SKIP_MA_REQ_EN0 V_SKIP_MA_REQ_EN0(1U)
37746
37747#define A_ULP_RX_CHNL0_CTX_ERROR_COUNT_PER_TID 0x19288
37748#define A_ULP_RX_CHNL1_CTX_ERROR_COUNT_PER_TID 0x1928c
37749#define A_ULP_RX_MSN_CHECK_ENABLE 0x19290
37750
37751#define S_RD_OR_TERM_MSN_CHECK_ENABLE 2
37752#define V_RD_OR_TERM_MSN_CHECK_ENABLE(x) ((x) << S_RD_OR_TERM_MSN_CHECK_ENABLE)
37753#define F_RD_OR_TERM_MSN_CHECK_ENABLE V_RD_OR_TERM_MSN_CHECK_ENABLE(1U)
37754
37755#define S_ATOMIC_OP_MSN_CHECK_ENABLE 1
37756#define V_ATOMIC_OP_MSN_CHECK_ENABLE(x) ((x) << S_ATOMIC_OP_MSN_CHECK_ENABLE)
37757#define F_ATOMIC_OP_MSN_CHECK_ENABLE V_ATOMIC_OP_MSN_CHECK_ENABLE(1U)
37758
37759#define S_SEND_MSN_CHECK_ENABLE 0
37760#define V_SEND_MSN_CHECK_ENABLE(x) ((x) << S_SEND_MSN_CHECK_ENABLE)
37761#define F_SEND_MSN_CHECK_ENABLE V_SEND_MSN_CHECK_ENABLE(1U)
37762
37763#define A_ULP_RX_TLS_PP_LLIMIT 0x192a4
37764
37765#define S_TLSPPLLIMIT 6
37766#define M_TLSPPLLIMIT 0x3ffffffU
37767#define V_TLSPPLLIMIT(x) ((x) << S_TLSPPLLIMIT)
37768#define G_TLSPPLLIMIT(x) (((x) >> S_TLSPPLLIMIT) & M_TLSPPLLIMIT)
37769
37770#define A_ULP_RX_TLS_PP_ULIMIT 0x192a8
37771
37772#define S_TLSPPULIMIT 6
37773#define M_TLSPPULIMIT 0x3ffffffU
37774#define V_TLSPPULIMIT(x) ((x) << S_TLSPPULIMIT)
37775#define G_TLSPPULIMIT(x) (((x) >> S_TLSPPULIMIT) & M_TLSPPULIMIT)
37776
37777#define A_ULP_RX_TLS_KEY_LLIMIT 0x192ac
37778
37779#define S_TLSKEYLLIMIT 8
37780#define M_TLSKEYLLIMIT 0xffffffU
37781#define V_TLSKEYLLIMIT(x) ((x) << S_TLSKEYLLIMIT)
37782#define G_TLSKEYLLIMIT(x) (((x) >> S_TLSKEYLLIMIT) & M_TLSKEYLLIMIT)
37783
37784#define A_ULP_RX_TLS_KEY_ULIMIT 0x192b0
37785
37786#define S_TLSKEYULIMIT 8
37787#define M_TLSKEYULIMIT 0xffffffU
37788#define V_TLSKEYULIMIT(x) ((x) << S_TLSKEYULIMIT)
37789#define G_TLSKEYULIMIT(x) (((x) >> S_TLSKEYULIMIT) & M_TLSKEYULIMIT)
37790
37791#define A_ULP_RX_TLS_CTL 0x192bc
37792#define A_ULP_RX_TLS_IND_CMD 0x19348
37793
37794#define S_TLS_RX_REG_OFF_ADDR 0
37795#define M_TLS_RX_REG_OFF_ADDR 0x3ffU
37796#define V_TLS_RX_REG_OFF_ADDR(x) ((x) << S_TLS_RX_REG_OFF_ADDR)
37797#define G_TLS_RX_REG_OFF_ADDR(x) (((x) >> S_TLS_RX_REG_OFF_ADDR) & M_TLS_RX_REG_OFF_ADDR)
37798
37799#define A_ULP_RX_TLS_IND_DATA 0x1934c
37800
37801/* registers for module SF */
37802#define SF_BASE_ADDR 0x193f8
37803
37804#define A_SF_DATA 0x193f8
37805#define A_SF_OP 0x193fc
37806
37807#define S_SF_LOCK 4
37808#define V_SF_LOCK(x) ((x) << S_SF_LOCK)
37809#define F_SF_LOCK V_SF_LOCK(1U)
37810
37811#define S_CONT 3
37812#define V_CONT(x) ((x) << S_CONT)
37813#define F_CONT V_CONT(1U)
37814
37815#define S_BYTECNT 1
37816#define M_BYTECNT 0x3U
37817#define V_BYTECNT(x) ((x) << S_BYTECNT)
37818#define G_BYTECNT(x) (((x) >> S_BYTECNT) & M_BYTECNT)
37819
37820/* registers for module PL */
37821#define PL_BASE_ADDR 0x19400
37822
37823#define A_PL_VF_WHOAMI 0x0
37824
37825#define S_PORTXMAP 24
37826#define M_PORTXMAP 0x7U
37827#define V_PORTXMAP(x) ((x) << S_PORTXMAP)
37828#define G_PORTXMAP(x) (((x) >> S_PORTXMAP) & M_PORTXMAP)
37829
37830#define S_SOURCEBUS 16
37831#define M_SOURCEBUS 0x3U
37832#define V_SOURCEBUS(x) ((x) << S_SOURCEBUS)
37833#define G_SOURCEBUS(x) (((x) >> S_SOURCEBUS) & M_SOURCEBUS)
37834
37835#define S_SOURCEPF 8
37836#define M_SOURCEPF 0x7U
37837#define V_SOURCEPF(x) ((x) << S_SOURCEPF)
37838#define G_SOURCEPF(x) (((x) >> S_SOURCEPF) & M_SOURCEPF)
37839
37840#define S_ISVF 7
37841#define V_ISVF(x) ((x) << S_ISVF)
37842#define F_ISVF V_ISVF(1U)
37843
37844#define S_VFID 0
37845#define M_VFID 0x7fU
37846#define V_VFID(x) ((x) << S_VFID)
37847#define G_VFID(x) (((x) >> S_VFID) & M_VFID)
37848
37849#define S_T6_SOURCEPF 9
37850#define M_T6_SOURCEPF 0x7U
37851#define V_T6_SOURCEPF(x) ((x) << S_T6_SOURCEPF)
37852#define G_T6_SOURCEPF(x) (((x) >> S_T6_SOURCEPF) & M_T6_SOURCEPF)
37853
37854#define S_T6_ISVF 8
37855#define V_T6_ISVF(x) ((x) << S_T6_ISVF)
37856#define F_T6_ISVF V_T6_ISVF(1U)
37857
37858#define S_T6_VFID 0
37859#define M_T6_VFID 0xffU
37860#define V_T6_VFID(x) ((x) << S_T6_VFID)
37861#define G_T6_VFID(x) (((x) >> S_T6_VFID) & M_T6_VFID)
37862
37863#define A_PL_VF_REV 0x4
37864
37865#define S_CHIPID 4
37866#define M_CHIPID 0xfU
37867#define V_CHIPID(x) ((x) << S_CHIPID)
37868#define G_CHIPID(x) (((x) >> S_CHIPID) & M_CHIPID)
37869
37870#define A_PL_VF_REVISION 0x8
37871#define A_PL_PF_INT_CAUSE 0x3c0
37872
37873#define S_PFSW 3
37874#define V_PFSW(x) ((x) << S_PFSW)
37875#define F_PFSW V_PFSW(1U)
37876
37877#define S_PFSGE 2
37878#define V_PFSGE(x) ((x) << S_PFSGE)
37879#define F_PFSGE V_PFSGE(1U)
37880
37881#define S_PFCIM 1
37882#define V_PFCIM(x) ((x) << S_PFCIM)
37883#define F_PFCIM V_PFCIM(1U)
37884
37885#define S_PFMPS 0
37886#define V_PFMPS(x) ((x) << S_PFMPS)
37887#define F_PFMPS V_PFMPS(1U)
37888
37889#define A_PL_PF_INT_ENABLE 0x3c4
37890#define A_PL_PF_CTL 0x3c8
37891
37892#define S_SWINT 0
37893#define V_SWINT(x) ((x) << S_SWINT)
37894#define F_SWINT V_SWINT(1U)
37895
37896#define A_PL_WHOAMI 0x19400
37897
37898#define S_T6_SOURCEPF 9
37899#define M_T6_SOURCEPF 0x7U
37900#define V_T6_SOURCEPF(x) ((x) << S_T6_SOURCEPF)
37901#define G_T6_SOURCEPF(x) (((x) >> S_T6_SOURCEPF) & M_T6_SOURCEPF)
37902
37903#define S_T6_ISVF 8
37904#define V_T6_ISVF(x) ((x) << S_T6_ISVF)
37905#define F_T6_ISVF V_T6_ISVF(1U)
37906
37907#define S_T6_VFID 0
37908#define M_T6_VFID 0xffU
37909#define V_T6_VFID(x) ((x) << S_T6_VFID)
37910#define G_T6_VFID(x) (((x) >> S_T6_VFID) & M_T6_VFID)
37911
37912#define A_PL_PERR_CAUSE 0x19404
37913
37914#define S_UART 28
37915#define V_UART(x) ((x) << S_UART)
37916#define F_UART V_UART(1U)
37917
37918#define S_ULP_TX 27
37919#define V_ULP_TX(x) ((x) << S_ULP_TX)
37920#define F_ULP_TX V_ULP_TX(1U)
37921
37922#define S_SGE 26
37923#define V_SGE(x) ((x) << S_SGE)
37924#define F_SGE V_SGE(1U)
37925
37926#define S_HMA 25
37927#define V_HMA(x) ((x) << S_HMA)
37928#define F_HMA V_HMA(1U)
37929
37930#define S_CPL_SWITCH 24
37931#define V_CPL_SWITCH(x) ((x) << S_CPL_SWITCH)
37932#define F_CPL_SWITCH V_CPL_SWITCH(1U)
37933
37934#define S_ULP_RX 23
37935#define V_ULP_RX(x) ((x) << S_ULP_RX)
37936#define F_ULP_RX V_ULP_RX(1U)
37937
37938#define S_PM_RX 22
37939#define V_PM_RX(x) ((x) << S_PM_RX)
37940#define F_PM_RX V_PM_RX(1U)
37941
37942#define S_PM_TX 21
37943#define V_PM_TX(x) ((x) << S_PM_TX)
37944#define F_PM_TX V_PM_TX(1U)
37945
37946#define S_MA 20
37947#define V_MA(x) ((x) << S_MA)
37948#define F_MA V_MA(1U)
37949
37950#define S_TP 19
37951#define V_TP(x) ((x) << S_TP)
37952#define F_TP V_TP(1U)
37953
37954#define S_LE 18
37955#define V_LE(x) ((x) << S_LE)
37956#define F_LE V_LE(1U)
37957
37958#define S_EDC1 17
37959#define V_EDC1(x) ((x) << S_EDC1)
37960#define F_EDC1 V_EDC1(1U)
37961
37962#define S_EDC0 16
37963#define V_EDC0(x) ((x) << S_EDC0)
37964#define F_EDC0 V_EDC0(1U)
37965
37966#define S_MC 15
37967#define V_MC(x) ((x) << S_MC)
37968#define F_MC V_MC(1U)
37969
37970#define S_PCIE 14
37971#define V_PCIE(x) ((x) << S_PCIE)
37972#define F_PCIE V_PCIE(1U)
37973
37974#define S_PMU 13
37975#define V_PMU(x) ((x) << S_PMU)
37976#define F_PMU V_PMU(1U)
37977
37978#define S_XGMAC_KR1 12
37979#define V_XGMAC_KR1(x) ((x) << S_XGMAC_KR1)
37980#define F_XGMAC_KR1 V_XGMAC_KR1(1U)
37981
37982#define S_XGMAC_KR0 11
37983#define V_XGMAC_KR0(x) ((x) << S_XGMAC_KR0)
37984#define F_XGMAC_KR0 V_XGMAC_KR0(1U)
37985
37986#define S_XGMAC1 10
37987#define V_XGMAC1(x) ((x) << S_XGMAC1)
37988#define F_XGMAC1 V_XGMAC1(1U)
37989
37990#define S_XGMAC0 9
37991#define V_XGMAC0(x) ((x) << S_XGMAC0)
37992#define F_XGMAC0 V_XGMAC0(1U)
37993
37994#define S_SMB 8
37995#define V_SMB(x) ((x) << S_SMB)
37996#define F_SMB V_SMB(1U)
37997
37998#define S_SF 7
37999#define V_SF(x) ((x) << S_SF)
38000#define F_SF V_SF(1U)
38001
38002#define S_PL 6
38003#define V_PL(x) ((x) << S_PL)
38004#define F_PL V_PL(1U)
38005
38006#define S_NCSI 5
38007#define V_NCSI(x) ((x) << S_NCSI)
38008#define F_NCSI V_NCSI(1U)
38009
38010#define S_MPS 4
38011#define V_MPS(x) ((x) << S_MPS)
38012#define F_MPS V_MPS(1U)
38013
38014#define S_MI 3
38015#define V_MI(x) ((x) << S_MI)
38016#define F_MI V_MI(1U)
38017
38018#define S_DBG 2
38019#define V_DBG(x) ((x) << S_DBG)
38020#define F_DBG V_DBG(1U)
38021
38022#define S_I2CM 1
38023#define V_I2CM(x) ((x) << S_I2CM)
38024#define F_I2CM V_I2CM(1U)
38025
38026#define S_CIM 0
38027#define V_CIM(x) ((x) << S_CIM)
38028#define F_CIM V_CIM(1U)
38029
38030#define S_MC1 31
38031#define V_MC1(x) ((x) << S_MC1)
38032#define F_MC1 V_MC1(1U)
38033
38034#define S_MC0 15
38035#define V_MC0(x) ((x) << S_MC0)
38036#define F_MC0 V_MC0(1U)
38037
38038#define S_ANYMAC 9
38039#define V_ANYMAC(x) ((x) << S_ANYMAC)
38040#define F_ANYMAC V_ANYMAC(1U)
38041
38042#define A_PL_PERR_ENABLE 0x19408
38043#define A_PL_INT_CAUSE 0x1940c
38044
38045#define S_FLR 30
38046#define V_FLR(x) ((x) << S_FLR)
38047#define F_FLR V_FLR(1U)
38048
38049#define S_SW_CIM 29
38050#define V_SW_CIM(x) ((x) << S_SW_CIM)
38051#define F_SW_CIM V_SW_CIM(1U)
38052
38053#define S_MAC3 12
38054#define V_MAC3(x) ((x) << S_MAC3)
38055#define F_MAC3 V_MAC3(1U)
38056
38057#define S_MAC2 11
38058#define V_MAC2(x) ((x) << S_MAC2)
38059#define F_MAC2 V_MAC2(1U)
38060
38061#define S_MAC1 10
38062#define V_MAC1(x) ((x) << S_MAC1)
38063#define F_MAC1 V_MAC1(1U)
38064
38065#define S_MAC0 9
38066#define V_MAC0(x) ((x) << S_MAC0)
38067#define F_MAC0 V_MAC0(1U)
38068
38069#define A_PL_INT_ENABLE 0x19410
38070#define A_PL_INT_MAP0 0x19414
38071
38072#define S_MAPNCSI 16
38073#define M_MAPNCSI 0x1ffU
38074#define V_MAPNCSI(x) ((x) << S_MAPNCSI)
38075#define G_MAPNCSI(x) (((x) >> S_MAPNCSI) & M_MAPNCSI)
38076
38077#define S_MAPDEFAULT 0
38078#define M_MAPDEFAULT 0x1ffU
38079#define V_MAPDEFAULT(x) ((x) << S_MAPDEFAULT)
38080#define G_MAPDEFAULT(x) (((x) >> S_MAPDEFAULT) & M_MAPDEFAULT)
38081
38082#define A_PL_INT_MAP1 0x19418
38083
38084#define S_MAPXGMAC1 16
38085#define M_MAPXGMAC1 0x1ffU
38086#define V_MAPXGMAC1(x) ((x) << S_MAPXGMAC1)
38087#define G_MAPXGMAC1(x) (((x) >> S_MAPXGMAC1) & M_MAPXGMAC1)
38088
38089#define S_MAPXGMAC0 0
38090#define M_MAPXGMAC0 0x1ffU
38091#define V_MAPXGMAC0(x) ((x) << S_MAPXGMAC0)
38092#define G_MAPXGMAC0(x) (((x) >> S_MAPXGMAC0) & M_MAPXGMAC0)
38093
38094#define S_MAPMAC1 16
38095#define M_MAPMAC1 0x1ffU
38096#define V_MAPMAC1(x) ((x) << S_MAPMAC1)
38097#define G_MAPMAC1(x) (((x) >> S_MAPMAC1) & M_MAPMAC1)
38098
38099#define S_MAPMAC0 0
38100#define M_MAPMAC0 0x1ffU
38101#define V_MAPMAC0(x) ((x) << S_MAPMAC0)
38102#define G_MAPMAC0(x) (((x) >> S_MAPMAC0) & M_MAPMAC0)
38103
38104#define A_PL_INT_MAP2 0x1941c
38105
38106#define S_MAPXGMAC_KR1 16
38107#define M_MAPXGMAC_KR1 0x1ffU
38108#define V_MAPXGMAC_KR1(x) ((x) << S_MAPXGMAC_KR1)
38109#define G_MAPXGMAC_KR1(x) (((x) >> S_MAPXGMAC_KR1) & M_MAPXGMAC_KR1)
38110
38111#define S_MAPXGMAC_KR0 0
38112#define M_MAPXGMAC_KR0 0x1ffU
38113#define V_MAPXGMAC_KR0(x) ((x) << S_MAPXGMAC_KR0)
38114#define G_MAPXGMAC_KR0(x) (((x) >> S_MAPXGMAC_KR0) & M_MAPXGMAC_KR0)
38115
38116#define S_MAPMAC3 16
38117#define M_MAPMAC3 0x1ffU
38118#define V_MAPMAC3(x) ((x) << S_MAPMAC3)
38119#define G_MAPMAC3(x) (((x) >> S_MAPMAC3) & M_MAPMAC3)
38120
38121#define S_MAPMAC2 0
38122#define M_MAPMAC2 0x1ffU
38123#define V_MAPMAC2(x) ((x) << S_MAPMAC2)
38124#define G_MAPMAC2(x) (((x) >> S_MAPMAC2) & M_MAPMAC2)
38125
38126#define A_PL_INT_MAP3 0x19420
38127
38128#define S_MAPMI 16
38129#define M_MAPMI 0x1ffU
38130#define V_MAPMI(x) ((x) << S_MAPMI)
38131#define G_MAPMI(x) (((x) >> S_MAPMI) & M_MAPMI)
38132
38133#define S_MAPSMB 0
38134#define M_MAPSMB 0x1ffU
38135#define V_MAPSMB(x) ((x) << S_MAPSMB)
38136#define G_MAPSMB(x) (((x) >> S_MAPSMB) & M_MAPSMB)
38137
38138#define A_PL_INT_MAP4 0x19424
38139
38140#define S_MAPDBG 16
38141#define M_MAPDBG 0x1ffU
38142#define V_MAPDBG(x) ((x) << S_MAPDBG)
38143#define G_MAPDBG(x) (((x) >> S_MAPDBG) & M_MAPDBG)
38144
38145#define S_MAPI2CM 0
38146#define M_MAPI2CM 0x1ffU
38147#define V_MAPI2CM(x) ((x) << S_MAPI2CM)
38148#define G_MAPI2CM(x) (((x) >> S_MAPI2CM) & M_MAPI2CM)
38149
38150#define A_PL_RST 0x19428
38151
38152#define S_FATALPERREN 3
38153#define V_FATALPERREN(x) ((x) << S_FATALPERREN)
38154#define F_FATALPERREN V_FATALPERREN(1U)
38155
38156#define S_SWINTCIM 2
38157#define V_SWINTCIM(x) ((x) << S_SWINTCIM)
38158#define F_SWINTCIM V_SWINTCIM(1U)
38159
38160#define S_PIORST 1
38161#define V_PIORST(x) ((x) << S_PIORST)
38162#define F_PIORST V_PIORST(1U)
38163
38164#define S_PIORSTMODE 0
38165#define V_PIORSTMODE(x) ((x) << S_PIORSTMODE)
38166#define F_PIORSTMODE V_PIORSTMODE(1U)
38167
38168#define S_AUTOPCIEPAUSE 4
38169#define V_AUTOPCIEPAUSE(x) ((x) << S_AUTOPCIEPAUSE)
38170#define F_AUTOPCIEPAUSE V_AUTOPCIEPAUSE(1U)
38171
38172#define A_PL_PL_PERR_INJECT 0x1942c
38173
38174#define S_PL_MEMSEL 1
38175#define V_PL_MEMSEL(x) ((x) << S_PL_MEMSEL)
38176#define F_PL_MEMSEL V_PL_MEMSEL(1U)
38177
38178#define A_PL_PL_INT_CAUSE 0x19430
38179
38180#define S_PF_ENABLEERR 5
38181#define V_PF_ENABLEERR(x) ((x) << S_PF_ENABLEERR)
38182#define F_PF_ENABLEERR V_PF_ENABLEERR(1U)
38183
38184#define S_FATALPERR 4
38185#define V_FATALPERR(x) ((x) << S_FATALPERR)
38186#define F_FATALPERR V_FATALPERR(1U)
38187
38188#define S_INVALIDACCESS 3
38189#define V_INVALIDACCESS(x) ((x) << S_INVALIDACCESS)
38190#define F_INVALIDACCESS V_INVALIDACCESS(1U)
38191
38192#define S_TIMEOUT 2
38193#define V_TIMEOUT(x) ((x) << S_TIMEOUT)
38194#define F_TIMEOUT V_TIMEOUT(1U)
38195
38196#define S_PLERR 1
38197#define V_PLERR(x) ((x) << S_PLERR)
38198#define F_PLERR V_PLERR(1U)
38199
38200#define S_PERRVFID 0
38201#define V_PERRVFID(x) ((x) << S_PERRVFID)
38202#define F_PERRVFID V_PERRVFID(1U)
38203
38204#define S_PL_BUSPERR 6
38205#define V_PL_BUSPERR(x) ((x) << S_PL_BUSPERR)
38206#define F_PL_BUSPERR V_PL_BUSPERR(1U)
38207
38208#define A_PL_PL_INT_ENABLE 0x19434
38209#define A_PL_PL_PERR_ENABLE 0x19438
38210#define A_PL_REV 0x1943c
38211
38212#define S_REV 0
38213#define M_REV 0xfU
38214#define V_REV(x) ((x) << S_REV)
38215#define G_REV(x) (((x) >> S_REV) & M_REV)
38216
38217#define A_PL_PCIE_LINK 0x19440
38218
38219#define S_LN0_AESTAT 26
38220#define M_LN0_AESTAT 0x7U
38221#define V_LN0_AESTAT(x) ((x) << S_LN0_AESTAT)
38222#define G_LN0_AESTAT(x) (((x) >> S_LN0_AESTAT) & M_LN0_AESTAT)
38223
38224#define S_LN0_AECMD 23
38225#define M_LN0_AECMD 0x7U
38226#define V_LN0_AECMD(x) ((x) << S_LN0_AECMD)
38227#define G_LN0_AECMD(x) (((x) >> S_LN0_AECMD) & M_LN0_AECMD)
38228
38229#define S_T5_STATECFGINITF 16
38230#define M_T5_STATECFGINITF 0x7fU
38231#define V_T5_STATECFGINITF(x) ((x) << S_T5_STATECFGINITF)
38232#define G_T5_STATECFGINITF(x) (((x) >> S_T5_STATECFGINITF) & M_T5_STATECFGINITF)
38233
38234#define S_T5_STATECFGINIT 12
38235#define M_T5_STATECFGINIT 0xfU
38236#define V_T5_STATECFGINIT(x) ((x) << S_T5_STATECFGINIT)
38237#define G_T5_STATECFGINIT(x) (((x) >> S_T5_STATECFGINIT) & M_T5_STATECFGINIT)
38238
38239#define S_PCIE_SPEED 8
38240#define M_PCIE_SPEED 0x3U
38241#define V_PCIE_SPEED(x) ((x) << S_PCIE_SPEED)
38242#define G_PCIE_SPEED(x) (((x) >> S_PCIE_SPEED) & M_PCIE_SPEED)
38243
38244#define S_T5_PERSTTIMEOUT 7
38245#define V_T5_PERSTTIMEOUT(x) ((x) << S_T5_PERSTTIMEOUT)
38246#define F_T5_PERSTTIMEOUT V_T5_PERSTTIMEOUT(1U)
38247
38248#define S_T5_LTSSMENABLE 6
38249#define V_T5_LTSSMENABLE(x) ((x) << S_T5_LTSSMENABLE)
38250#define F_T5_LTSSMENABLE V_T5_LTSSMENABLE(1U)
38251
38252#define S_LTSSM 0
38253#define M_LTSSM 0x3fU
38254#define V_LTSSM(x) ((x) << S_LTSSM)
38255#define G_LTSSM(x) (((x) >> S_LTSSM) & M_LTSSM)
38256
38257#define S_T6_LN0_AESTAT 27
38258#define M_T6_LN0_AESTAT 0x7U
38259#define V_T6_LN0_AESTAT(x) ((x) << S_T6_LN0_AESTAT)
38260#define G_T6_LN0_AESTAT(x) (((x) >> S_T6_LN0_AESTAT) & M_T6_LN0_AESTAT)
38261
38262#define S_T6_LN0_AECMD 24
38263#define M_T6_LN0_AECMD 0x7U
38264#define V_T6_LN0_AECMD(x) ((x) << S_T6_LN0_AECMD)
38265#define G_T6_LN0_AECMD(x) (((x) >> S_T6_LN0_AECMD) & M_T6_LN0_AECMD)
38266
38267#define S_T6_STATECFGINITF 16
38268#define M_T6_STATECFGINITF 0xffU
38269#define V_T6_STATECFGINITF(x) ((x) << S_T6_STATECFGINITF)
38270#define G_T6_STATECFGINITF(x) (((x) >> S_T6_STATECFGINITF) & M_T6_STATECFGINITF)
38271
38272#define S_T6_STATECFGINIT 12
38273#define M_T6_STATECFGINIT 0xfU
38274#define V_T6_STATECFGINIT(x) ((x) << S_T6_STATECFGINIT)
38275#define G_T6_STATECFGINIT(x) (((x) >> S_T6_STATECFGINIT) & M_T6_STATECFGINIT)
38276
38277#define S_PHY_STATUS 10
38278#define V_PHY_STATUS(x) ((x) << S_PHY_STATUS)
38279#define F_PHY_STATUS V_PHY_STATUS(1U)
38280
38281#define S_SPEED_PL 8
38282#define M_SPEED_PL 0x3U
38283#define V_SPEED_PL(x) ((x) << S_SPEED_PL)
38284#define G_SPEED_PL(x) (((x) >> S_SPEED_PL) & M_SPEED_PL)
38285
38286#define S_PERSTTIMEOUT_PL 7
38287#define V_PERSTTIMEOUT_PL(x) ((x) << S_PERSTTIMEOUT_PL)
38288#define F_PERSTTIMEOUT_PL V_PERSTTIMEOUT_PL(1U)
38289
38290#define S_T6_LTSSMENABLE 6
38291#define V_T6_LTSSMENABLE(x) ((x) << S_T6_LTSSMENABLE)
38292#define F_T6_LTSSMENABLE V_T6_LTSSMENABLE(1U)
38293
38294#define A_PL_PCIE_CTL_STAT 0x19444
38295
38296#define S_PCIE_STATUS 16
38297#define M_PCIE_STATUS 0xffffU
38298#define V_PCIE_STATUS(x) ((x) << S_PCIE_STATUS)
38299#define G_PCIE_STATUS(x) (((x) >> S_PCIE_STATUS) & M_PCIE_STATUS)
38300
38301#define S_PCIE_CONTROL 0
38302#define M_PCIE_CONTROL 0xffffU
38303#define V_PCIE_CONTROL(x) ((x) << S_PCIE_CONTROL)
38304#define G_PCIE_CONTROL(x) (((x) >> S_PCIE_CONTROL) & M_PCIE_CONTROL)
38305
38306#define A_PL_SEMAPHORE_CTL 0x1944c
38307
38308#define S_LOCKSTATUS 16
38309#define M_LOCKSTATUS 0xffU
38310#define V_LOCKSTATUS(x) ((x) << S_LOCKSTATUS)
38311#define G_LOCKSTATUS(x) (((x) >> S_LOCKSTATUS) & M_LOCKSTATUS)
38312
38313#define S_OWNEROVERRIDE 8
38314#define V_OWNEROVERRIDE(x) ((x) << S_OWNEROVERRIDE)
38315#define F_OWNEROVERRIDE V_OWNEROVERRIDE(1U)
38316
38317#define S_ENABLEPF 0
38318#define M_ENABLEPF 0xffU
38319#define V_ENABLEPF(x) ((x) << S_ENABLEPF)
38320#define G_ENABLEPF(x) (((x) >> S_ENABLEPF) & M_ENABLEPF)
38321
38322#define A_PL_SEMAPHORE_LOCK 0x19450
38323
38324#define S_SEMLOCK 31
38325#define V_SEMLOCK(x) ((x) << S_SEMLOCK)
38326#define F_SEMLOCK V_SEMLOCK(1U)
38327
38328#define S_SEMSRCBUS 3
38329#define M_SEMSRCBUS 0x3U
38330#define V_SEMSRCBUS(x) ((x) << S_SEMSRCBUS)
38331#define G_SEMSRCBUS(x) (((x) >> S_SEMSRCBUS) & M_SEMSRCBUS)
38332
38333#define S_SEMSRCPF 0
38334#define M_SEMSRCPF 0x7U
38335#define V_SEMSRCPF(x) ((x) << S_SEMSRCPF)
38336#define G_SEMSRCPF(x) (((x) >> S_SEMSRCPF) & M_SEMSRCPF)
38337
38338#define A_PL_PF_ENABLE 0x19470
38339
38340#define S_PF_ENABLE 0
38341#define M_PF_ENABLE 0xffU
38342#define V_PF_ENABLE(x) ((x) << S_PF_ENABLE)
38343#define G_PF_ENABLE(x) (((x) >> S_PF_ENABLE) & M_PF_ENABLE)
38344
38345#define A_PL_PORTX_MAP 0x19474
38346
38347#define S_MAP7 28
38348#define M_MAP7 0x7U
38349#define V_MAP7(x) ((x) << S_MAP7)
38350#define G_MAP7(x) (((x) >> S_MAP7) & M_MAP7)
38351
38352#define S_MAP6 24
38353#define M_MAP6 0x7U
38354#define V_MAP6(x) ((x) << S_MAP6)
38355#define G_MAP6(x) (((x) >> S_MAP6) & M_MAP6)
38356
38357#define S_MAP5 20
38358#define M_MAP5 0x7U
38359#define V_MAP5(x) ((x) << S_MAP5)
38360#define G_MAP5(x) (((x) >> S_MAP5) & M_MAP5)
38361
38362#define S_MAP4 16
38363#define M_MAP4 0x7U
38364#define V_MAP4(x) ((x) << S_MAP4)
38365#define G_MAP4(x) (((x) >> S_MAP4) & M_MAP4)
38366
38367#define S_MAP3 12
38368#define M_MAP3 0x7U
38369#define V_MAP3(x) ((x) << S_MAP3)
38370#define G_MAP3(x) (((x) >> S_MAP3) & M_MAP3)
38371
38372#define S_MAP2 8
38373#define M_MAP2 0x7U
38374#define V_MAP2(x) ((x) << S_MAP2)
38375#define G_MAP2(x) (((x) >> S_MAP2) & M_MAP2)
38376
38377#define S_MAP1 4
38378#define M_MAP1 0x7U
38379#define V_MAP1(x) ((x) << S_MAP1)
38380#define G_MAP1(x) (((x) >> S_MAP1) & M_MAP1)
38381
38382#define S_MAP0 0
38383#define M_MAP0 0x7U
38384#define V_MAP0(x) ((x) << S_MAP0)
38385#define G_MAP0(x) (((x) >> S_MAP0) & M_MAP0)
38386
38387#define A_PL_VF_SLICE_L 0x19490
38388
38389#define S_LIMITADDR 16
38390#define M_LIMITADDR 0x3ffU
38391#define V_LIMITADDR(x) ((x) << S_LIMITADDR)
38392#define G_LIMITADDR(x) (((x) >> S_LIMITADDR) & M_LIMITADDR)
38393
38394#define S_SLICEBASEADDR 0
38395#define M_SLICEBASEADDR 0x3ffU
38396#define V_SLICEBASEADDR(x) ((x) << S_SLICEBASEADDR)
38397#define G_SLICEBASEADDR(x) (((x) >> S_SLICEBASEADDR) & M_SLICEBASEADDR)
38398
38399#define A_PL_VF_SLICE_H 0x19494
38400
38401#define S_MODINDX 16
38402#define M_MODINDX 0x7U
38403#define V_MODINDX(x) ((x) << S_MODINDX)
38404#define G_MODINDX(x) (((x) >> S_MODINDX) & M_MODINDX)
38405
38406#define S_MODOFFSET 0
38407#define M_MODOFFSET 0x3ffU
38408#define V_MODOFFSET(x) ((x) << S_MODOFFSET)
38409#define G_MODOFFSET(x) (((x) >> S_MODOFFSET) & M_MODOFFSET)
38410
38411#define A_PL_FLR_VF_STATUS 0x194d0
38412#define A_PL_FLR_PF_STATUS 0x194e0
38413
38414#define S_FLR_PF 0
38415#define M_FLR_PF 0xffU
38416#define V_FLR_PF(x) ((x) << S_FLR_PF)
38417#define G_FLR_PF(x) (((x) >> S_FLR_PF) & M_FLR_PF)
38418
38419#define A_PL_TIMEOUT_CTL 0x194f0
38420
38421#define S_PL_TIMEOUT 0
38422#define M_PL_TIMEOUT 0xffffU
38423#define V_PL_TIMEOUT(x) ((x) << S_PL_TIMEOUT)
38424#define G_PL_TIMEOUT(x) (((x) >> S_PL_TIMEOUT) & M_PL_TIMEOUT)
38425
38426#define S_PERRCAPTURE 16
38427#define V_PERRCAPTURE(x) ((x) << S_PERRCAPTURE)
38428#define F_PERRCAPTURE V_PERRCAPTURE(1U)
38429
38430#define A_PL_TIMEOUT_STATUS0 0x194f4
38431
38432#define S_PL_TOADDR 2
38433#define M_PL_TOADDR 0xfffffffU
38434#define V_PL_TOADDR(x) ((x) << S_PL_TOADDR)
38435#define G_PL_TOADDR(x) (((x) >> S_PL_TOADDR) & M_PL_TOADDR)
38436
38437#define A_PL_TIMEOUT_STATUS1 0x194f8
38438
38439#define S_PL_TOVALID 31
38440#define V_PL_TOVALID(x) ((x) << S_PL_TOVALID)
38441#define F_PL_TOVALID V_PL_TOVALID(1U)
38442
38443#define S_WRITE 22
38444#define V_WRITE(x) ((x) << S_WRITE)
38445#define F_WRITE V_WRITE(1U)
38446
38447#define S_PL_TOBUS 20
38448#define M_PL_TOBUS 0x3U
38449#define V_PL_TOBUS(x) ((x) << S_PL_TOBUS)
38450#define G_PL_TOBUS(x) (((x) >> S_PL_TOBUS) & M_PL_TOBUS)
38451
38452#define S_RGN 19
38453#define V_RGN(x) ((x) << S_RGN)
38454#define F_RGN V_RGN(1U)
38455
38456#define S_PL_TOPF 16
38457#define M_PL_TOPF 0x7U
38458#define V_PL_TOPF(x) ((x) << S_PL_TOPF)
38459#define G_PL_TOPF(x) (((x) >> S_PL_TOPF) & M_PL_TOPF)
38460
38461#define S_PL_TORID 0
38462#define M_PL_TORID 0xffffU
38463#define V_PL_TORID(x) ((x) << S_PL_TORID)
38464#define G_PL_TORID(x) (((x) >> S_PL_TORID) & M_PL_TORID)
38465
38466#define S_VALIDPERR 30
38467#define V_VALIDPERR(x) ((x) << S_VALIDPERR)
38468#define F_VALIDPERR V_VALIDPERR(1U)
38469
38470#define S_PL_TOVFID 0
38471#define M_PL_TOVFID 0xffU
38472#define V_PL_TOVFID(x) ((x) << S_PL_TOVFID)
38473#define G_PL_TOVFID(x) (((x) >> S_PL_TOVFID) & M_PL_TOVFID)
38474
38475#define S_T6_PL_TOVFID 0
38476#define M_T6_PL_TOVFID 0x1ffU
38477#define V_T6_PL_TOVFID(x) ((x) << S_T6_PL_TOVFID)
38478#define G_T6_PL_TOVFID(x) (((x) >> S_T6_PL_TOVFID) & M_T6_PL_TOVFID)
38479
38480#define A_PL_VFID_MAP 0x19800
38481
38482#define S_VFID_VLD 7
38483#define V_VFID_VLD(x) ((x) << S_VFID_VLD)
38484#define F_VFID_VLD V_VFID_VLD(1U)
38485
38486/* registers for module LE */
38487#define LE_BASE_ADDR 0x19c00
38488
38489#define A_LE_BUF_CONFIG 0x19c00
38490#define A_LE_DB_ID 0x19c00
38491#define A_LE_DB_CONFIG 0x19c04
38492
38493#define S_TCAMCMDOVLAPEN 21
38494#define V_TCAMCMDOVLAPEN(x) ((x) << S_TCAMCMDOVLAPEN)
38495#define F_TCAMCMDOVLAPEN V_TCAMCMDOVLAPEN(1U)
38496
38497#define S_HASHEN 20
38498#define V_HASHEN(x) ((x) << S_HASHEN)
38499#define F_HASHEN V_HASHEN(1U)
38500
38501#define S_ASBOTHSRCHEN 18
38502#define V_ASBOTHSRCHEN(x) ((x) << S_ASBOTHSRCHEN)
38503#define F_ASBOTHSRCHEN V_ASBOTHSRCHEN(1U)
38504
38505#define S_ASLIPCOMPEN 17
38506#define V_ASLIPCOMPEN(x) ((x) << S_ASLIPCOMPEN)
38507#define F_ASLIPCOMPEN V_ASLIPCOMPEN(1U)
38508
38509#define S_BUILD 16
38510#define V_BUILD(x) ((x) << S_BUILD)
38511#define F_BUILD V_BUILD(1U)
38512
38513#define S_FILTEREN 11
38514#define V_FILTEREN(x) ((x) << S_FILTEREN)
38515#define F_FILTEREN V_FILTEREN(1U)
38516
38517#define S_SYNMODE 7
38518#define M_SYNMODE 0x3U
38519#define V_SYNMODE(x) ((x) << S_SYNMODE)
38520#define G_SYNMODE(x) (((x) >> S_SYNMODE) & M_SYNMODE)
38521
38522#define S_LEBUSEN 5
38523#define V_LEBUSEN(x) ((x) << S_LEBUSEN)
38524#define F_LEBUSEN V_LEBUSEN(1U)
38525
38526#define S_ELOOKDUMEN 4
38527#define V_ELOOKDUMEN(x) ((x) << S_ELOOKDUMEN)
38528#define F_ELOOKDUMEN V_ELOOKDUMEN(1U)
38529
38530#define S_IPV4ONLYEN 3
38531#define V_IPV4ONLYEN(x) ((x) << S_IPV4ONLYEN)
38532#define F_IPV4ONLYEN V_IPV4ONLYEN(1U)
38533
38534#define S_MOSTCMDOEN 2
38535#define V_MOSTCMDOEN(x) ((x) << S_MOSTCMDOEN)
38536#define F_MOSTCMDOEN V_MOSTCMDOEN(1U)
38537
38538#define S_DELACTSYNOEN 1
38539#define V_DELACTSYNOEN(x) ((x) << S_DELACTSYNOEN)
38540#define F_DELACTSYNOEN V_DELACTSYNOEN(1U)
38541
38542#define S_CMDOVERLAPDIS 0
38543#define V_CMDOVERLAPDIS(x) ((x) << S_CMDOVERLAPDIS)
38544#define F_CMDOVERLAPDIS V_CMDOVERLAPDIS(1U)
38545
38546#define S_MASKCMDOLAPDIS 26
38547#define V_MASKCMDOLAPDIS(x) ((x) << S_MASKCMDOLAPDIS)
38548#define F_MASKCMDOLAPDIS V_MASKCMDOLAPDIS(1U)
38549
38550#define S_IPV4HASHSIZEEN 25
38551#define V_IPV4HASHSIZEEN(x) ((x) << S_IPV4HASHSIZEEN)
38552#define F_IPV4HASHSIZEEN V_IPV4HASHSIZEEN(1U)
38553
38554#define S_PROTOCOLMASKEN 24
38555#define V_PROTOCOLMASKEN(x) ((x) << S_PROTOCOLMASKEN)
38556#define F_PROTOCOLMASKEN V_PROTOCOLMASKEN(1U)
38557
38558#define S_TUPLESIZEEN 23
38559#define V_TUPLESIZEEN(x) ((x) << S_TUPLESIZEEN)
38560#define F_TUPLESIZEEN V_TUPLESIZEEN(1U)
38561
38562#define S_SRVRSRAMEN 22
38563#define V_SRVRSRAMEN(x) ((x) << S_SRVRSRAMEN)
38564#define F_SRVRSRAMEN V_SRVRSRAMEN(1U)
38565
38566#define S_ASBOTHSRCHENPR 19
38567#define V_ASBOTHSRCHENPR(x) ((x) << S_ASBOTHSRCHENPR)
38568#define F_ASBOTHSRCHENPR V_ASBOTHSRCHENPR(1U)
38569
38570#define S_POCLIPTID0 15
38571#define V_POCLIPTID0(x) ((x) << S_POCLIPTID0)
38572#define F_POCLIPTID0 V_POCLIPTID0(1U)
38573
38574#define S_TCAMARBOFF 14
38575#define V_TCAMARBOFF(x) ((x) << S_TCAMARBOFF)
38576#define F_TCAMARBOFF V_TCAMARBOFF(1U)
38577
38578#define S_ACCNTFULLEN 13
38579#define V_ACCNTFULLEN(x) ((x) << S_ACCNTFULLEN)
38580#define F_ACCNTFULLEN V_ACCNTFULLEN(1U)
38581
38582#define S_FILTERRWNOCLIP 12
38583#define V_FILTERRWNOCLIP(x) ((x) << S_FILTERRWNOCLIP)
38584#define F_FILTERRWNOCLIP V_FILTERRWNOCLIP(1U)
38585
38586#define S_CRCHASH 10
38587#define V_CRCHASH(x) ((x) << S_CRCHASH)
38588#define F_CRCHASH V_CRCHASH(1U)
38589
38590#define S_COMPTID 9
38591#define V_COMPTID(x) ((x) << S_COMPTID)
38592#define F_COMPTID V_COMPTID(1U)
38593
38594#define S_SINGLETHREAD 6
38595#define V_SINGLETHREAD(x) ((x) << S_SINGLETHREAD)
38596#define F_SINGLETHREAD V_SINGLETHREAD(1U)
38597
38598#define S_CHK_FUL_TUP_ZERO 27
38599#define V_CHK_FUL_TUP_ZERO(x) ((x) << S_CHK_FUL_TUP_ZERO)
38600#define F_CHK_FUL_TUP_ZERO V_CHK_FUL_TUP_ZERO(1U)
38601
38602#define S_PRI_HASH 26
38603#define V_PRI_HASH(x) ((x) << S_PRI_HASH)
38604#define F_PRI_HASH V_PRI_HASH(1U)
38605
38606#define S_EXTN_HASH_IPV4 25
38607#define V_EXTN_HASH_IPV4(x) ((x) << S_EXTN_HASH_IPV4)
38608#define F_EXTN_HASH_IPV4 V_EXTN_HASH_IPV4(1U)
38609
38610#define S_ASLIPCOMPEN_IPV4 18
38611#define V_ASLIPCOMPEN_IPV4(x) ((x) << S_ASLIPCOMPEN_IPV4)
38612#define F_ASLIPCOMPEN_IPV4 V_ASLIPCOMPEN_IPV4(1U)
38613
38614#define S_IGNR_TUP_ZERO 9
38615#define V_IGNR_TUP_ZERO(x) ((x) << S_IGNR_TUP_ZERO)
38616#define F_IGNR_TUP_ZERO V_IGNR_TUP_ZERO(1U)
38617
38618#define S_IGNR_LIP_ZERO 8
38619#define V_IGNR_LIP_ZERO(x) ((x) << S_IGNR_LIP_ZERO)
38620#define F_IGNR_LIP_ZERO V_IGNR_LIP_ZERO(1U)
38621
38622#define S_CLCAM_INIT_BUSY 7
38623#define V_CLCAM_INIT_BUSY(x) ((x) << S_CLCAM_INIT_BUSY)
38624#define F_CLCAM_INIT_BUSY V_CLCAM_INIT_BUSY(1U)
38625
38626#define S_CLCAM_INIT 6
38627#define V_CLCAM_INIT(x) ((x) << S_CLCAM_INIT)
38628#define F_CLCAM_INIT V_CLCAM_INIT(1U)
38629
38630#define S_MTCAM_INIT_BUSY 5
38631#define V_MTCAM_INIT_BUSY(x) ((x) << S_MTCAM_INIT_BUSY)
38632#define F_MTCAM_INIT_BUSY V_MTCAM_INIT_BUSY(1U)
38633
38634#define S_MTCAM_INIT 4
38635#define V_MTCAM_INIT(x) ((x) << S_MTCAM_INIT)
38636#define F_MTCAM_INIT V_MTCAM_INIT(1U)
38637
38638#define S_REGION_EN 0
38639#define M_REGION_EN 0xfU
38640#define V_REGION_EN(x) ((x) << S_REGION_EN)
38641#define G_REGION_EN(x) (((x) >> S_REGION_EN) & M_REGION_EN)
38642
38643#define A_LE_MISC 0x19c08
38644
38645#define S_CMPUNVAIL 0
38646#define M_CMPUNVAIL 0xfU
38647#define V_CMPUNVAIL(x) ((x) << S_CMPUNVAIL)
38648#define G_CMPUNVAIL(x) (((x) >> S_CMPUNVAIL) & M_CMPUNVAIL)
38649
38650#define S_SRAMDEEPSLEEP_STAT 11
38651#define V_SRAMDEEPSLEEP_STAT(x) ((x) << S_SRAMDEEPSLEEP_STAT)
38652#define F_SRAMDEEPSLEEP_STAT V_SRAMDEEPSLEEP_STAT(1U)
38653
38654#define S_TCAMDEEPSLEEP1_STAT 10
38655#define V_TCAMDEEPSLEEP1_STAT(x) ((x) << S_TCAMDEEPSLEEP1_STAT)
38656#define F_TCAMDEEPSLEEP1_STAT V_TCAMDEEPSLEEP1_STAT(1U)
38657
38658#define S_TCAMDEEPSLEEP0_STAT 9
38659#define V_TCAMDEEPSLEEP0_STAT(x) ((x) << S_TCAMDEEPSLEEP0_STAT)
38660#define F_TCAMDEEPSLEEP0_STAT V_TCAMDEEPSLEEP0_STAT(1U)
38661
38662#define S_SRAMDEEPSLEEP 8
38663#define V_SRAMDEEPSLEEP(x) ((x) << S_SRAMDEEPSLEEP)
38664#define F_SRAMDEEPSLEEP V_SRAMDEEPSLEEP(1U)
38665
38666#define S_TCAMDEEPSLEEP1 7
38667#define V_TCAMDEEPSLEEP1(x) ((x) << S_TCAMDEEPSLEEP1)
38668#define F_TCAMDEEPSLEEP1 V_TCAMDEEPSLEEP1(1U)
38669
38670#define S_TCAMDEEPSLEEP0 6
38671#define V_TCAMDEEPSLEEP0(x) ((x) << S_TCAMDEEPSLEEP0)
38672#define F_TCAMDEEPSLEEP0 V_TCAMDEEPSLEEP0(1U)
38673
38674#define S_SRVRAMCLKOFF 5
38675#define V_SRVRAMCLKOFF(x) ((x) << S_SRVRAMCLKOFF)
38676#define F_SRVRAMCLKOFF V_SRVRAMCLKOFF(1U)
38677
38678#define S_HASHCLKOFF 4
38679#define V_HASHCLKOFF(x) ((x) << S_HASHCLKOFF)
38680#define F_HASHCLKOFF V_HASHCLKOFF(1U)
38681
38682#define A_LE_DB_EXEC_CTRL 0x19c08
38683
38684#define S_TPDB_IF_PAUSE_ACK 10
38685#define V_TPDB_IF_PAUSE_ACK(x) ((x) << S_TPDB_IF_PAUSE_ACK)
38686#define F_TPDB_IF_PAUSE_ACK V_TPDB_IF_PAUSE_ACK(1U)
38687
38688#define S_TPDB_IF_PAUSE_REQ 9
38689#define V_TPDB_IF_PAUSE_REQ(x) ((x) << S_TPDB_IF_PAUSE_REQ)
38690#define F_TPDB_IF_PAUSE_REQ V_TPDB_IF_PAUSE_REQ(1U)
38691
38692#define S_ERRSTOP_EN 8
38693#define V_ERRSTOP_EN(x) ((x) << S_ERRSTOP_EN)
38694#define F_ERRSTOP_EN V_ERRSTOP_EN(1U)
38695
38696#define S_CMDLIMIT 0
38697#define M_CMDLIMIT 0xffU
38698#define V_CMDLIMIT(x) ((x) << S_CMDLIMIT)
38699#define G_CMDLIMIT(x) (((x) >> S_CMDLIMIT) & M_CMDLIMIT)
38700
38701#define A_LE_DB_PS_CTRL 0x19c0c
38702
38703#define S_CLTCAMDEEPSLEEP_STAT 10
38704#define V_CLTCAMDEEPSLEEP_STAT(x) ((x) << S_CLTCAMDEEPSLEEP_STAT)
38705#define F_CLTCAMDEEPSLEEP_STAT V_CLTCAMDEEPSLEEP_STAT(1U)
38706
38707#define S_TCAMDEEPSLEEP_STAT 9
38708#define V_TCAMDEEPSLEEP_STAT(x) ((x) << S_TCAMDEEPSLEEP_STAT)
38709#define F_TCAMDEEPSLEEP_STAT V_TCAMDEEPSLEEP_STAT(1U)
38710
38711#define S_CLTCAMDEEPSLEEP 7
38712#define V_CLTCAMDEEPSLEEP(x) ((x) << S_CLTCAMDEEPSLEEP)
38713#define F_CLTCAMDEEPSLEEP V_CLTCAMDEEPSLEEP(1U)
38714
38715#define S_TCAMDEEPSLEEP 6
38716#define V_TCAMDEEPSLEEP(x) ((x) << S_TCAMDEEPSLEEP)
38717#define F_TCAMDEEPSLEEP V_TCAMDEEPSLEEP(1U)
38718
38719#define A_LE_DB_ROUTING_TABLE_INDEX 0x19c10
38720
38721#define S_RTINDX 7
38722#define M_RTINDX 0x3fU
38723#define V_RTINDX(x) ((x) << S_RTINDX)
38724#define G_RTINDX(x) (((x) >> S_RTINDX) & M_RTINDX)
38725
38726#define A_LE_DB_ACTIVE_TABLE_START_INDEX 0x19c10
38727
38728#define S_ATINDX 0
38729#define M_ATINDX 0xfffffU
38730#define V_ATINDX(x) ((x) << S_ATINDX)
38731#define G_ATINDX(x) (((x) >> S_ATINDX) & M_ATINDX)
38732
38733#define A_LE_DB_FILTER_TABLE_INDEX 0x19c14
38734
38735#define S_FTINDX 7
38736#define M_FTINDX 0x3fU
38737#define V_FTINDX(x) ((x) << S_FTINDX)
38738#define G_FTINDX(x) (((x) >> S_FTINDX) & M_FTINDX)
38739
38740#define A_LE_DB_NORM_FILT_TABLE_START_INDEX 0x19c14
38741
38742#define S_NFTINDX 0
38743#define M_NFTINDX 0xfffffU
38744#define V_NFTINDX(x) ((x) << S_NFTINDX)
38745#define G_NFTINDX(x) (((x) >> S_NFTINDX) & M_NFTINDX)
38746
38747#define A_LE_DB_SERVER_INDEX 0x19c18
38748
38749#define S_SRINDX 7
38750#define M_SRINDX 0x3fU
38751#define V_SRINDX(x) ((x) << S_SRINDX)
38752#define G_SRINDX(x) (((x) >> S_SRINDX) & M_SRINDX)
38753
38754#define A_LE_DB_SRVR_START_INDEX 0x19c18
38755
38756#define S_T6_SRINDX 0
38757#define M_T6_SRINDX 0xfffffU
38758#define V_T6_SRINDX(x) ((x) << S_T6_SRINDX)
38759#define G_T6_SRINDX(x) (((x) >> S_T6_SRINDX) & M_T6_SRINDX)
38760
38761#define A_LE_DB_CLIP_TABLE_INDEX 0x19c1c
38762
38763#define S_CLIPTINDX 7
38764#define M_CLIPTINDX 0x3fU
38765#define V_CLIPTINDX(x) ((x) << S_CLIPTINDX)
38766#define G_CLIPTINDX(x) (((x) >> S_CLIPTINDX) & M_CLIPTINDX)
38767
38768#define A_LE_DB_HPRI_FILT_TABLE_START_INDEX 0x19c1c
38769
38770#define S_HFTINDX 0
38771#define M_HFTINDX 0xfffffU
38772#define V_HFTINDX(x) ((x) << S_HFTINDX)
38773#define G_HFTINDX(x) (((x) >> S_HFTINDX) & M_HFTINDX)
38774
38775#define A_LE_DB_ACT_CNT_IPV4 0x19c20
38776
38777#define S_ACTCNTIPV4 0
38778#define M_ACTCNTIPV4 0xfffffU
38779#define V_ACTCNTIPV4(x) ((x) << S_ACTCNTIPV4)
38780#define G_ACTCNTIPV4(x) (((x) >> S_ACTCNTIPV4) & M_ACTCNTIPV4)
38781
38782#define A_LE_DB_ACT_CNT_IPV6 0x19c24
38783
38784#define S_ACTCNTIPV6 0
38785#define M_ACTCNTIPV6 0xfffffU
38786#define V_ACTCNTIPV6(x) ((x) << S_ACTCNTIPV6)
38787#define G_ACTCNTIPV6(x) (((x) >> S_ACTCNTIPV6) & M_ACTCNTIPV6)
38788
38789#define A_LE_DB_HASH_CONFIG 0x19c28
38790
38791#define S_HASHTIDSIZE 16
38792#define M_HASHTIDSIZE 0x3fU
38793#define V_HASHTIDSIZE(x) ((x) << S_HASHTIDSIZE)
38794#define G_HASHTIDSIZE(x) (((x) >> S_HASHTIDSIZE) & M_HASHTIDSIZE)
38795
38796#define S_HASHSIZE 0
38797#define M_HASHSIZE 0x3fU
38798#define V_HASHSIZE(x) ((x) << S_HASHSIZE)
38799#define G_HASHSIZE(x) (((x) >> S_HASHSIZE) & M_HASHSIZE)
38800
38801#define S_NUMHASHBKT 20
38802#define M_NUMHASHBKT 0x1fU
38803#define V_NUMHASHBKT(x) ((x) << S_NUMHASHBKT)
38804#define G_NUMHASHBKT(x) (((x) >> S_NUMHASHBKT) & M_NUMHASHBKT)
38805
38806#define S_HASHTBLSIZE 3
38807#define M_HASHTBLSIZE 0x1ffffU
38808#define V_HASHTBLSIZE(x) ((x) << S_HASHTBLSIZE)
38809#define G_HASHTBLSIZE(x) (((x) >> S_HASHTBLSIZE) & M_HASHTBLSIZE)
38810
38811#define A_LE_DB_HASH_TABLE_BASE 0x19c2c
38812#define A_LE_DB_MIN_NUM_ACTV_TCAM_ENTRIES 0x19c2c
38813
38814#define S_MIN_ATCAM_ENTS 0
38815#define M_MIN_ATCAM_ENTS 0xfffffU
38816#define V_MIN_ATCAM_ENTS(x) ((x) << S_MIN_ATCAM_ENTS)
38817#define G_MIN_ATCAM_ENTS(x) (((x) >> S_MIN_ATCAM_ENTS) & M_MIN_ATCAM_ENTS)
38818
38819#define A_LE_DB_HASH_TID_BASE 0x19c30
38820#define A_LE_DB_HASH_TBL_BASE_ADDR 0x19c30
38821
38822#define S_HASHTBLADDR 4
38823#define M_HASHTBLADDR 0xfffffffU
38824#define V_HASHTBLADDR(x) ((x) << S_HASHTBLADDR)
38825#define G_HASHTBLADDR(x) (((x) >> S_HASHTBLADDR) & M_HASHTBLADDR)
38826
38827#define A_LE_DB_SIZE 0x19c34
38828#define A_LE_TCAM_SIZE 0x19c34
38829
38830#define S_TCAM_SIZE 0
38831#define M_TCAM_SIZE 0x3U
38832#define V_TCAM_SIZE(x) ((x) << S_TCAM_SIZE)
38833#define G_TCAM_SIZE(x) (((x) >> S_TCAM_SIZE) & M_TCAM_SIZE)
38834
38835#define A_LE_DB_INT_ENABLE 0x19c38
38836
38837#define S_MSGSEL 27
38838#define M_MSGSEL 0x1fU
38839#define V_MSGSEL(x) ((x) << S_MSGSEL)
38840#define G_MSGSEL(x) (((x) >> S_MSGSEL) & M_MSGSEL)
38841
38842#define S_REQQPARERR 16
38843#define V_REQQPARERR(x) ((x) << S_REQQPARERR)
38844#define F_REQQPARERR V_REQQPARERR(1U)
38845
38846#define S_UNKNOWNCMD 15
38847#define V_UNKNOWNCMD(x) ((x) << S_UNKNOWNCMD)
38848#define F_UNKNOWNCMD V_UNKNOWNCMD(1U)
38849
38850#define S_DROPFILTERHIT 13
38851#define V_DROPFILTERHIT(x) ((x) << S_DROPFILTERHIT)
38852#define F_DROPFILTERHIT V_DROPFILTERHIT(1U)
38853
38854#define S_FILTERHIT 12
38855#define V_FILTERHIT(x) ((x) << S_FILTERHIT)
38856#define F_FILTERHIT V_FILTERHIT(1U)
38857
38858#define S_SYNCOOKIEOFF 11
38859#define V_SYNCOOKIEOFF(x) ((x) << S_SYNCOOKIEOFF)
38860#define F_SYNCOOKIEOFF V_SYNCOOKIEOFF(1U)
38861
38862#define S_SYNCOOKIEBAD 10
38863#define V_SYNCOOKIEBAD(x) ((x) << S_SYNCOOKIEBAD)
38864#define F_SYNCOOKIEBAD V_SYNCOOKIEBAD(1U)
38865
38866#define S_SYNCOOKIE 9
38867#define V_SYNCOOKIE(x) ((x) << S_SYNCOOKIE)
38868#define F_SYNCOOKIE V_SYNCOOKIE(1U)
38869
38870#define S_NFASRCHFAIL 8
38871#define V_NFASRCHFAIL(x) ((x) << S_NFASRCHFAIL)
38872#define F_NFASRCHFAIL V_NFASRCHFAIL(1U)
38873
38874#define S_ACTRGNFULL 7
38875#define V_ACTRGNFULL(x) ((x) << S_ACTRGNFULL)
38876#define F_ACTRGNFULL V_ACTRGNFULL(1U)
38877
38878#define S_PARITYERR 6
38879#define V_PARITYERR(x) ((x) << S_PARITYERR)
38880#define F_PARITYERR V_PARITYERR(1U)
38881
38882#define S_LIPMISS 5
38883#define V_LIPMISS(x) ((x) << S_LIPMISS)
38884#define F_LIPMISS V_LIPMISS(1U)
38885
38886#define S_LIP0 4
38887#define V_LIP0(x) ((x) << S_LIP0)
38888#define F_LIP0 V_LIP0(1U)
38889
38890#define S_MISS 3
38891#define V_MISS(x) ((x) << S_MISS)
38892#define F_MISS V_MISS(1U)
38893
38894#define S_ROUTINGHIT 2
38895#define V_ROUTINGHIT(x) ((x) << S_ROUTINGHIT)
38896#define F_ROUTINGHIT V_ROUTINGHIT(1U)
38897
38898#define S_ACTIVEHIT 1
38899#define V_ACTIVEHIT(x) ((x) << S_ACTIVEHIT)
38900#define F_ACTIVEHIT V_ACTIVEHIT(1U)
38901
38902#define S_SERVERHIT 0
38903#define V_SERVERHIT(x) ((x) << S_SERVERHIT)
38904#define F_SERVERHIT V_SERVERHIT(1U)
38905
38906#define S_ACTCNTIPV6TZERO 21
38907#define V_ACTCNTIPV6TZERO(x) ((x) << S_ACTCNTIPV6TZERO)
38908#define F_ACTCNTIPV6TZERO V_ACTCNTIPV6TZERO(1U)
38909
38910#define S_ACTCNTIPV4TZERO 20
38911#define V_ACTCNTIPV4TZERO(x) ((x) << S_ACTCNTIPV4TZERO)
38912#define F_ACTCNTIPV4TZERO V_ACTCNTIPV4TZERO(1U)
38913
38914#define S_ACTCNTIPV6ZERO 19
38915#define V_ACTCNTIPV6ZERO(x) ((x) << S_ACTCNTIPV6ZERO)
38916#define F_ACTCNTIPV6ZERO V_ACTCNTIPV6ZERO(1U)
38917
38918#define S_ACTCNTIPV4ZERO 18
38919#define V_ACTCNTIPV4ZERO(x) ((x) << S_ACTCNTIPV4ZERO)
38920#define F_ACTCNTIPV4ZERO V_ACTCNTIPV4ZERO(1U)
38921
38922#define S_MARSPPARERR 17
38923#define V_MARSPPARERR(x) ((x) << S_MARSPPARERR)
38924#define F_MARSPPARERR V_MARSPPARERR(1U)
38925
38926#define S_VFPARERR 14
38927#define V_VFPARERR(x) ((x) << S_VFPARERR)
38928#define F_VFPARERR V_VFPARERR(1U)
38929
38930#define S_CLIPSUBERR 29
38931#define V_CLIPSUBERR(x) ((x) << S_CLIPSUBERR)
38932#define F_CLIPSUBERR V_CLIPSUBERR(1U)
38933
38934#define S_CLCAMFIFOERR 28
38935#define V_CLCAMFIFOERR(x) ((x) << S_CLCAMFIFOERR)
38936#define F_CLCAMFIFOERR V_CLCAMFIFOERR(1U)
38937
38938#define S_HASHTBLMEMCRCERR 27
38939#define V_HASHTBLMEMCRCERR(x) ((x) << S_HASHTBLMEMCRCERR)
38940#define F_HASHTBLMEMCRCERR V_HASHTBLMEMCRCERR(1U)
38941
38942#define S_CTCAMINVLDENT 26
38943#define V_CTCAMINVLDENT(x) ((x) << S_CTCAMINVLDENT)
38944#define F_CTCAMINVLDENT V_CTCAMINVLDENT(1U)
38945
38946#define S_TCAMINVLDENT 25
38947#define V_TCAMINVLDENT(x) ((x) << S_TCAMINVLDENT)
38948#define F_TCAMINVLDENT V_TCAMINVLDENT(1U)
38949
38950#define S_TOTCNTERR 24
38951#define V_TOTCNTERR(x) ((x) << S_TOTCNTERR)
38952#define F_TOTCNTERR V_TOTCNTERR(1U)
38953
38954#define S_CMDPRSRINTERR 23
38955#define V_CMDPRSRINTERR(x) ((x) << S_CMDPRSRINTERR)
38956#define F_CMDPRSRINTERR V_CMDPRSRINTERR(1U)
38957
38958#define S_CMDTIDERR 22
38959#define V_CMDTIDERR(x) ((x) << S_CMDTIDERR)
38960#define F_CMDTIDERR V_CMDTIDERR(1U)
38961
38962#define S_T6_ACTRGNFULL 21
38963#define V_T6_ACTRGNFULL(x) ((x) << S_T6_ACTRGNFULL)
38964#define F_T6_ACTRGNFULL V_T6_ACTRGNFULL(1U)
38965
38966#define S_T6_ACTCNTIPV6TZERO 20
38967#define V_T6_ACTCNTIPV6TZERO(x) ((x) << S_T6_ACTCNTIPV6TZERO)
38968#define F_T6_ACTCNTIPV6TZERO V_T6_ACTCNTIPV6TZERO(1U)
38969
38970#define S_T6_ACTCNTIPV4TZERO 19
38971#define V_T6_ACTCNTIPV4TZERO(x) ((x) << S_T6_ACTCNTIPV4TZERO)
38972#define F_T6_ACTCNTIPV4TZERO V_T6_ACTCNTIPV4TZERO(1U)
38973
38974#define S_T6_ACTCNTIPV6ZERO 18
38975#define V_T6_ACTCNTIPV6ZERO(x) ((x) << S_T6_ACTCNTIPV6ZERO)
38976#define F_T6_ACTCNTIPV6ZERO V_T6_ACTCNTIPV6ZERO(1U)
38977
38978#define S_T6_ACTCNTIPV4ZERO 17
38979#define V_T6_ACTCNTIPV4ZERO(x) ((x) << S_T6_ACTCNTIPV4ZERO)
38980#define F_T6_ACTCNTIPV4ZERO V_T6_ACTCNTIPV4ZERO(1U)
38981
38982#define S_MAIFWRINTPERR 16
38983#define V_MAIFWRINTPERR(x) ((x) << S_MAIFWRINTPERR)
38984#define F_MAIFWRINTPERR V_MAIFWRINTPERR(1U)
38985
38986#define S_HASHTBLMEMACCERR 15
38987#define V_HASHTBLMEMACCERR(x) ((x) << S_HASHTBLMEMACCERR)
38988#define F_HASHTBLMEMACCERR V_HASHTBLMEMACCERR(1U)
38989
38990#define S_TCAMCRCERR 14
38991#define V_TCAMCRCERR(x) ((x) << S_TCAMCRCERR)
38992#define F_TCAMCRCERR V_TCAMCRCERR(1U)
38993
38994#define S_TCAMINTPERR 13
38995#define V_TCAMINTPERR(x) ((x) << S_TCAMINTPERR)
38996#define F_TCAMINTPERR V_TCAMINTPERR(1U)
38997
38998#define S_VFSRAMPERR 12
38999#define V_VFSRAMPERR(x) ((x) << S_VFSRAMPERR)
39000#define F_VFSRAMPERR V_VFSRAMPERR(1U)
39001
39002#define S_SRVSRAMPERR 11
39003#define V_SRVSRAMPERR(x) ((x) << S_SRVSRAMPERR)
39004#define F_SRVSRAMPERR V_SRVSRAMPERR(1U)
39005
39006#define S_SSRAMINTPERR 10
39007#define V_SSRAMINTPERR(x) ((x) << S_SSRAMINTPERR)
39008#define F_SSRAMINTPERR V_SSRAMINTPERR(1U)
39009
39010#define S_CLCAMINTPERR 9
39011#define V_CLCAMINTPERR(x) ((x) << S_CLCAMINTPERR)
39012#define F_CLCAMINTPERR V_CLCAMINTPERR(1U)
39013
39014#define S_CLCAMCRCPARERR 8
39015#define V_CLCAMCRCPARERR(x) ((x) << S_CLCAMCRCPARERR)
39016#define F_CLCAMCRCPARERR V_CLCAMCRCPARERR(1U)
39017
39018#define S_HASHTBLACCFAIL 7
39019#define V_HASHTBLACCFAIL(x) ((x) << S_HASHTBLACCFAIL)
39020#define F_HASHTBLACCFAIL V_HASHTBLACCFAIL(1U)
39021
39022#define S_TCAMACCFAIL 6
39023#define V_TCAMACCFAIL(x) ((x) << S_TCAMACCFAIL)
39024#define F_TCAMACCFAIL V_TCAMACCFAIL(1U)
39025
39026#define S_SRVSRAMACCFAIL 5
39027#define V_SRVSRAMACCFAIL(x) ((x) << S_SRVSRAMACCFAIL)
39028#define F_SRVSRAMACCFAIL V_SRVSRAMACCFAIL(1U)
39029
39030#define S_CLIPTCAMACCFAIL 4
39031#define V_CLIPTCAMACCFAIL(x) ((x) << S_CLIPTCAMACCFAIL)
39032#define F_CLIPTCAMACCFAIL V_CLIPTCAMACCFAIL(1U)
39033
39034#define S_T6_UNKNOWNCMD 3
39035#define V_T6_UNKNOWNCMD(x) ((x) << S_T6_UNKNOWNCMD)
39036#define F_T6_UNKNOWNCMD V_T6_UNKNOWNCMD(1U)
39037
39038#define S_T6_LIP0 2
39039#define V_T6_LIP0(x) ((x) << S_T6_LIP0)
39040#define F_T6_LIP0 V_T6_LIP0(1U)
39041
39042#define S_T6_LIPMISS 1
39043#define V_T6_LIPMISS(x) ((x) << S_T6_LIPMISS)
39044#define F_T6_LIPMISS V_T6_LIPMISS(1U)
39045
39046#define S_PIPELINEERR 0
39047#define V_PIPELINEERR(x) ((x) << S_PIPELINEERR)
39048#define F_PIPELINEERR V_PIPELINEERR(1U)
39049
39050#define A_LE_DB_INT_CAUSE 0x19c3c
39051
39052#define S_T6_ACTRGNFULL 21
39053#define V_T6_ACTRGNFULL(x) ((x) << S_T6_ACTRGNFULL)
39054#define F_T6_ACTRGNFULL V_T6_ACTRGNFULL(1U)
39055
39056#define S_T6_ACTCNTIPV6TZERO 20
39057#define V_T6_ACTCNTIPV6TZERO(x) ((x) << S_T6_ACTCNTIPV6TZERO)
39058#define F_T6_ACTCNTIPV6TZERO V_T6_ACTCNTIPV6TZERO(1U)
39059
39060#define S_T6_ACTCNTIPV4TZERO 19
39061#define V_T6_ACTCNTIPV4TZERO(x) ((x) << S_T6_ACTCNTIPV4TZERO)
39062#define F_T6_ACTCNTIPV4TZERO V_T6_ACTCNTIPV4TZERO(1U)
39063
39064#define S_T6_ACTCNTIPV6ZERO 18
39065#define V_T6_ACTCNTIPV6ZERO(x) ((x) << S_T6_ACTCNTIPV6ZERO)
39066#define F_T6_ACTCNTIPV6ZERO V_T6_ACTCNTIPV6ZERO(1U)
39067
39068#define S_T6_ACTCNTIPV4ZERO 17
39069#define V_T6_ACTCNTIPV4ZERO(x) ((x) << S_T6_ACTCNTIPV4ZERO)
39070#define F_T6_ACTCNTIPV4ZERO V_T6_ACTCNTIPV4ZERO(1U)
39071
39072#define S_T6_UNKNOWNCMD 3
39073#define V_T6_UNKNOWNCMD(x) ((x) << S_T6_UNKNOWNCMD)
39074#define F_T6_UNKNOWNCMD V_T6_UNKNOWNCMD(1U)
39075
39076#define S_T6_LIP0 2
39077#define V_T6_LIP0(x) ((x) << S_T6_LIP0)
39078#define F_T6_LIP0 V_T6_LIP0(1U)
39079
39080#define S_T6_LIPMISS 1
39081#define V_T6_LIPMISS(x) ((x) << S_T6_LIPMISS)
39082#define F_T6_LIPMISS V_T6_LIPMISS(1U)
39083
39084#define A_LE_DB_INT_TID 0x19c40
39085
39086#define S_INTTID 0
39087#define M_INTTID 0xfffffU
39088#define V_INTTID(x) ((x) << S_INTTID)
39089#define G_INTTID(x) (((x) >> S_INTTID) & M_INTTID)
39090
39091#define A_LE_DB_DBG_MATCH_CMD_IDX_MASK 0x19c40
39092
39093#define S_CMD_CMP_MASK 20
39094#define M_CMD_CMP_MASK 0x1fU
39095#define V_CMD_CMP_MASK(x) ((x) << S_CMD_CMP_MASK)
39096#define G_CMD_CMP_MASK(x) (((x) >> S_CMD_CMP_MASK) & M_CMD_CMP_MASK)
39097
39098#define S_TID_CMP_MASK 0
39099#define M_TID_CMP_MASK 0xfffffU
39100#define V_TID_CMP_MASK(x) ((x) << S_TID_CMP_MASK)
39101#define G_TID_CMP_MASK(x) (((x) >> S_TID_CMP_MASK) & M_TID_CMP_MASK)
39102
39103#define A_LE_DB_INT_PTID 0x19c44
39104
39105#define S_INTPTID 0
39106#define M_INTPTID 0xfffffU
39107#define V_INTPTID(x) ((x) << S_INTPTID)
39108#define G_INTPTID(x) (((x) >> S_INTPTID) & M_INTPTID)
39109
39110#define A_LE_DB_DBG_MATCH_CMD_IDX_DATA 0x19c44
39111
39112#define S_CMD_CMP 20
39113#define M_CMD_CMP 0x1fU
39114#define V_CMD_CMP(x) ((x) << S_CMD_CMP)
39115#define G_CMD_CMP(x) (((x) >> S_CMD_CMP) & M_CMD_CMP)
39116
39117#define S_TID_CMP 0
39118#define M_TID_CMP 0xfffffU
39119#define V_TID_CMP(x) ((x) << S_TID_CMP)
39120#define G_TID_CMP(x) (((x) >> S_TID_CMP) & M_TID_CMP)
39121
39122#define A_LE_DB_INT_INDEX 0x19c48
39123
39124#define S_INTINDEX 0
39125#define M_INTINDEX 0xfffffU
39126#define V_INTINDEX(x) ((x) << S_INTINDEX)
39127#define G_INTINDEX(x) (((x) >> S_INTINDEX) & M_INTINDEX)
39128
39129#define A_LE_DB_ERR_CMD_TID 0x19c48
39130
39131#define S_ERR_CID 22
39132#define M_ERR_CID 0xffU
39133#define V_ERR_CID(x) ((x) << S_ERR_CID)
39134#define G_ERR_CID(x) (((x) >> S_ERR_CID) & M_ERR_CID)
39135
39136#define S_ERR_PROT 20
39137#define M_ERR_PROT 0x3U
39138#define V_ERR_PROT(x) ((x) << S_ERR_PROT)
39139#define G_ERR_PROT(x) (((x) >> S_ERR_PROT) & M_ERR_PROT)
39140
39141#define S_ERR_TID 0
39142#define M_ERR_TID 0xfffffU
39143#define V_ERR_TID(x) ((x) << S_ERR_TID)
39144#define G_ERR_TID(x) (((x) >> S_ERR_TID) & M_ERR_TID)
39145
39146#define A_LE_DB_INT_CMD 0x19c4c
39147
39148#define S_INTCMD 0
39149#define M_INTCMD 0xfU
39150#define V_INTCMD(x) ((x) << S_INTCMD)
39151#define G_INTCMD(x) (((x) >> S_INTCMD) & M_INTCMD)
39152
39153#define A_LE_DB_MASK_IPV4 0x19c50
39154#define A_LE_T5_DB_MASK_IPV4 0x19c50
39155#define A_LE_DB_DBG_MATCH_DATA_MASK 0x19c50
39156#define A_LE_DB_MAX_NUM_HASH_ENTRIES 0x19c70
39157
39158#define S_MAX_HASH_ENTS 0
39159#define M_MAX_HASH_ENTS 0xfffffU
39160#define V_MAX_HASH_ENTS(x) ((x) << S_MAX_HASH_ENTS)
39161#define G_MAX_HASH_ENTS(x) (((x) >> S_MAX_HASH_ENTS) & M_MAX_HASH_ENTS)
39162
39163#define A_LE_DB_RSP_CODE_0 0x19c74
39164
39165#define S_SUCCESS 25
39166#define M_SUCCESS 0x1fU
39167#define V_SUCCESS(x) ((x) << S_SUCCESS)
39168#define G_SUCCESS(x) (((x) >> S_SUCCESS) & M_SUCCESS)
39169
39170#define S_TCAM_ACTV_SUCC 20
39171#define M_TCAM_ACTV_SUCC 0x1fU
39172#define V_TCAM_ACTV_SUCC(x) ((x) << S_TCAM_ACTV_SUCC)
39173#define G_TCAM_ACTV_SUCC(x) (((x) >> S_TCAM_ACTV_SUCC) & M_TCAM_ACTV_SUCC)
39174
39175#define S_HASH_ACTV_SUCC 15
39176#define M_HASH_ACTV_SUCC 0x1fU
39177#define V_HASH_ACTV_SUCC(x) ((x) << S_HASH_ACTV_SUCC)
39178#define G_HASH_ACTV_SUCC(x) (((x) >> S_HASH_ACTV_SUCC) & M_HASH_ACTV_SUCC)
39179
39180#define S_TCAM_SRVR_HIT 10
39181#define M_TCAM_SRVR_HIT 0x1fU
39182#define V_TCAM_SRVR_HIT(x) ((x) << S_TCAM_SRVR_HIT)
39183#define G_TCAM_SRVR_HIT(x) (((x) >> S_TCAM_SRVR_HIT) & M_TCAM_SRVR_HIT)
39184
39185#define S_SRAM_SRVR_HIT 5
39186#define M_SRAM_SRVR_HIT 0x1fU
39187#define V_SRAM_SRVR_HIT(x) ((x) << S_SRAM_SRVR_HIT)
39188#define G_SRAM_SRVR_HIT(x) (((x) >> S_SRAM_SRVR_HIT) & M_SRAM_SRVR_HIT)
39189
39190#define S_TCAM_ACTV_HIT 0
39191#define M_TCAM_ACTV_HIT 0x1fU
39192#define V_TCAM_ACTV_HIT(x) ((x) << S_TCAM_ACTV_HIT)
39193#define G_TCAM_ACTV_HIT(x) (((x) >> S_TCAM_ACTV_HIT) & M_TCAM_ACTV_HIT)
39194
39195#define A_LE_DB_RSP_CODE_1 0x19c78
39196
39197#define S_HASH_ACTV_HIT 25
39198#define M_HASH_ACTV_HIT 0x1fU
39199#define V_HASH_ACTV_HIT(x) ((x) << S_HASH_ACTV_HIT)
39200#define G_HASH_ACTV_HIT(x) (((x) >> S_HASH_ACTV_HIT) & M_HASH_ACTV_HIT)
39201
39202#define S_T6_MISS 20
39203#define M_T6_MISS 0x1fU
39204#define V_T6_MISS(x) ((x) << S_T6_MISS)
39205#define G_T6_MISS(x) (((x) >> S_T6_MISS) & M_T6_MISS)
39206
39207#define S_NORM_FILT_HIT 15
39208#define M_NORM_FILT_HIT 0x1fU
39209#define V_NORM_FILT_HIT(x) ((x) << S_NORM_FILT_HIT)
39210#define G_NORM_FILT_HIT(x) (((x) >> S_NORM_FILT_HIT) & M_NORM_FILT_HIT)
39211
39212#define S_HPRI_FILT_HIT 10
39213#define M_HPRI_FILT_HIT 0x1fU
39214#define V_HPRI_FILT_HIT(x) ((x) << S_HPRI_FILT_HIT)
39215#define G_HPRI_FILT_HIT(x) (((x) >> S_HPRI_FILT_HIT) & M_HPRI_FILT_HIT)
39216
39217#define S_ACTV_OPEN_ERR 5
39218#define M_ACTV_OPEN_ERR 0x1fU
39219#define V_ACTV_OPEN_ERR(x) ((x) << S_ACTV_OPEN_ERR)
39220#define G_ACTV_OPEN_ERR(x) (((x) >> S_ACTV_OPEN_ERR) & M_ACTV_OPEN_ERR)
39221
39222#define S_ACTV_FULL_ERR 0
39223#define M_ACTV_FULL_ERR 0x1fU
39224#define V_ACTV_FULL_ERR(x) ((x) << S_ACTV_FULL_ERR)
39225#define G_ACTV_FULL_ERR(x) (((x) >> S_ACTV_FULL_ERR) & M_ACTV_FULL_ERR)
39226
39227#define A_LE_DB_RSP_CODE_2 0x19c7c
39228
39229#define S_SRCH_RGN_HIT 25
39230#define M_SRCH_RGN_HIT 0x1fU
39231#define V_SRCH_RGN_HIT(x) ((x) << S_SRCH_RGN_HIT)
39232#define G_SRCH_RGN_HIT(x) (((x) >> S_SRCH_RGN_HIT) & M_SRCH_RGN_HIT)
39233
39234#define S_CLIP_FAIL 20
39235#define M_CLIP_FAIL 0x1fU
39236#define V_CLIP_FAIL(x) ((x) << S_CLIP_FAIL)
39237#define G_CLIP_FAIL(x) (((x) >> S_CLIP_FAIL) & M_CLIP_FAIL)
39238
39239#define S_LIP_ZERO_ERR 15
39240#define M_LIP_ZERO_ERR 0x1fU
39241#define V_LIP_ZERO_ERR(x) ((x) << S_LIP_ZERO_ERR)
39242#define G_LIP_ZERO_ERR(x) (((x) >> S_LIP_ZERO_ERR) & M_LIP_ZERO_ERR)
39243
39244#define S_UNKNOWN_CMD 10
39245#define M_UNKNOWN_CMD 0x1fU
39246#define V_UNKNOWN_CMD(x) ((x) << S_UNKNOWN_CMD)
39247#define G_UNKNOWN_CMD(x) (((x) >> S_UNKNOWN_CMD) & M_UNKNOWN_CMD)
39248
39249#define S_CMD_TID_ERR 5
39250#define M_CMD_TID_ERR 0x1fU
39251#define V_CMD_TID_ERR(x) ((x) << S_CMD_TID_ERR)
39252#define G_CMD_TID_ERR(x) (((x) >> S_CMD_TID_ERR) & M_CMD_TID_ERR)
39253
39254#define S_INTERNAL_ERR 0
39255#define M_INTERNAL_ERR 0x1fU
39256#define V_INTERNAL_ERR(x) ((x) << S_INTERNAL_ERR)
39257#define G_INTERNAL_ERR(x) (((x) >> S_INTERNAL_ERR) & M_INTERNAL_ERR)
39258
39259#define A_LE_DB_RSP_CODE_3 0x19c80
39260
39261#define S_SRAM_SRVR_HIT_ACTF 25
39262#define M_SRAM_SRVR_HIT_ACTF 0x1fU
39263#define V_SRAM_SRVR_HIT_ACTF(x) ((x) << S_SRAM_SRVR_HIT_ACTF)
39264#define G_SRAM_SRVR_HIT_ACTF(x) (((x) >> S_SRAM_SRVR_HIT_ACTF) & M_SRAM_SRVR_HIT_ACTF)
39265
39266#define S_TCAM_SRVR_HIT_ACTF 20
39267#define M_TCAM_SRVR_HIT_ACTF 0x1fU
39268#define V_TCAM_SRVR_HIT_ACTF(x) ((x) << S_TCAM_SRVR_HIT_ACTF)
39269#define G_TCAM_SRVR_HIT_ACTF(x) (((x) >> S_TCAM_SRVR_HIT_ACTF) & M_TCAM_SRVR_HIT_ACTF)
39270
39271#define S_INVLDRD 15
39272#define M_INVLDRD 0x1fU
39273#define V_INVLDRD(x) ((x) << S_INVLDRD)
39274#define G_INVLDRD(x) (((x) >> S_INVLDRD) & M_INVLDRD)
39275
39276#define S_TUPLZERO 10
39277#define M_TUPLZERO 0x1fU
39278#define V_TUPLZERO(x) ((x) << S_TUPLZERO)
39279#define G_TUPLZERO(x) (((x) >> S_TUPLZERO) & M_TUPLZERO)
39280
39281#define A_LE_DB_ACT_CNT_IPV4_TCAM 0x19c94
39282#define A_LE_DB_ACT_CNT_IPV6_TCAM 0x19c98
39283#define A_LE_ACT_CNT_THRSH 0x19c9c
39284
39285#define S_ACT_CNT_THRSH 0
39286#define M_ACT_CNT_THRSH 0x1fffffU
39287#define V_ACT_CNT_THRSH(x) ((x) << S_ACT_CNT_THRSH)
39288#define G_ACT_CNT_THRSH(x) (((x) >> S_ACT_CNT_THRSH) & M_ACT_CNT_THRSH)
39289
39290#define A_LE_DB_MASK_IPV6 0x19ca0
39291#define A_LE_DB_DBG_MATCH_DATA 0x19ca0
39292#define A_LE_DB_REQ_RSP_CNT 0x19ce4
39293
39294#define S_T4_RSPCNT 16
39295#define M_T4_RSPCNT 0xffffU
39296#define V_T4_RSPCNT(x) ((x) << S_T4_RSPCNT)
39297#define G_T4_RSPCNT(x) (((x) >> S_T4_RSPCNT) & M_T4_RSPCNT)
39298
39299#define S_T4_REQCNT 0
39300#define M_T4_REQCNT 0xffffU
39301#define V_T4_REQCNT(x) ((x) << S_T4_REQCNT)
39302#define G_T4_REQCNT(x) (((x) >> S_T4_REQCNT) & M_T4_REQCNT)
39303
39304#define S_RSPCNTLE 16
39305#define M_RSPCNTLE 0xffffU
39306#define V_RSPCNTLE(x) ((x) << S_RSPCNTLE)
39307#define G_RSPCNTLE(x) (((x) >> S_RSPCNTLE) & M_RSPCNTLE)
39308
39309#define S_REQCNTLE 0
39310#define M_REQCNTLE 0xffffU
39311#define V_REQCNTLE(x) ((x) << S_REQCNTLE)
39312#define G_REQCNTLE(x) (((x) >> S_REQCNTLE) & M_REQCNTLE)
39313
39314#define A_LE_DB_DBGI_CONFIG 0x19cf0
39315
39316#define S_DBGICMDPERR 31
39317#define V_DBGICMDPERR(x) ((x) << S_DBGICMDPERR)
39318#define F_DBGICMDPERR V_DBGICMDPERR(1U)
39319
39320#define S_DBGICMDRANGE 22
39321#define M_DBGICMDRANGE 0x7U
39322#define V_DBGICMDRANGE(x) ((x) << S_DBGICMDRANGE)
39323#define G_DBGICMDRANGE(x) (((x) >> S_DBGICMDRANGE) & M_DBGICMDRANGE)
39324
39325#define S_DBGICMDMSKTYPE 21
39326#define V_DBGICMDMSKTYPE(x) ((x) << S_DBGICMDMSKTYPE)
39327#define F_DBGICMDMSKTYPE V_DBGICMDMSKTYPE(1U)
39328
39329#define S_DBGICMDSEARCH 20
39330#define V_DBGICMDSEARCH(x) ((x) << S_DBGICMDSEARCH)
39331#define F_DBGICMDSEARCH V_DBGICMDSEARCH(1U)
39332
39333#define S_DBGICMDREAD 19
39334#define V_DBGICMDREAD(x) ((x) << S_DBGICMDREAD)
39335#define F_DBGICMDREAD V_DBGICMDREAD(1U)
39336
39337#define S_DBGICMDLEARN 18
39338#define V_DBGICMDLEARN(x) ((x) << S_DBGICMDLEARN)
39339#define F_DBGICMDLEARN V_DBGICMDLEARN(1U)
39340
39341#define S_DBGICMDERASE 17
39342#define V_DBGICMDERASE(x) ((x) << S_DBGICMDERASE)
39343#define F_DBGICMDERASE V_DBGICMDERASE(1U)
39344
39345#define S_DBGICMDIPV6 16
39346#define V_DBGICMDIPV6(x) ((x) << S_DBGICMDIPV6)
39347#define F_DBGICMDIPV6 V_DBGICMDIPV6(1U)
39348
39349#define S_DBGICMDTYPE 13
39350#define M_DBGICMDTYPE 0x7U
39351#define V_DBGICMDTYPE(x) ((x) << S_DBGICMDTYPE)
39352#define G_DBGICMDTYPE(x) (((x) >> S_DBGICMDTYPE) & M_DBGICMDTYPE)
39353
39354#define S_DBGICMDACKERR 12
39355#define V_DBGICMDACKERR(x) ((x) << S_DBGICMDACKERR)
39356#define F_DBGICMDACKERR V_DBGICMDACKERR(1U)
39357
39358#define S_DBGICMDBUSY 3
39359#define V_DBGICMDBUSY(x) ((x) << S_DBGICMDBUSY)
39360#define F_DBGICMDBUSY V_DBGICMDBUSY(1U)
39361
39362#define S_DBGICMDSTRT 2
39363#define V_DBGICMDSTRT(x) ((x) << S_DBGICMDSTRT)
39364#define F_DBGICMDSTRT V_DBGICMDSTRT(1U)
39365
39366#define S_DBGICMDMODE 0
39367#define M_DBGICMDMODE 0x3U
39368#define V_DBGICMDMODE(x) ((x) << S_DBGICMDMODE)
39369#define G_DBGICMDMODE(x) (((x) >> S_DBGICMDMODE) & M_DBGICMDMODE)
39370
39371#define S_DBGICMDMSKREAD 21
39372#define V_DBGICMDMSKREAD(x) ((x) << S_DBGICMDMSKREAD)
39373#define F_DBGICMDMSKREAD V_DBGICMDMSKREAD(1U)
39374
39375#define S_DBGICMDWRITE 17
39376#define V_DBGICMDWRITE(x) ((x) << S_DBGICMDWRITE)
39377#define F_DBGICMDWRITE V_DBGICMDWRITE(1U)
39378
39379#define A_LE_DB_DBGI_REQ_TCAM_CMD 0x19cf4
39380
39381#define S_DBGICMD 20
39382#define M_DBGICMD 0xfU
39383#define V_DBGICMD(x) ((x) << S_DBGICMD)
39384#define G_DBGICMD(x) (((x) >> S_DBGICMD) & M_DBGICMD)
39385
39386#define S_DBGITINDEX 0
39387#define M_DBGITINDEX 0xfffffU
39388#define V_DBGITINDEX(x) ((x) << S_DBGITINDEX)
39389#define G_DBGITINDEX(x) (((x) >> S_DBGITINDEX) & M_DBGITINDEX)
39390
39391#define A_LE_DB_DBGI_REQ_CMD 0x19cf4
39392
39393#define S_DBGITID 0
39394#define M_DBGITID 0xfffffU
39395#define V_DBGITID(x) ((x) << S_DBGITID)
39396#define G_DBGITID(x) (((x) >> S_DBGITID) & M_DBGITID)
39397
39398#define A_LE_PERR_ENABLE 0x19cf8
39399
39400#define S_REQQUEUE 1
39401#define V_REQQUEUE(x) ((x) << S_REQQUEUE)
39402#define F_REQQUEUE V_REQQUEUE(1U)
39403
39404#define S_TCAM 0
39405#define V_TCAM(x) ((x) << S_TCAM)
39406#define F_TCAM V_TCAM(1U)
39407
39408#define S_MARSPPARERRLE 17
39409#define V_MARSPPARERRLE(x) ((x) << S_MARSPPARERRLE)
39410#define F_MARSPPARERRLE V_MARSPPARERRLE(1U)
39411
39412#define S_REQQUEUELE 16
39413#define V_REQQUEUELE(x) ((x) << S_REQQUEUELE)
39414#define F_REQQUEUELE V_REQQUEUELE(1U)
39415
39416#define S_VFPARERRLE 14
39417#define V_VFPARERRLE(x) ((x) << S_VFPARERRLE)
39418#define F_VFPARERRLE V_VFPARERRLE(1U)
39419
39420#define S_TCAMLE 6
39421#define V_TCAMLE(x) ((x) << S_TCAMLE)
39422#define F_TCAMLE V_TCAMLE(1U)
39423
39424#define S_BKCHKPERIOD 22
39425#define M_BKCHKPERIOD 0x3ffU
39426#define V_BKCHKPERIOD(x) ((x) << S_BKCHKPERIOD)
39427#define G_BKCHKPERIOD(x) (((x) >> S_BKCHKPERIOD) & M_BKCHKPERIOD)
39428
39429#define S_TCAMBKCHKEN 21
39430#define V_TCAMBKCHKEN(x) ((x) << S_TCAMBKCHKEN)
39431#define F_TCAMBKCHKEN V_TCAMBKCHKEN(1U)
39432
39433#define S_T6_CLCAMFIFOERR 2
39434#define V_T6_CLCAMFIFOERR(x) ((x) << S_T6_CLCAMFIFOERR)
39435#define F_T6_CLCAMFIFOERR V_T6_CLCAMFIFOERR(1U)
39436
39437#define S_T6_HASHTBLMEMCRCERR 1
39438#define V_T6_HASHTBLMEMCRCERR(x) ((x) << S_T6_HASHTBLMEMCRCERR)
39439#define F_T6_HASHTBLMEMCRCERR V_T6_HASHTBLMEMCRCERR(1U)
39440
39441#define A_LE_SPARE 0x19cfc
39442#define A_LE_DB_DBGI_REQ_DATA 0x19d00
39443#define A_LE_DB_DBGI_REQ_MASK 0x19d50
39444#define A_LE_DB_DBGI_RSP_STATUS 0x19d94
39445
39446#define S_DBGIRSPINDEX 12
39447#define M_DBGIRSPINDEX 0xfffffU
39448#define V_DBGIRSPINDEX(x) ((x) << S_DBGIRSPINDEX)
39449#define G_DBGIRSPINDEX(x) (((x) >> S_DBGIRSPINDEX) & M_DBGIRSPINDEX)
39450
39451#define S_DBGIRSPMSG 8
39452#define M_DBGIRSPMSG 0xfU
39453#define V_DBGIRSPMSG(x) ((x) << S_DBGIRSPMSG)
39454#define G_DBGIRSPMSG(x) (((x) >> S_DBGIRSPMSG) & M_DBGIRSPMSG)
39455
39456#define S_DBGIRSPMSGVLD 7
39457#define V_DBGIRSPMSGVLD(x) ((x) << S_DBGIRSPMSGVLD)
39458#define F_DBGIRSPMSGVLD V_DBGIRSPMSGVLD(1U)
39459
39460#define S_DBGIRSPMHIT 2
39461#define V_DBGIRSPMHIT(x) ((x) << S_DBGIRSPMHIT)
39462#define F_DBGIRSPMHIT V_DBGIRSPMHIT(1U)
39463
39464#define S_DBGIRSPHIT 1
39465#define V_DBGIRSPHIT(x) ((x) << S_DBGIRSPHIT)
39466#define F_DBGIRSPHIT V_DBGIRSPHIT(1U)
39467
39468#define S_DBGIRSPVALID 0
39469#define V_DBGIRSPVALID(x) ((x) << S_DBGIRSPVALID)
39470#define F_DBGIRSPVALID V_DBGIRSPVALID(1U)
39471
39472#define S_DBGIRSPTID 12
39473#define M_DBGIRSPTID 0xfffffU
39474#define V_DBGIRSPTID(x) ((x) << S_DBGIRSPTID)
39475#define G_DBGIRSPTID(x) (((x) >> S_DBGIRSPTID) & M_DBGIRSPTID)
39476
39477#define S_DBGIRSPLEARN 2
39478#define V_DBGIRSPLEARN(x) ((x) << S_DBGIRSPLEARN)
39479#define F_DBGIRSPLEARN V_DBGIRSPLEARN(1U)
39480
39481#define A_LE_DBG_SEL 0x19d98
39482#define A_LE_DB_DBGI_RSP_DATA 0x19da0
39483#define A_LE_DB_DBGI_RSP_LAST_CMD 0x19de4
39484
39485#define S_LASTCMDB 16
39486#define M_LASTCMDB 0x7ffU
39487#define V_LASTCMDB(x) ((x) << S_LASTCMDB)
39488#define G_LASTCMDB(x) (((x) >> S_LASTCMDB) & M_LASTCMDB)
39489
39490#define S_LASTCMDA 0
39491#define M_LASTCMDA 0x7ffU
39492#define V_LASTCMDA(x) ((x) << S_LASTCMDA)
39493#define G_LASTCMDA(x) (((x) >> S_LASTCMDA) & M_LASTCMDA)
39494
39495#define A_LE_DB_DROP_FILTER_ENTRY 0x19de8
39496
39497#define S_DROPFILTEREN 31
39498#define V_DROPFILTEREN(x) ((x) << S_DROPFILTEREN)
39499#define F_DROPFILTEREN V_DROPFILTEREN(1U)
39500
39501#define S_DROPFILTERCLEAR 17
39502#define V_DROPFILTERCLEAR(x) ((x) << S_DROPFILTERCLEAR)
39503#define F_DROPFILTERCLEAR V_DROPFILTERCLEAR(1U)
39504
39505#define S_DROPFILTERSET 16
39506#define V_DROPFILTERSET(x) ((x) << S_DROPFILTERSET)
39507#define F_DROPFILTERSET V_DROPFILTERSET(1U)
39508
39509#define S_DROPFILTERFIDX 0
39510#define M_DROPFILTERFIDX 0x1fffU
39511#define V_DROPFILTERFIDX(x) ((x) << S_DROPFILTERFIDX)
39512#define G_DROPFILTERFIDX(x) (((x) >> S_DROPFILTERFIDX) & M_DROPFILTERFIDX)
39513
39514#define A_LE_DB_PTID_SVRBASE 0x19df0
39515
39516#define S_SVRBASE_ADDR 2
39517#define M_SVRBASE_ADDR 0x3ffffU
39518#define V_SVRBASE_ADDR(x) ((x) << S_SVRBASE_ADDR)
39519#define G_SVRBASE_ADDR(x) (((x) >> S_SVRBASE_ADDR) & M_SVRBASE_ADDR)
39520
39521#define A_LE_DB_TCAM_TID_BASE 0x19df0
39522
39523#define S_TCAM_TID_BASE 0
39524#define M_TCAM_TID_BASE 0xfffffU
39525#define V_TCAM_TID_BASE(x) ((x) << S_TCAM_TID_BASE)
39526#define G_TCAM_TID_BASE(x) (((x) >> S_TCAM_TID_BASE) & M_TCAM_TID_BASE)
39527
39528#define A_LE_DB_FTID_FLTRBASE 0x19df4
39529
39530#define S_FLTRBASE_ADDR 2
39531#define M_FLTRBASE_ADDR 0x3ffffU
39532#define V_FLTRBASE_ADDR(x) ((x) << S_FLTRBASE_ADDR)
39533#define G_FLTRBASE_ADDR(x) (((x) >> S_FLTRBASE_ADDR) & M_FLTRBASE_ADDR)
39534
39535#define A_LE_DB_CLCAM_TID_BASE 0x19df4
39536
39537#define S_CLCAM_TID_BASE 0
39538#define M_CLCAM_TID_BASE 0xfffffU
39539#define V_CLCAM_TID_BASE(x) ((x) << S_CLCAM_TID_BASE)
39540#define G_CLCAM_TID_BASE(x) (((x) >> S_CLCAM_TID_BASE) & M_CLCAM_TID_BASE)
39541
39542#define A_LE_DB_TID_HASHBASE 0x19df8
39543
39544#define S_HASHBASE_ADDR 2
39545#define M_HASHBASE_ADDR 0xfffffU
39546#define V_HASHBASE_ADDR(x) ((x) << S_HASHBASE_ADDR)
39547#define G_HASHBASE_ADDR(x) (((x) >> S_HASHBASE_ADDR) & M_HASHBASE_ADDR)
39548
39549#define A_T6_LE_DB_HASH_TID_BASE 0x19df8
39550
39551#define S_HASH_TID_BASE 0
39552#define M_HASH_TID_BASE 0xfffffU
39553#define V_HASH_TID_BASE(x) ((x) << S_HASH_TID_BASE)
39554#define G_HASH_TID_BASE(x) (((x) >> S_HASH_TID_BASE) & M_HASH_TID_BASE)
39555
39556#define A_LE_PERR_INJECT 0x19dfc
39557
39558#define S_LEMEMSEL 1
39559#define M_LEMEMSEL 0x7U
39560#define V_LEMEMSEL(x) ((x) << S_LEMEMSEL)
39561#define G_LEMEMSEL(x) (((x) >> S_LEMEMSEL) & M_LEMEMSEL)
39562
39563#define A_LE_DB_SSRAM_TID_BASE 0x19dfc
39564
39565#define S_SSRAM_TID_BASE 0
39566#define M_SSRAM_TID_BASE 0xfffffU
39567#define V_SSRAM_TID_BASE(x) ((x) << S_SSRAM_TID_BASE)
39568#define G_SSRAM_TID_BASE(x) (((x) >> S_SSRAM_TID_BASE) & M_SSRAM_TID_BASE)
39569
39570#define A_LE_DB_ACTIVE_MASK_IPV4 0x19e00
39571#define A_LE_T5_DB_ACTIVE_MASK_IPV4 0x19e00
39572#define A_LE_DB_ACTIVE_MASK_IPV6 0x19e50
39573#define A_LE_HASH_MASK_GEN_IPV4 0x19ea0
39574#define A_LE_HASH_MASK_GEN_IPV4T5 0x19ea0
39575#define A_LE_HASH_MASK_GEN_IPV6 0x19eb0
39576#define A_LE_HASH_MASK_GEN_IPV6T5 0x19eb4
39577#define A_T6_LE_HASH_MASK_GEN_IPV6T5 0x19ec4
39578#define A_LE_HASH_MASK_CMP_IPV4 0x19ee0
39579#define A_LE_HASH_MASK_CMP_IPV4T5 0x19ee4
39580#define A_LE_DB_PSV_FILTER_MASK_TUP_IPV4 0x19ee4
39581#define A_LE_HASH_MASK_CMP_IPV6 0x19ef0
39582#define A_LE_DB_PSV_FILTER_MASK_FLT_IPV4 0x19ef0
39583#define A_LE_HASH_MASK_CMP_IPV6T5 0x19ef8
39584#define A_LE_DB_PSV_FILTER_MASK_TUP_IPV6 0x19f04
39585#define A_LE_DEBUG_LA_CONFIG 0x19f20
39586#define A_LE_REQ_DEBUG_LA_DATA 0x19f24
39587#define A_LE_REQ_DEBUG_LA_WRPTR 0x19f28
39588#define A_LE_DB_PSV_FILTER_MASK_FLT_IPV6 0x19f28
39589#define A_LE_RSP_DEBUG_LA_DATA 0x19f2c
39590#define A_LE_RSP_DEBUG_LA_WRPTR 0x19f30
39591#define A_LE_DEBUG_LA_SELECTOR 0x19f34
39592#define A_LE_SRVR_SRAM_INIT 0x19f34
39593
39594#define S_SRVRSRAMBASE 2
39595#define M_SRVRSRAMBASE 0xfffffU
39596#define V_SRVRSRAMBASE(x) ((x) << S_SRVRSRAMBASE)
39597#define G_SRVRSRAMBASE(x) (((x) >> S_SRVRSRAMBASE) & M_SRVRSRAMBASE)
39598
39599#define S_SRVRINITBUSY 1
39600#define V_SRVRINITBUSY(x) ((x) << S_SRVRINITBUSY)
39601#define F_SRVRINITBUSY V_SRVRINITBUSY(1U)
39602
39603#define S_SRVRINIT 0
39604#define V_SRVRINIT(x) ((x) << S_SRVRINIT)
39605#define F_SRVRINIT V_SRVRINIT(1U)
39606
39607#define A_LE_DB_SRVR_SRAM_CONFIG 0x19f34
39608
39609#define S_PRI_HFILT 4
39610#define V_PRI_HFILT(x) ((x) << S_PRI_HFILT)
39611#define F_PRI_HFILT V_PRI_HFILT(1U)
39612
39613#define S_PRI_SRVR 3
39614#define V_PRI_SRVR(x) ((x) << S_PRI_SRVR)
39615#define F_PRI_SRVR V_PRI_SRVR(1U)
39616
39617#define S_PRI_FILT 2
39618#define V_PRI_FILT(x) ((x) << S_PRI_FILT)
39619#define F_PRI_FILT V_PRI_FILT(1U)
39620
39621#define A_LE_DEBUG_LA_CAPTURED_DATA 0x19f38
39622#define A_LE_SRVR_VF_SRCH_TABLE 0x19f38
39623
39624#define S_RDWR 21
39625#define V_RDWR(x) ((x) << S_RDWR)
39626#define F_RDWR V_RDWR(1U)
39627
39628#define S_VFINDEX 14
39629#define M_VFINDEX 0x7fU
39630#define V_VFINDEX(x) ((x) << S_VFINDEX)
39631#define G_VFINDEX(x) (((x) >> S_VFINDEX) & M_VFINDEX)
39632
39633#define S_SRCHHADDR 7
39634#define M_SRCHHADDR 0x7fU
39635#define V_SRCHHADDR(x) ((x) << S_SRCHHADDR)
39636#define G_SRCHHADDR(x) (((x) >> S_SRCHHADDR) & M_SRCHHADDR)
39637
39638#define S_SRCHLADDR 0
39639#define M_SRCHLADDR 0x7fU
39640#define V_SRCHLADDR(x) ((x) << S_SRCHLADDR)
39641#define G_SRCHLADDR(x) (((x) >> S_SRCHLADDR) & M_SRCHLADDR)
39642
39643#define A_LE_DB_SRVR_VF_SRCH_TABLE_CTRL 0x19f38
39644
39645#define S_VFLUTBUSY 10
39646#define V_VFLUTBUSY(x) ((x) << S_VFLUTBUSY)
39647#define F_VFLUTBUSY V_VFLUTBUSY(1U)
39648
39649#define S_VFLUTSTART 9
39650#define V_VFLUTSTART(x) ((x) << S_VFLUTSTART)
39651#define F_VFLUTSTART V_VFLUTSTART(1U)
39652
39653#define S_T6_RDWR 8
39654#define V_T6_RDWR(x) ((x) << S_T6_RDWR)
39655#define F_T6_RDWR V_T6_RDWR(1U)
39656
39657#define S_T6_VFINDEX 0
39658#define M_T6_VFINDEX 0xffU
39659#define V_T6_VFINDEX(x) ((x) << S_T6_VFINDEX)
39660#define G_T6_VFINDEX(x) (((x) >> S_T6_VFINDEX) & M_T6_VFINDEX)
39661
39662#define A_LE_MA_DEBUG_LA_DATA 0x19f3c
39663#define A_LE_DB_SRVR_VF_SRCH_TABLE_DATA 0x19f3c
39664
39665#define S_T6_SRCHHADDR 12
39666#define M_T6_SRCHHADDR 0xfffU
39667#define V_T6_SRCHHADDR(x) ((x) << S_T6_SRCHHADDR)
39668#define G_T6_SRCHHADDR(x) (((x) >> S_T6_SRCHHADDR) & M_T6_SRCHHADDR)
39669
39670#define S_T6_SRCHLADDR 0
39671#define M_T6_SRCHLADDR 0xfffU
39672#define V_T6_SRCHLADDR(x) ((x) << S_T6_SRCHLADDR)
39673#define G_T6_SRCHLADDR(x) (((x) >> S_T6_SRCHLADDR) & M_T6_SRCHLADDR)
39674
39675#define A_LE_RSP_DEBUG_LA_HASH_WRPTR 0x19f40
39676#define A_LE_DB_SECOND_ACTIVE_MASK_IPV4 0x19f40
39677#define A_LE_HASH_DEBUG_LA_DATA 0x19f44
39678#define A_LE_RSP_DEBUG_LA_TCAM_WRPTR 0x19f48
39679#define A_LE_TCAM_DEBUG_LA_DATA 0x19f4c
39680#define A_LE_DB_SECOND_GEN_HASH_MASK_IPV4 0x19f90
39681#define A_LE_DB_SECOND_CMP_HASH_MASK_IPV4 0x19fa4
39682#define A_LE_HASH_COLLISION 0x19fc4
39683#define A_LE_GLOBAL_COLLISION 0x19fc8
39684#define A_LE_FULL_CNT_COLLISION 0x19fcc
39685#define A_LE_DEBUG_LA_CONFIGT5 0x19fd0
39686#define A_LE_REQ_DEBUG_LA_DATAT5 0x19fd4
39687#define A_LE_REQ_DEBUG_LA_WRPTRT5 0x19fd8
39688#define A_LE_RSP_DEBUG_LA_DATAT5 0x19fdc
39689#define A_LE_RSP_DEBUG_LA_WRPTRT5 0x19fe0
39690#define A_LE_DEBUG_LA_SEL_DATA 0x19fe4
39691
39692/* registers for module NCSI */
39693#define NCSI_BASE_ADDR 0x1a000
39694
39695#define A_NCSI_PORT_CFGREG 0x1a000
39696
39697#define S_WIREEN 28
39698#define M_WIREEN 0xfU
39699#define V_WIREEN(x) ((x) << S_WIREEN)
39700#define G_WIREEN(x) (((x) >> S_WIREEN) & M_WIREEN)
39701
39702#define S_STRP_CRC 24
39703#define M_STRP_CRC 0xfU
39704#define V_STRP_CRC(x) ((x) << S_STRP_CRC)
39705#define G_STRP_CRC(x) (((x) >> S_STRP_CRC) & M_STRP_CRC)
39706
39707#define S_RX_HALT 22
39708#define V_RX_HALT(x) ((x) << S_RX_HALT)
39709#define F_RX_HALT V_RX_HALT(1U)
39710
39711#define S_FLUSH_RX_FIFO 21
39712#define V_FLUSH_RX_FIFO(x) ((x) << S_FLUSH_RX_FIFO)
39713#define F_FLUSH_RX_FIFO V_FLUSH_RX_FIFO(1U)
39714
39715#define S_HW_ARB_EN 20
39716#define V_HW_ARB_EN(x) ((x) << S_HW_ARB_EN)
39717#define F_HW_ARB_EN V_HW_ARB_EN(1U)
39718
39719#define S_SOFT_PKG_SEL 19
39720#define V_SOFT_PKG_SEL(x) ((x) << S_SOFT_PKG_SEL)
39721#define F_SOFT_PKG_SEL V_SOFT_PKG_SEL(1U)
39722
39723#define S_ERR_DISCARD_EN 18
39724#define V_ERR_DISCARD_EN(x) ((x) << S_ERR_DISCARD_EN)
39725#define F_ERR_DISCARD_EN V_ERR_DISCARD_EN(1U)
39726
39727#define S_MAX_PKT_SIZE 4
39728#define M_MAX_PKT_SIZE 0x3fffU
39729#define V_MAX_PKT_SIZE(x) ((x) << S_MAX_PKT_SIZE)
39730#define G_MAX_PKT_SIZE(x) (((x) >> S_MAX_PKT_SIZE) & M_MAX_PKT_SIZE)
39731
39732#define S_RX_BYTE_SWAP 3
39733#define V_RX_BYTE_SWAP(x) ((x) << S_RX_BYTE_SWAP)
39734#define F_RX_BYTE_SWAP V_RX_BYTE_SWAP(1U)
39735
39736#define S_TX_BYTE_SWAP 2
39737#define V_TX_BYTE_SWAP(x) ((x) << S_TX_BYTE_SWAP)
39738#define F_TX_BYTE_SWAP V_TX_BYTE_SWAP(1U)
39739
39740#define A_NCSI_RST_CTRL 0x1a004
39741
39742#define S_MAC_REF_RST 2
39743#define V_MAC_REF_RST(x) ((x) << S_MAC_REF_RST)
39744#define F_MAC_REF_RST V_MAC_REF_RST(1U)
39745
39746#define S_MAC_RX_RST 1
39747#define V_MAC_RX_RST(x) ((x) << S_MAC_RX_RST)
39748#define F_MAC_RX_RST V_MAC_RX_RST(1U)
39749
39750#define S_MAC_TX_RST 0
39751#define V_MAC_TX_RST(x) ((x) << S_MAC_TX_RST)
39752#define F_MAC_TX_RST V_MAC_TX_RST(1U)
39753
39754#define A_NCSI_CH0_SADDR_LOW 0x1a010
39755#define A_NCSI_CH0_SADDR_HIGH 0x1a014
39756
39757#define S_CHO_SADDR_EN 31
39758#define V_CHO_SADDR_EN(x) ((x) << S_CHO_SADDR_EN)
39759#define F_CHO_SADDR_EN V_CHO_SADDR_EN(1U)
39760
39761#define S_CH0_SADDR_HIGH 0
39762#define M_CH0_SADDR_HIGH 0xffffU
39763#define V_CH0_SADDR_HIGH(x) ((x) << S_CH0_SADDR_HIGH)
39764#define G_CH0_SADDR_HIGH(x) (((x) >> S_CH0_SADDR_HIGH) & M_CH0_SADDR_HIGH)
39765
39766#define A_NCSI_CH1_SADDR_LOW 0x1a018
39767#define A_NCSI_CH1_SADDR_HIGH 0x1a01c
39768
39769#define S_CH1_SADDR_EN 31
39770#define V_CH1_SADDR_EN(x) ((x) << S_CH1_SADDR_EN)
39771#define F_CH1_SADDR_EN V_CH1_SADDR_EN(1U)
39772
39773#define S_CH1_SADDR_HIGH 0
39774#define M_CH1_SADDR_HIGH 0xffffU
39775#define V_CH1_SADDR_HIGH(x) ((x) << S_CH1_SADDR_HIGH)
39776#define G_CH1_SADDR_HIGH(x) (((x) >> S_CH1_SADDR_HIGH) & M_CH1_SADDR_HIGH)
39777
39778#define A_NCSI_CH2_SADDR_LOW 0x1a020
39779#define A_NCSI_CH2_SADDR_HIGH 0x1a024
39780
39781#define S_CH2_SADDR_EN 31
39782#define V_CH2_SADDR_EN(x) ((x) << S_CH2_SADDR_EN)
39783#define F_CH2_SADDR_EN V_CH2_SADDR_EN(1U)
39784
39785#define S_CH2_SADDR_HIGH 0
39786#define M_CH2_SADDR_HIGH 0xffffU
39787#define V_CH2_SADDR_HIGH(x) ((x) << S_CH2_SADDR_HIGH)
39788#define G_CH2_SADDR_HIGH(x) (((x) >> S_CH2_SADDR_HIGH) & M_CH2_SADDR_HIGH)
39789
39790#define A_NCSI_CH3_SADDR_LOW 0x1a028
39791#define A_NCSI_CH3_SADDR_HIGH 0x1a02c
39792
39793#define S_CH3_SADDR_EN 31
39794#define V_CH3_SADDR_EN(x) ((x) << S_CH3_SADDR_EN)
39795#define F_CH3_SADDR_EN V_CH3_SADDR_EN(1U)
39796
39797#define S_CH3_SADDR_HIGH 0
39798#define M_CH3_SADDR_HIGH 0xffffU
39799#define V_CH3_SADDR_HIGH(x) ((x) << S_CH3_SADDR_HIGH)
39800#define G_CH3_SADDR_HIGH(x) (((x) >> S_CH3_SADDR_HIGH) & M_CH3_SADDR_HIGH)
39801
39802#define A_NCSI_WORK_REQHDR_0 0x1a030
39803#define A_NCSI_WORK_REQHDR_1 0x1a034
39804#define A_NCSI_WORK_REQHDR_2 0x1a038
39805#define A_NCSI_WORK_REQHDR_3 0x1a03c
39806#define A_NCSI_MPS_HDR_LO 0x1a040
39807#define A_NCSI_MPS_HDR_HI 0x1a044
39808#define A_NCSI_CTL 0x1a048
39809
39810#define S_STRIP_OVLAN 3
39811#define V_STRIP_OVLAN(x) ((x) << S_STRIP_OVLAN)
39812#define F_STRIP_OVLAN V_STRIP_OVLAN(1U)
39813
39814#define S_BMC_DROP_NON_BC 2
39815#define V_BMC_DROP_NON_BC(x) ((x) << S_BMC_DROP_NON_BC)
39816#define F_BMC_DROP_NON_BC V_BMC_DROP_NON_BC(1U)
39817
39818#define S_BMC_RX_FWD_ALL 1
39819#define V_BMC_RX_FWD_ALL(x) ((x) << S_BMC_RX_FWD_ALL)
39820#define F_BMC_RX_FWD_ALL V_BMC_RX_FWD_ALL(1U)
39821
39822#define S_FWD_BMC 0
39823#define V_FWD_BMC(x) ((x) << S_FWD_BMC)
39824#define F_FWD_BMC V_FWD_BMC(1U)
39825
39826#define A_NCSI_NCSI_ETYPE 0x1a04c
39827
39828#define S_NCSI_ETHERTYPE 0
39829#define M_NCSI_ETHERTYPE 0xffffU
39830#define V_NCSI_ETHERTYPE(x) ((x) << S_NCSI_ETHERTYPE)
39831#define G_NCSI_ETHERTYPE(x) (((x) >> S_NCSI_ETHERTYPE) & M_NCSI_ETHERTYPE)
39832
39833#define A_NCSI_RX_FIFO_CNT 0x1a050
39834
39835#define S_NCSI_RXFIFO_CNT 0
39836#define M_NCSI_RXFIFO_CNT 0x7ffU
39837#define V_NCSI_RXFIFO_CNT(x) ((x) << S_NCSI_RXFIFO_CNT)
39838#define G_NCSI_RXFIFO_CNT(x) (((x) >> S_NCSI_RXFIFO_CNT) & M_NCSI_RXFIFO_CNT)
39839
39840#define A_NCSI_RX_ERR_CNT 0x1a054
39841#define A_NCSI_RX_OF_CNT 0x1a058
39842#define A_NCSI_RX_MS_CNT 0x1a05c
39843#define A_NCSI_RX_IE_CNT 0x1a060
39844#define A_NCSI_MPS_DEMUX_CNT 0x1a064
39845
39846#define S_MPS2CIM_CNT 16
39847#define M_MPS2CIM_CNT 0x1ffU
39848#define V_MPS2CIM_CNT(x) ((x) << S_MPS2CIM_CNT)
39849#define G_MPS2CIM_CNT(x) (((x) >> S_MPS2CIM_CNT) & M_MPS2CIM_CNT)
39850
39851#define S_MPS2BMC_CNT 0
39852#define M_MPS2BMC_CNT 0x1ffU
39853#define V_MPS2BMC_CNT(x) ((x) << S_MPS2BMC_CNT)
39854#define G_MPS2BMC_CNT(x) (((x) >> S_MPS2BMC_CNT) & M_MPS2BMC_CNT)
39855
39856#define A_NCSI_CIM_DEMUX_CNT 0x1a068
39857
39858#define S_CIM2MPS_CNT 16
39859#define M_CIM2MPS_CNT 0x1ffU
39860#define V_CIM2MPS_CNT(x) ((x) << S_CIM2MPS_CNT)
39861#define G_CIM2MPS_CNT(x) (((x) >> S_CIM2MPS_CNT) & M_CIM2MPS_CNT)
39862
39863#define S_CIM2BMC_CNT 0
39864#define M_CIM2BMC_CNT 0x1ffU
39865#define V_CIM2BMC_CNT(x) ((x) << S_CIM2BMC_CNT)
39866#define G_CIM2BMC_CNT(x) (((x) >> S_CIM2BMC_CNT) & M_CIM2BMC_CNT)
39867
39868#define A_NCSI_TX_FIFO_CNT 0x1a06c
39869
39870#define S_TX_FIFO_CNT 0
39871#define M_TX_FIFO_CNT 0x3ffU
39872#define V_TX_FIFO_CNT(x) ((x) << S_TX_FIFO_CNT)
39873#define G_TX_FIFO_CNT(x) (((x) >> S_TX_FIFO_CNT) & M_TX_FIFO_CNT)
39874
39875#define A_NCSI_SE_CNT_CTL 0x1a0b0
39876
39877#define S_SE_CNT_CLR 0
39878#define M_SE_CNT_CLR 0xfU
39879#define V_SE_CNT_CLR(x) ((x) << S_SE_CNT_CLR)
39880#define G_SE_CNT_CLR(x) (((x) >> S_SE_CNT_CLR) & M_SE_CNT_CLR)
39881
39882#define A_NCSI_SE_CNT_MPS 0x1a0b4
39883
39884#define S_NC2MPS_SOP_CNT 24
39885#define M_NC2MPS_SOP_CNT 0xffU
39886#define V_NC2MPS_SOP_CNT(x) ((x) << S_NC2MPS_SOP_CNT)
39887#define G_NC2MPS_SOP_CNT(x) (((x) >> S_NC2MPS_SOP_CNT) & M_NC2MPS_SOP_CNT)
39888
39889#define S_NC2MPS_EOP_CNT 16
39890#define M_NC2MPS_EOP_CNT 0x3fU
39891#define V_NC2MPS_EOP_CNT(x) ((x) << S_NC2MPS_EOP_CNT)
39892#define G_NC2MPS_EOP_CNT(x) (((x) >> S_NC2MPS_EOP_CNT) & M_NC2MPS_EOP_CNT)
39893
39894#define S_MPS2NC_SOP_CNT 8
39895#define M_MPS2NC_SOP_CNT 0xffU
39896#define V_MPS2NC_SOP_CNT(x) ((x) << S_MPS2NC_SOP_CNT)
39897#define G_MPS2NC_SOP_CNT(x) (((x) >> S_MPS2NC_SOP_CNT) & M_MPS2NC_SOP_CNT)
39898
39899#define S_MPS2NC_EOP_CNT 0
39900#define M_MPS2NC_EOP_CNT 0xffU
39901#define V_MPS2NC_EOP_CNT(x) ((x) << S_MPS2NC_EOP_CNT)
39902#define G_MPS2NC_EOP_CNT(x) (((x) >> S_MPS2NC_EOP_CNT) & M_MPS2NC_EOP_CNT)
39903
39904#define A_NCSI_SE_CNT_CIM 0x1a0b8
39905
39906#define S_NC2CIM_SOP_CNT 24
39907#define M_NC2CIM_SOP_CNT 0xffU
39908#define V_NC2CIM_SOP_CNT(x) ((x) << S_NC2CIM_SOP_CNT)
39909#define G_NC2CIM_SOP_CNT(x) (((x) >> S_NC2CIM_SOP_CNT) & M_NC2CIM_SOP_CNT)
39910
39911#define S_NC2CIM_EOP_CNT 16
39912#define M_NC2CIM_EOP_CNT 0x3fU
39913#define V_NC2CIM_EOP_CNT(x) ((x) << S_NC2CIM_EOP_CNT)
39914#define G_NC2CIM_EOP_CNT(x) (((x) >> S_NC2CIM_EOP_CNT) & M_NC2CIM_EOP_CNT)
39915
39916#define S_CIM2NC_SOP_CNT 8
39917#define M_CIM2NC_SOP_CNT 0xffU
39918#define V_CIM2NC_SOP_CNT(x) ((x) << S_CIM2NC_SOP_CNT)
39919#define G_CIM2NC_SOP_CNT(x) (((x) >> S_CIM2NC_SOP_CNT) & M_CIM2NC_SOP_CNT)
39920
39921#define S_CIM2NC_EOP_CNT 0
39922#define M_CIM2NC_EOP_CNT 0xffU
39923#define V_CIM2NC_EOP_CNT(x) ((x) << S_CIM2NC_EOP_CNT)
39924#define G_CIM2NC_EOP_CNT(x) (((x) >> S_CIM2NC_EOP_CNT) & M_CIM2NC_EOP_CNT)
39925
39926#define A_NCSI_BUS_DEBUG 0x1a0bc
39927
39928#define S_SOP_CNT_ERR 12
39929#define M_SOP_CNT_ERR 0xfU
39930#define V_SOP_CNT_ERR(x) ((x) << S_SOP_CNT_ERR)
39931#define G_SOP_CNT_ERR(x) (((x) >> S_SOP_CNT_ERR) & M_SOP_CNT_ERR)
39932
39933#define S_BUS_STATE_MPS_OUT 6
39934#define M_BUS_STATE_MPS_OUT 0x3U
39935#define V_BUS_STATE_MPS_OUT(x) ((x) << S_BUS_STATE_MPS_OUT)
39936#define G_BUS_STATE_MPS_OUT(x) (((x) >> S_BUS_STATE_MPS_OUT) & M_BUS_STATE_MPS_OUT)
39937
39938#define S_BUS_STATE_MPS_IN 4
39939#define M_BUS_STATE_MPS_IN 0x3U
39940#define V_BUS_STATE_MPS_IN(x) ((x) << S_BUS_STATE_MPS_IN)
39941#define G_BUS_STATE_MPS_IN(x) (((x) >> S_BUS_STATE_MPS_IN) & M_BUS_STATE_MPS_IN)
39942
39943#define S_BUS_STATE_CIM_OUT 2
39944#define M_BUS_STATE_CIM_OUT 0x3U
39945#define V_BUS_STATE_CIM_OUT(x) ((x) << S_BUS_STATE_CIM_OUT)
39946#define G_BUS_STATE_CIM_OUT(x) (((x) >> S_BUS_STATE_CIM_OUT) & M_BUS_STATE_CIM_OUT)
39947
39948#define S_BUS_STATE_CIM_IN 0
39949#define M_BUS_STATE_CIM_IN 0x3U
39950#define V_BUS_STATE_CIM_IN(x) ((x) << S_BUS_STATE_CIM_IN)
39951#define G_BUS_STATE_CIM_IN(x) (((x) >> S_BUS_STATE_CIM_IN) & M_BUS_STATE_CIM_IN)
39952
39953#define A_NCSI_LA_RDPTR 0x1a0c0
39954#define A_NCSI_LA_RDDATA 0x1a0c4
39955#define A_NCSI_LA_WRPTR 0x1a0c8
39956#define A_NCSI_LA_RESERVED 0x1a0cc
39957#define A_NCSI_LA_CTL 0x1a0d0
39958#define A_NCSI_INT_ENABLE 0x1a0d4
39959
39960#define S_CIM_DM_PRTY_ERR 8
39961#define V_CIM_DM_PRTY_ERR(x) ((x) << S_CIM_DM_PRTY_ERR)
39962#define F_CIM_DM_PRTY_ERR V_CIM_DM_PRTY_ERR(1U)
39963
39964#define S_MPS_DM_PRTY_ERR 7
39965#define V_MPS_DM_PRTY_ERR(x) ((x) << S_MPS_DM_PRTY_ERR)
39966#define F_MPS_DM_PRTY_ERR V_MPS_DM_PRTY_ERR(1U)
39967
39968#define S_TOKEN 6
39969#define V_TOKEN(x) ((x) << S_TOKEN)
39970#define F_TOKEN V_TOKEN(1U)
39971
39972#define S_ARB_DONE 5
39973#define V_ARB_DONE(x) ((x) << S_ARB_DONE)
39974#define F_ARB_DONE V_ARB_DONE(1U)
39975
39976#define S_ARB_STARTED 4
39977#define V_ARB_STARTED(x) ((x) << S_ARB_STARTED)
39978#define F_ARB_STARTED V_ARB_STARTED(1U)
39979
39980#define S_WOL 3
39981#define V_WOL(x) ((x) << S_WOL)
39982#define F_WOL V_WOL(1U)
39983
39984#define S_MACINT 2
39985#define V_MACINT(x) ((x) << S_MACINT)
39986#define F_MACINT V_MACINT(1U)
39987
39988#define S_TXFIFO_PRTY_ERR 1
39989#define V_TXFIFO_PRTY_ERR(x) ((x) << S_TXFIFO_PRTY_ERR)
39990#define F_TXFIFO_PRTY_ERR V_TXFIFO_PRTY_ERR(1U)
39991
39992#define S_RXFIFO_PRTY_ERR 0
39993#define V_RXFIFO_PRTY_ERR(x) ((x) << S_RXFIFO_PRTY_ERR)
39994#define F_RXFIFO_PRTY_ERR V_RXFIFO_PRTY_ERR(1U)
39995
39996#define A_NCSI_INT_CAUSE 0x1a0d8
39997#define A_NCSI_STATUS 0x1a0dc
39998
39999#define S_MASTER 1
40000#define V_MASTER(x) ((x) << S_MASTER)
40001#define F_MASTER V_MASTER(1U)
40002
40003#define S_ARB_STATUS 0
40004#define V_ARB_STATUS(x) ((x) << S_ARB_STATUS)
40005#define F_ARB_STATUS V_ARB_STATUS(1U)
40006
40007#define A_NCSI_PAUSE_CTRL 0x1a0e0
40008
40009#define S_FORCEPAUSE 0
40010#define V_FORCEPAUSE(x) ((x) << S_FORCEPAUSE)
40011#define F_FORCEPAUSE V_FORCEPAUSE(1U)
40012
40013#define A_NCSI_PAUSE_TIMEOUT 0x1a0e4
40014#define A_NCSI_PAUSE_WM 0x1a0ec
40015
40016#define S_PAUSEHWM 16
40017#define M_PAUSEHWM 0x7ffU
40018#define V_PAUSEHWM(x) ((x) << S_PAUSEHWM)
40019#define G_PAUSEHWM(x) (((x) >> S_PAUSEHWM) & M_PAUSEHWM)
40020
40021#define S_PAUSELWM 0
40022#define M_PAUSELWM 0x7ffU
40023#define V_PAUSELWM(x) ((x) << S_PAUSELWM)
40024#define G_PAUSELWM(x) (((x) >> S_PAUSELWM) & M_PAUSELWM)
40025
40026#define A_NCSI_DEBUG 0x1a0f0
40027
40028#define S_DEBUGSEL 0
40029#define M_DEBUGSEL 0x3fU
40030#define V_DEBUGSEL(x) ((x) << S_DEBUGSEL)
40031#define G_DEBUGSEL(x) (((x) >> S_DEBUGSEL) & M_DEBUGSEL)
40032
40033#define S_TXFIFO_EMPTY 4
40034#define V_TXFIFO_EMPTY(x) ((x) << S_TXFIFO_EMPTY)
40035#define F_TXFIFO_EMPTY V_TXFIFO_EMPTY(1U)
40036
40037#define S_TXFIFO_FULL 3
40038#define V_TXFIFO_FULL(x) ((x) << S_TXFIFO_FULL)
40039#define F_TXFIFO_FULL V_TXFIFO_FULL(1U)
40040
40041#define S_PKG_ID 0
40042#define M_PKG_ID 0x7U
40043#define V_PKG_ID(x) ((x) << S_PKG_ID)
40044#define G_PKG_ID(x) (((x) >> S_PKG_ID) & M_PKG_ID)
40045
40046#define A_NCSI_PERR_INJECT 0x1a0f4
40047
40048#define S_MCSIMELSEL 1
40049#define V_MCSIMELSEL(x) ((x) << S_MCSIMELSEL)
40050#define F_MCSIMELSEL V_MCSIMELSEL(1U)
40051
40052#define A_NCSI_PERR_ENABLE 0x1a0f8
40053#define A_NCSI_MACB_NETWORK_CTRL 0x1a100
40054
40055#define S_TXSNDZEROPAUSE 12
40056#define V_TXSNDZEROPAUSE(x) ((x) << S_TXSNDZEROPAUSE)
40057#define F_TXSNDZEROPAUSE V_TXSNDZEROPAUSE(1U)
40058
40059#define S_TXSNDPAUSE 11
40060#define V_TXSNDPAUSE(x) ((x) << S_TXSNDPAUSE)
40061#define F_TXSNDPAUSE V_TXSNDPAUSE(1U)
40062
40063#define S_TXSTOP 10
40064#define V_TXSTOP(x) ((x) << S_TXSTOP)
40065#define F_TXSTOP V_TXSTOP(1U)
40066
40067#define S_TXSTART 9
40068#define V_TXSTART(x) ((x) << S_TXSTART)
40069#define F_TXSTART V_TXSTART(1U)
40070
40071#define S_BACKPRESS 8
40072#define V_BACKPRESS(x) ((x) << S_BACKPRESS)
40073#define F_BACKPRESS V_BACKPRESS(1U)
40074
40075#define S_STATWREN 7
40076#define V_STATWREN(x) ((x) << S_STATWREN)
40077#define F_STATWREN V_STATWREN(1U)
40078
40079#define S_INCRSTAT 6
40080#define V_INCRSTAT(x) ((x) << S_INCRSTAT)
40081#define F_INCRSTAT V_INCRSTAT(1U)
40082
40083#define S_CLEARSTAT 5
40084#define V_CLEARSTAT(x) ((x) << S_CLEARSTAT)
40085#define F_CLEARSTAT V_CLEARSTAT(1U)
40086
40087#define S_ENMGMTPORT 4
40088#define V_ENMGMTPORT(x) ((x) << S_ENMGMTPORT)
40089#define F_ENMGMTPORT V_ENMGMTPORT(1U)
40090
40091#define S_NCSITXEN 3
40092#define V_NCSITXEN(x) ((x) << S_NCSITXEN)
40093#define F_NCSITXEN V_NCSITXEN(1U)
40094
40095#define S_NCSIRXEN 2
40096#define V_NCSIRXEN(x) ((x) << S_NCSIRXEN)
40097#define F_NCSIRXEN V_NCSIRXEN(1U)
40098
40099#define S_LOOPLOCAL 1
40100#define V_LOOPLOCAL(x) ((x) << S_LOOPLOCAL)
40101#define F_LOOPLOCAL V_LOOPLOCAL(1U)
40102
40103#define S_LOOPPHY 0
40104#define V_LOOPPHY(x) ((x) << S_LOOPPHY)
40105#define F_LOOPPHY V_LOOPPHY(1U)
40106
40107#define A_NCSI_MACB_NETWORK_CFG 0x1a104
40108
40109#define S_PCLKDIV128 22
40110#define V_PCLKDIV128(x) ((x) << S_PCLKDIV128)
40111#define F_PCLKDIV128 V_PCLKDIV128(1U)
40112
40113#define S_COPYPAUSE 21
40114#define V_COPYPAUSE(x) ((x) << S_COPYPAUSE)
40115#define F_COPYPAUSE V_COPYPAUSE(1U)
40116
40117#define S_NONSTDPREOK 20
40118#define V_NONSTDPREOK(x) ((x) << S_NONSTDPREOK)
40119#define F_NONSTDPREOK V_NONSTDPREOK(1U)
40120
40121#define S_NOFCS 19
40122#define V_NOFCS(x) ((x) << S_NOFCS)
40123#define F_NOFCS V_NOFCS(1U)
40124
40125#define S_RXENHALFDUP 18
40126#define V_RXENHALFDUP(x) ((x) << S_RXENHALFDUP)
40127#define F_RXENHALFDUP V_RXENHALFDUP(1U)
40128
40129#define S_NOCOPYFCS 17
40130#define V_NOCOPYFCS(x) ((x) << S_NOCOPYFCS)
40131#define F_NOCOPYFCS V_NOCOPYFCS(1U)
40132
40133#define S_LENCHKEN 16
40134#define V_LENCHKEN(x) ((x) << S_LENCHKEN)
40135#define F_LENCHKEN V_LENCHKEN(1U)
40136
40137#define S_RXBUFOFFSET 14
40138#define M_RXBUFOFFSET 0x3U
40139#define V_RXBUFOFFSET(x) ((x) << S_RXBUFOFFSET)
40140#define G_RXBUFOFFSET(x) (((x) >> S_RXBUFOFFSET) & M_RXBUFOFFSET)
40141
40142#define S_PAUSEEN 13
40143#define V_PAUSEEN(x) ((x) << S_PAUSEEN)
40144#define F_PAUSEEN V_PAUSEEN(1U)
40145
40146#define S_RETRYTEST 12
40147#define V_RETRYTEST(x) ((x) << S_RETRYTEST)
40148#define F_RETRYTEST V_RETRYTEST(1U)
40149
40150#define S_PCLKDIV 10
40151#define M_PCLKDIV 0x3U
40152#define V_PCLKDIV(x) ((x) << S_PCLKDIV)
40153#define G_PCLKDIV(x) (((x) >> S_PCLKDIV) & M_PCLKDIV)
40154
40155#define S_EXTCLASS 9
40156#define V_EXTCLASS(x) ((x) << S_EXTCLASS)
40157#define F_EXTCLASS V_EXTCLASS(1U)
40158
40159#define S_EN1536FRAME 8
40160#define V_EN1536FRAME(x) ((x) << S_EN1536FRAME)
40161#define F_EN1536FRAME V_EN1536FRAME(1U)
40162
40163#define S_UCASTHASHEN 7
40164#define V_UCASTHASHEN(x) ((x) << S_UCASTHASHEN)
40165#define F_UCASTHASHEN V_UCASTHASHEN(1U)
40166
40167#define S_MCASTHASHEN 6
40168#define V_MCASTHASHEN(x) ((x) << S_MCASTHASHEN)
40169#define F_MCASTHASHEN V_MCASTHASHEN(1U)
40170
40171#define S_RXBCASTDIS 5
40172#define V_RXBCASTDIS(x) ((x) << S_RXBCASTDIS)
40173#define F_RXBCASTDIS V_RXBCASTDIS(1U)
40174
40175#define S_NCSICOPYALLFRAMES 4
40176#define V_NCSICOPYALLFRAMES(x) ((x) << S_NCSICOPYALLFRAMES)
40177#define F_NCSICOPYALLFRAMES V_NCSICOPYALLFRAMES(1U)
40178
40179#define S_JUMBOEN 3
40180#define V_JUMBOEN(x) ((x) << S_JUMBOEN)
40181#define F_JUMBOEN V_JUMBOEN(1U)
40182
40183#define S_SEREN 2
40184#define V_SEREN(x) ((x) << S_SEREN)
40185#define F_SEREN V_SEREN(1U)
40186
40187#define S_FULLDUPLEX 1
40188#define V_FULLDUPLEX(x) ((x) << S_FULLDUPLEX)
40189#define F_FULLDUPLEX V_FULLDUPLEX(1U)
40190
40191#define S_SPEED 0
40192#define V_SPEED(x) ((x) << S_SPEED)
40193#define F_SPEED V_SPEED(1U)
40194
40195#define A_NCSI_MACB_NETWORK_STATUS 0x1a108
40196
40197#define S_PHYMGMTSTATUS 2
40198#define V_PHYMGMTSTATUS(x) ((x) << S_PHYMGMTSTATUS)
40199#define F_PHYMGMTSTATUS V_PHYMGMTSTATUS(1U)
40200
40201#define S_MDISTATUS 1
40202#define V_MDISTATUS(x) ((x) << S_MDISTATUS)
40203#define F_MDISTATUS V_MDISTATUS(1U)
40204
40205#define S_LINKSTATUS 0
40206#define V_LINKSTATUS(x) ((x) << S_LINKSTATUS)
40207#define F_LINKSTATUS V_LINKSTATUS(1U)
40208
40209#define A_NCSI_MACB_TX_STATUS 0x1a114
40210
40211#define S_UNDERRUNERR 6
40212#define V_UNDERRUNERR(x) ((x) << S_UNDERRUNERR)
40213#define F_UNDERRUNERR V_UNDERRUNERR(1U)
40214
40215#define S_TXCOMPLETE 5
40216#define V_TXCOMPLETE(x) ((x) << S_TXCOMPLETE)
40217#define F_TXCOMPLETE V_TXCOMPLETE(1U)
40218
40219#define S_BUFFEREXHAUSTED 4
40220#define V_BUFFEREXHAUSTED(x) ((x) << S_BUFFEREXHAUSTED)
40221#define F_BUFFEREXHAUSTED V_BUFFEREXHAUSTED(1U)
40222
40223#define S_TXPROGRESS 3
40224#define V_TXPROGRESS(x) ((x) << S_TXPROGRESS)
40225#define F_TXPROGRESS V_TXPROGRESS(1U)
40226
40227#define S_RETRYLIMIT 2
40228#define V_RETRYLIMIT(x) ((x) << S_RETRYLIMIT)
40229#define F_RETRYLIMIT V_RETRYLIMIT(1U)
40230
40231#define S_COLEVENT 1
40232#define V_COLEVENT(x) ((x) << S_COLEVENT)
40233#define F_COLEVENT V_COLEVENT(1U)
40234
40235#define S_USEDBITREAD 0
40236#define V_USEDBITREAD(x) ((x) << S_USEDBITREAD)
40237#define F_USEDBITREAD V_USEDBITREAD(1U)
40238
40239#define A_NCSI_MACB_RX_BUF_QPTR 0x1a118
40240
40241#define S_RXBUFQPTR 2
40242#define M_RXBUFQPTR 0x3fffffffU
40243#define V_RXBUFQPTR(x) ((x) << S_RXBUFQPTR)
40244#define G_RXBUFQPTR(x) (((x) >> S_RXBUFQPTR) & M_RXBUFQPTR)
40245
40246#define A_NCSI_MACB_TX_BUF_QPTR 0x1a11c
40247
40248#define S_TXBUFQPTR 2
40249#define M_TXBUFQPTR 0x3fffffffU
40250#define V_TXBUFQPTR(x) ((x) << S_TXBUFQPTR)
40251#define G_TXBUFQPTR(x) (((x) >> S_TXBUFQPTR) & M_TXBUFQPTR)
40252
40253#define A_NCSI_MACB_RX_STATUS 0x1a120
40254
40255#define S_RXOVERRUNERR 2
40256#define V_RXOVERRUNERR(x) ((x) << S_RXOVERRUNERR)
40257#define F_RXOVERRUNERR V_RXOVERRUNERR(1U)
40258
40259#define S_MACB_FRAMERCVD 1
40260#define V_MACB_FRAMERCVD(x) ((x) << S_MACB_FRAMERCVD)
40261#define F_MACB_FRAMERCVD V_MACB_FRAMERCVD(1U)
40262
40263#define S_NORXBUF 0
40264#define V_NORXBUF(x) ((x) << S_NORXBUF)
40265#define F_NORXBUF V_NORXBUF(1U)
40266
40267#define A_NCSI_MACB_INT_STATUS 0x1a124
40268
40269#define S_PAUSETIMEZERO 13
40270#define V_PAUSETIMEZERO(x) ((x) << S_PAUSETIMEZERO)
40271#define F_PAUSETIMEZERO V_PAUSETIMEZERO(1U)
40272
40273#define S_PAUSERCVD 12
40274#define V_PAUSERCVD(x) ((x) << S_PAUSERCVD)
40275#define F_PAUSERCVD V_PAUSERCVD(1U)
40276
40277#define S_HRESPNOTOK 11
40278#define V_HRESPNOTOK(x) ((x) << S_HRESPNOTOK)
40279#define F_HRESPNOTOK V_HRESPNOTOK(1U)
40280
40281#define S_RXOVERRUN 10
40282#define V_RXOVERRUN(x) ((x) << S_RXOVERRUN)
40283#define F_RXOVERRUN V_RXOVERRUN(1U)
40284
40285#define S_LINKCHANGE 9
40286#define V_LINKCHANGE(x) ((x) << S_LINKCHANGE)
40287#define F_LINKCHANGE V_LINKCHANGE(1U)
40288
40289#define S_INT_TXCOMPLETE 7
40290#define V_INT_TXCOMPLETE(x) ((x) << S_INT_TXCOMPLETE)
40291#define F_INT_TXCOMPLETE V_INT_TXCOMPLETE(1U)
40292
40293#define S_TXBUFERR 6
40294#define V_TXBUFERR(x) ((x) << S_TXBUFERR)
40295#define F_TXBUFERR V_TXBUFERR(1U)
40296
40297#define S_RETRYLIMITERR 5
40298#define V_RETRYLIMITERR(x) ((x) << S_RETRYLIMITERR)
40299#define F_RETRYLIMITERR V_RETRYLIMITERR(1U)
40300
40301#define S_TXBUFUNDERRUN 4
40302#define V_TXBUFUNDERRUN(x) ((x) << S_TXBUFUNDERRUN)
40303#define F_TXBUFUNDERRUN V_TXBUFUNDERRUN(1U)
40304
40305#define S_TXUSEDBITREAD 3
40306#define V_TXUSEDBITREAD(x) ((x) << S_TXUSEDBITREAD)
40307#define F_TXUSEDBITREAD V_TXUSEDBITREAD(1U)
40308
40309#define S_RXUSEDBITREAD 2
40310#define V_RXUSEDBITREAD(x) ((x) << S_RXUSEDBITREAD)
40311#define F_RXUSEDBITREAD V_RXUSEDBITREAD(1U)
40312
40313#define S_RXCOMPLETE 1
40314#define V_RXCOMPLETE(x) ((x) << S_RXCOMPLETE)
40315#define F_RXCOMPLETE V_RXCOMPLETE(1U)
40316
40317#define S_MGMTFRAMESENT 0
40318#define V_MGMTFRAMESENT(x) ((x) << S_MGMTFRAMESENT)
40319#define F_MGMTFRAMESENT V_MGMTFRAMESENT(1U)
40320
40321#define A_NCSI_MACB_INT_EN 0x1a128
40322#define A_NCSI_MACB_INT_DIS 0x1a12c
40323#define A_NCSI_MACB_INT_MASK 0x1a130
40324#define A_NCSI_MACB_PAUSE_TIME 0x1a138
40325
40326#define S_PAUSETIME 0
40327#define M_PAUSETIME 0xffffU
40328#define V_PAUSETIME(x) ((x) << S_PAUSETIME)
40329#define G_PAUSETIME(x) (((x) >> S_PAUSETIME) & M_PAUSETIME)
40330
40331#define A_NCSI_MACB_PAUSE_FRAMES_RCVD 0x1a13c
40332
40333#define S_PAUSEFRRCVD 0
40334#define M_PAUSEFRRCVD 0xffffU
40335#define V_PAUSEFRRCVD(x) ((x) << S_PAUSEFRRCVD)
40336#define G_PAUSEFRRCVD(x) (((x) >> S_PAUSEFRRCVD) & M_PAUSEFRRCVD)
40337
40338#define A_NCSI_MACB_TX_FRAMES_OK 0x1a140
40339
40340#define S_TXFRAMESOK 0
40341#define M_TXFRAMESOK 0xffffffU
40342#define V_TXFRAMESOK(x) ((x) << S_TXFRAMESOK)
40343#define G_TXFRAMESOK(x) (((x) >> S_TXFRAMESOK) & M_TXFRAMESOK)
40344
40345#define A_NCSI_MACB_SINGLE_COL_FRAMES 0x1a144
40346
40347#define S_SINGLECOLTXFRAMES 0
40348#define M_SINGLECOLTXFRAMES 0xffffU
40349#define V_SINGLECOLTXFRAMES(x) ((x) << S_SINGLECOLTXFRAMES)
40350#define G_SINGLECOLTXFRAMES(x) (((x) >> S_SINGLECOLTXFRAMES) & M_SINGLECOLTXFRAMES)
40351
40352#define A_NCSI_MACB_MUL_COL_FRAMES 0x1a148
40353
40354#define S_MULCOLTXFRAMES 0
40355#define M_MULCOLTXFRAMES 0xffffU
40356#define V_MULCOLTXFRAMES(x) ((x) << S_MULCOLTXFRAMES)
40357#define G_MULCOLTXFRAMES(x) (((x) >> S_MULCOLTXFRAMES) & M_MULCOLTXFRAMES)
40358
40359#define A_NCSI_MACB_RX_FRAMES_OK 0x1a14c
40360
40361#define S_RXFRAMESOK 0
40362#define M_RXFRAMESOK 0xffffffU
40363#define V_RXFRAMESOK(x) ((x) << S_RXFRAMESOK)
40364#define G_RXFRAMESOK(x) (((x) >> S_RXFRAMESOK) & M_RXFRAMESOK)
40365
40366#define A_NCSI_MACB_FCS_ERR 0x1a150
40367
40368#define S_RXFCSERR 0
40369#define M_RXFCSERR 0xffU
40370#define V_RXFCSERR(x) ((x) << S_RXFCSERR)
40371#define G_RXFCSERR(x) (((x) >> S_RXFCSERR) & M_RXFCSERR)
40372
40373#define A_NCSI_MACB_ALIGN_ERR 0x1a154
40374
40375#define S_RXALIGNERR 0
40376#define M_RXALIGNERR 0xffU
40377#define V_RXALIGNERR(x) ((x) << S_RXALIGNERR)
40378#define G_RXALIGNERR(x) (((x) >> S_RXALIGNERR) & M_RXALIGNERR)
40379
40380#define A_NCSI_MACB_DEF_TX_FRAMES 0x1a158
40381
40382#define S_TXDEFERREDFRAMES 0
40383#define M_TXDEFERREDFRAMES 0xffffU
40384#define V_TXDEFERREDFRAMES(x) ((x) << S_TXDEFERREDFRAMES)
40385#define G_TXDEFERREDFRAMES(x) (((x) >> S_TXDEFERREDFRAMES) & M_TXDEFERREDFRAMES)
40386
40387#define A_NCSI_MACB_LATE_COL 0x1a15c
40388
40389#define S_LATECOLLISIONS 0
40390#define M_LATECOLLISIONS 0xffffU
40391#define V_LATECOLLISIONS(x) ((x) << S_LATECOLLISIONS)
40392#define G_LATECOLLISIONS(x) (((x) >> S_LATECOLLISIONS) & M_LATECOLLISIONS)
40393
40394#define A_NCSI_MACB_EXCESSIVE_COL 0x1a160
40395
40396#define S_EXCESSIVECOLLISIONS 0
40397#define M_EXCESSIVECOLLISIONS 0xffU
40398#define V_EXCESSIVECOLLISIONS(x) ((x) << S_EXCESSIVECOLLISIONS)
40399#define G_EXCESSIVECOLLISIONS(x) (((x) >> S_EXCESSIVECOLLISIONS) & M_EXCESSIVECOLLISIONS)
40400
40401#define A_NCSI_MACB_TX_UNDERRUN_ERR 0x1a164
40402
40403#define S_TXUNDERRUNERR 0
40404#define M_TXUNDERRUNERR 0xffU
40405#define V_TXUNDERRUNERR(x) ((x) << S_TXUNDERRUNERR)
40406#define G_TXUNDERRUNERR(x) (((x) >> S_TXUNDERRUNERR) & M_TXUNDERRUNERR)
40407
40408#define A_NCSI_MACB_CARRIER_SENSE_ERR 0x1a168
40409
40410#define S_CARRIERSENSEERRS 0
40411#define M_CARRIERSENSEERRS 0xffU
40412#define V_CARRIERSENSEERRS(x) ((x) << S_CARRIERSENSEERRS)
40413#define G_CARRIERSENSEERRS(x) (((x) >> S_CARRIERSENSEERRS) & M_CARRIERSENSEERRS)
40414
40415#define A_NCSI_MACB_RX_RESOURCE_ERR 0x1a16c
40416
40417#define S_RXRESOURCEERR 0
40418#define M_RXRESOURCEERR 0xffffU
40419#define V_RXRESOURCEERR(x) ((x) << S_RXRESOURCEERR)
40420#define G_RXRESOURCEERR(x) (((x) >> S_RXRESOURCEERR) & M_RXRESOURCEERR)
40421
40422#define A_NCSI_MACB_RX_OVERRUN_ERR 0x1a170
40423
40424#define S_RXOVERRUNERRCNT 0
40425#define M_RXOVERRUNERRCNT 0xffU
40426#define V_RXOVERRUNERRCNT(x) ((x) << S_RXOVERRUNERRCNT)
40427#define G_RXOVERRUNERRCNT(x) (((x) >> S_RXOVERRUNERRCNT) & M_RXOVERRUNERRCNT)
40428
40429#define A_NCSI_MACB_RX_SYMBOL_ERR 0x1a174
40430
40431#define S_RXSYMBOLERR 0
40432#define M_RXSYMBOLERR 0xffU
40433#define V_RXSYMBOLERR(x) ((x) << S_RXSYMBOLERR)
40434#define G_RXSYMBOLERR(x) (((x) >> S_RXSYMBOLERR) & M_RXSYMBOLERR)
40435
40436#define A_NCSI_MACB_RX_OVERSIZE_FRAME 0x1a178
40437
40438#define S_RXOVERSIZEERR 0
40439#define M_RXOVERSIZEERR 0xffU
40440#define V_RXOVERSIZEERR(x) ((x) << S_RXOVERSIZEERR)
40441#define G_RXOVERSIZEERR(x) (((x) >> S_RXOVERSIZEERR) & M_RXOVERSIZEERR)
40442
40443#define A_NCSI_MACB_RX_JABBER_ERR 0x1a17c
40444
40445#define S_RXJABBERERR 0
40446#define M_RXJABBERERR 0xffU
40447#define V_RXJABBERERR(x) ((x) << S_RXJABBERERR)
40448#define G_RXJABBERERR(x) (((x) >> S_RXJABBERERR) & M_RXJABBERERR)
40449
40450#define A_NCSI_MACB_RX_UNDERSIZE_FRAME 0x1a180
40451
40452#define S_RXUNDERSIZEFR 0
40453#define M_RXUNDERSIZEFR 0xffU
40454#define V_RXUNDERSIZEFR(x) ((x) << S_RXUNDERSIZEFR)
40455#define G_RXUNDERSIZEFR(x) (((x) >> S_RXUNDERSIZEFR) & M_RXUNDERSIZEFR)
40456
40457#define A_NCSI_MACB_SQE_TEST_ERR 0x1a184
40458
40459#define S_SQETESTERR 0
40460#define M_SQETESTERR 0xffU
40461#define V_SQETESTERR(x) ((x) << S_SQETESTERR)
40462#define G_SQETESTERR(x) (((x) >> S_SQETESTERR) & M_SQETESTERR)
40463
40464#define A_NCSI_MACB_LENGTH_ERR 0x1a188
40465
40466#define S_LENGTHERR 0
40467#define M_LENGTHERR 0xffU
40468#define V_LENGTHERR(x) ((x) << S_LENGTHERR)
40469#define G_LENGTHERR(x) (((x) >> S_LENGTHERR) & M_LENGTHERR)
40470
40471#define A_NCSI_MACB_TX_PAUSE_FRAMES 0x1a18c
40472
40473#define S_TXPAUSEFRAMES 0
40474#define M_TXPAUSEFRAMES 0xffffU
40475#define V_TXPAUSEFRAMES(x) ((x) << S_TXPAUSEFRAMES)
40476#define G_TXPAUSEFRAMES(x) (((x) >> S_TXPAUSEFRAMES) & M_TXPAUSEFRAMES)
40477
40478#define A_NCSI_MACB_HASH_LOW 0x1a190
40479#define A_NCSI_MACB_HASH_HIGH 0x1a194
40480#define A_NCSI_MACB_SPECIFIC_1_LOW 0x1a198
40481#define A_NCSI_MACB_SPECIFIC_1_HIGH 0x1a19c
40482
40483#define S_MATCHHIGH 0
40484#define M_MATCHHIGH 0xffffU
40485#define V_MATCHHIGH(x) ((x) << S_MATCHHIGH)
40486#define G_MATCHHIGH(x) (((x) >> S_MATCHHIGH) & M_MATCHHIGH)
40487
40488#define A_NCSI_MACB_SPECIFIC_2_LOW 0x1a1a0
40489#define A_NCSI_MACB_SPECIFIC_2_HIGH 0x1a1a4
40490#define A_NCSI_MACB_SPECIFIC_3_LOW 0x1a1a8
40491#define A_NCSI_MACB_SPECIFIC_3_HIGH 0x1a1ac
40492#define A_NCSI_MACB_SPECIFIC_4_LOW 0x1a1b0
40493#define A_NCSI_MACB_SPECIFIC_4_HIGH 0x1a1b4
40494#define A_NCSI_MACB_TYPE_ID 0x1a1b8
40495
40496#define S_TYPEID 0
40497#define M_TYPEID 0xffffU
40498#define V_TYPEID(x) ((x) << S_TYPEID)
40499#define G_TYPEID(x) (((x) >> S_TYPEID) & M_TYPEID)
40500
40501#define A_NCSI_MACB_TX_PAUSE_QUANTUM 0x1a1bc
40502
40503#define S_TXPAUSEQUANTUM 0
40504#define M_TXPAUSEQUANTUM 0xffffU
40505#define V_TXPAUSEQUANTUM(x) ((x) << S_TXPAUSEQUANTUM)
40506#define G_TXPAUSEQUANTUM(x) (((x) >> S_TXPAUSEQUANTUM) & M_TXPAUSEQUANTUM)
40507
40508#define A_NCSI_MACB_USER_IO 0x1a1c0
40509
40510#define S_USERPROGINPUT 16
40511#define M_USERPROGINPUT 0xffffU
40512#define V_USERPROGINPUT(x) ((x) << S_USERPROGINPUT)
40513#define G_USERPROGINPUT(x) (((x) >> S_USERPROGINPUT) & M_USERPROGINPUT)
40514
40515#define S_USERPROGOUTPUT 0
40516#define M_USERPROGOUTPUT 0xffffU
40517#define V_USERPROGOUTPUT(x) ((x) << S_USERPROGOUTPUT)
40518#define G_USERPROGOUTPUT(x) (((x) >> S_USERPROGOUTPUT) & M_USERPROGOUTPUT)
40519
40520#define A_NCSI_MACB_WOL_CFG 0x1a1c4
40521
40522#define S_MCHASHEN 19
40523#define V_MCHASHEN(x) ((x) << S_MCHASHEN)
40524#define F_MCHASHEN V_MCHASHEN(1U)
40525
40526#define S_SPECIFIC1EN 18
40527#define V_SPECIFIC1EN(x) ((x) << S_SPECIFIC1EN)
40528#define F_SPECIFIC1EN V_SPECIFIC1EN(1U)
40529
40530#define S_ARPEN 17
40531#define V_ARPEN(x) ((x) << S_ARPEN)
40532#define F_ARPEN V_ARPEN(1U)
40533
40534#define S_MAGICPKTEN 16
40535#define V_MAGICPKTEN(x) ((x) << S_MAGICPKTEN)
40536#define F_MAGICPKTEN V_MAGICPKTEN(1U)
40537
40538#define S_ARPIPADDR 0
40539#define M_ARPIPADDR 0xffffU
40540#define V_ARPIPADDR(x) ((x) << S_ARPIPADDR)
40541#define G_ARPIPADDR(x) (((x) >> S_ARPIPADDR) & M_ARPIPADDR)
40542
40543#define A_NCSI_MACB_REV_STATUS 0x1a1fc
40544
40545#define S_PARTREF 16
40546#define M_PARTREF 0xffffU
40547#define V_PARTREF(x) ((x) << S_PARTREF)
40548#define G_PARTREF(x) (((x) >> S_PARTREF) & M_PARTREF)
40549
40550#define S_DESREV 0
40551#define M_DESREV 0xffffU
40552#define V_DESREV(x) ((x) << S_DESREV)
40553#define G_DESREV(x) (((x) >> S_DESREV) & M_DESREV)
40554
40555/* registers for module XGMAC */
40556#define XGMAC_BASE_ADDR 0x0
40557
40558#define A_XGMAC_PORT_CFG 0x1000
40559
40560#define S_XGMII_CLK_SEL 29
40561#define M_XGMII_CLK_SEL 0x7U
40562#define V_XGMII_CLK_SEL(x) ((x) << S_XGMII_CLK_SEL)
40563#define G_XGMII_CLK_SEL(x) (((x) >> S_XGMII_CLK_SEL) & M_XGMII_CLK_SEL)
40564
40565#define S_SINKTX 27
40566#define V_SINKTX(x) ((x) << S_SINKTX)
40567#define F_SINKTX V_SINKTX(1U)
40568
40569#define S_SINKTXONLINKDOWN 26
40570#define V_SINKTXONLINKDOWN(x) ((x) << S_SINKTXONLINKDOWN)
40571#define F_SINKTXONLINKDOWN V_SINKTXONLINKDOWN(1U)
40572
40573#define S_XG2G_SPEED_MODE 25
40574#define V_XG2G_SPEED_MODE(x) ((x) << S_XG2G_SPEED_MODE)
40575#define F_XG2G_SPEED_MODE V_XG2G_SPEED_MODE(1U)
40576
40577#define S_LOOPNOFWD 24
40578#define V_LOOPNOFWD(x) ((x) << S_LOOPNOFWD)
40579#define F_LOOPNOFWD V_LOOPNOFWD(1U)
40580
40581#define S_XGM_TX_PAUSE_SIZE 23
40582#define V_XGM_TX_PAUSE_SIZE(x) ((x) << S_XGM_TX_PAUSE_SIZE)
40583#define F_XGM_TX_PAUSE_SIZE V_XGM_TX_PAUSE_SIZE(1U)
40584
40585#define S_XGM_TX_PAUSE_FRAME 22
40586#define V_XGM_TX_PAUSE_FRAME(x) ((x) << S_XGM_TX_PAUSE_FRAME)
40587#define F_XGM_TX_PAUSE_FRAME V_XGM_TX_PAUSE_FRAME(1U)
40588
40589#define S_XGM_TX_DISABLE_PRE 21
40590#define V_XGM_TX_DISABLE_PRE(x) ((x) << S_XGM_TX_DISABLE_PRE)
40591#define F_XGM_TX_DISABLE_PRE V_XGM_TX_DISABLE_PRE(1U)
40592
40593#define S_XGM_TX_DISABLE_CRC 20
40594#define V_XGM_TX_DISABLE_CRC(x) ((x) << S_XGM_TX_DISABLE_CRC)
40595#define F_XGM_TX_DISABLE_CRC V_XGM_TX_DISABLE_CRC(1U)
40596
40597#define S_SMUX_RX_LOOP 19
40598#define V_SMUX_RX_LOOP(x) ((x) << S_SMUX_RX_LOOP)
40599#define F_SMUX_RX_LOOP V_SMUX_RX_LOOP(1U)
40600
40601#define S_RX_LANE_SWAP 18
40602#define V_RX_LANE_SWAP(x) ((x) << S_RX_LANE_SWAP)
40603#define F_RX_LANE_SWAP V_RX_LANE_SWAP(1U)
40604
40605#define S_TX_LANE_SWAP 17
40606#define V_TX_LANE_SWAP(x) ((x) << S_TX_LANE_SWAP)
40607#define F_TX_LANE_SWAP V_TX_LANE_SWAP(1U)
40608
40609#define S_SIGNAL_DET 14
40610#define V_SIGNAL_DET(x) ((x) << S_SIGNAL_DET)
40611#define F_SIGNAL_DET V_SIGNAL_DET(1U)
40612
40613#define S_PMUX_RX_LOOP 13
40614#define V_PMUX_RX_LOOP(x) ((x) << S_PMUX_RX_LOOP)
40615#define F_PMUX_RX_LOOP V_PMUX_RX_LOOP(1U)
40616
40617#define S_PMUX_TX_LOOP 12
40618#define V_PMUX_TX_LOOP(x) ((x) << S_PMUX_TX_LOOP)
40619#define F_PMUX_TX_LOOP V_PMUX_TX_LOOP(1U)
40620
40621#define S_XGM_RX_SEL 10
40622#define M_XGM_RX_SEL 0x3U
40623#define V_XGM_RX_SEL(x) ((x) << S_XGM_RX_SEL)
40624#define G_XGM_RX_SEL(x) (((x) >> S_XGM_RX_SEL) & M_XGM_RX_SEL)
40625
40626#define S_PCS_TX_SEL 8
40627#define M_PCS_TX_SEL 0x3U
40628#define V_PCS_TX_SEL(x) ((x) << S_PCS_TX_SEL)
40629#define G_PCS_TX_SEL(x) (((x) >> S_PCS_TX_SEL) & M_PCS_TX_SEL)
40630
40631#define S_XAUI20_REM_PRE 5
40632#define V_XAUI20_REM_PRE(x) ((x) << S_XAUI20_REM_PRE)
40633#define F_XAUI20_REM_PRE V_XAUI20_REM_PRE(1U)
40634
40635#define S_XAUI20_XGMII_SEL 4
40636#define V_XAUI20_XGMII_SEL(x) ((x) << S_XAUI20_XGMII_SEL)
40637#define F_XAUI20_XGMII_SEL V_XAUI20_XGMII_SEL(1U)
40638
40639#define S_PORT_SEL 0
40640#define V_PORT_SEL(x) ((x) << S_PORT_SEL)
40641#define F_PORT_SEL V_PORT_SEL(1U)
40642
40643#define A_XGMAC_PORT_RESET_CTRL 0x1004
40644
40645#define S_AUXEXT_RESET 10
40646#define V_AUXEXT_RESET(x) ((x) << S_AUXEXT_RESET)
40647#define F_AUXEXT_RESET V_AUXEXT_RESET(1U)
40648
40649#define S_TXFIFO_RESET 9
40650#define V_TXFIFO_RESET(x) ((x) << S_TXFIFO_RESET)
40651#define F_TXFIFO_RESET V_TXFIFO_RESET(1U)
40652
40653#define S_RXFIFO_RESET 8
40654#define V_RXFIFO_RESET(x) ((x) << S_RXFIFO_RESET)
40655#define F_RXFIFO_RESET V_RXFIFO_RESET(1U)
40656
40657#define S_BEAN_RESET 7
40658#define V_BEAN_RESET(x) ((x) << S_BEAN_RESET)
40659#define F_BEAN_RESET V_BEAN_RESET(1U)
40660
40661#define S_XAUI_RESET 6
40662#define V_XAUI_RESET(x) ((x) << S_XAUI_RESET)
40663#define F_XAUI_RESET V_XAUI_RESET(1U)
40664
40665#define S_AE_RESET 5
40666#define V_AE_RESET(x) ((x) << S_AE_RESET)
40667#define F_AE_RESET V_AE_RESET(1U)
40668
40669#define S_XGM_RESET 4
40670#define V_XGM_RESET(x) ((x) << S_XGM_RESET)
40671#define F_XGM_RESET V_XGM_RESET(1U)
40672
40673#define S_XG2G_RESET 3
40674#define V_XG2G_RESET(x) ((x) << S_XG2G_RESET)
40675#define F_XG2G_RESET V_XG2G_RESET(1U)
40676
40677#define S_WOL_RESET 2
40678#define V_WOL_RESET(x) ((x) << S_WOL_RESET)
40679#define F_WOL_RESET V_WOL_RESET(1U)
40680
40681#define S_XFI_PCS_RESET 1
40682#define V_XFI_PCS_RESET(x) ((x) << S_XFI_PCS_RESET)
40683#define F_XFI_PCS_RESET V_XFI_PCS_RESET(1U)
40684
40685#define S_HSS_RESET 0
40686#define V_HSS_RESET(x) ((x) << S_HSS_RESET)
40687#define F_HSS_RESET V_HSS_RESET(1U)
40688
40689#define A_XGMAC_PORT_LED_CFG 0x1008
40690
40691#define S_LED1_CFG 5
40692#define M_LED1_CFG 0x7U
40693#define V_LED1_CFG(x) ((x) << S_LED1_CFG)
40694#define G_LED1_CFG(x) (((x) >> S_LED1_CFG) & M_LED1_CFG)
40695
40696#define S_LED1_POLARITY_INV 4
40697#define V_LED1_POLARITY_INV(x) ((x) << S_LED1_POLARITY_INV)
40698#define F_LED1_POLARITY_INV V_LED1_POLARITY_INV(1U)
40699
40700#define S_LED0_CFG 1
40701#define M_LED0_CFG 0x7U
40702#define V_LED0_CFG(x) ((x) << S_LED0_CFG)
40703#define G_LED0_CFG(x) (((x) >> S_LED0_CFG) & M_LED0_CFG)
40704
40705#define S_LED0_POLARITY_INV 0
40706#define V_LED0_POLARITY_INV(x) ((x) << S_LED0_POLARITY_INV)
40707#define F_LED0_POLARITY_INV V_LED0_POLARITY_INV(1U)
40708
40709#define A_XGMAC_PORT_LED_COUNTHI 0x100c
40710
40711#define S_LED_COUNT_HI 0
40712#define M_LED_COUNT_HI 0x1ffffffU
40713#define V_LED_COUNT_HI(x) ((x) << S_LED_COUNT_HI)
40714#define G_LED_COUNT_HI(x) (((x) >> S_LED_COUNT_HI) & M_LED_COUNT_HI)
40715
40716#define A_XGMAC_PORT_LED_COUNTLO 0x1010
40717
40718#define S_LED_COUNT_LO 0
40719#define M_LED_COUNT_LO 0x1ffffffU
40720#define V_LED_COUNT_LO(x) ((x) << S_LED_COUNT_LO)
40721#define G_LED_COUNT_LO(x) (((x) >> S_LED_COUNT_LO) & M_LED_COUNT_LO)
40722
40723#define A_XGMAC_PORT_DEBUG_CFG 0x1014
40724
40725#define S_TESTCLK_SEL 0
40726#define M_TESTCLK_SEL 0xfU
40727#define V_TESTCLK_SEL(x) ((x) << S_TESTCLK_SEL)
40728#define G_TESTCLK_SEL(x) (((x) >> S_TESTCLK_SEL) & M_TESTCLK_SEL)
40729
40730#define A_XGMAC_PORT_CFG2 0x1018
40731
40732#define S_RX_POLARITY_INV 28
40733#define M_RX_POLARITY_INV 0xfU
40734#define V_RX_POLARITY_INV(x) ((x) << S_RX_POLARITY_INV)
40735#define G_RX_POLARITY_INV(x) (((x) >> S_RX_POLARITY_INV) & M_RX_POLARITY_INV)
40736
40737#define S_TX_POLARITY_INV 24
40738#define M_TX_POLARITY_INV 0xfU
40739#define V_TX_POLARITY_INV(x) ((x) << S_TX_POLARITY_INV)
40740#define G_TX_POLARITY_INV(x) (((x) >> S_TX_POLARITY_INV) & M_TX_POLARITY_INV)
40741
40742#define S_INSTANCENUM 22
40743#define M_INSTANCENUM 0x3U
40744#define V_INSTANCENUM(x) ((x) << S_INSTANCENUM)
40745#define G_INSTANCENUM(x) (((x) >> S_INSTANCENUM) & M_INSTANCENUM)
40746
40747#define S_STOPONPERR 21
40748#define V_STOPONPERR(x) ((x) << S_STOPONPERR)
40749#define F_STOPONPERR V_STOPONPERR(1U)
40750
40751#define S_MACTXEN 20
40752#define V_MACTXEN(x) ((x) << S_MACTXEN)
40753#define F_MACTXEN V_MACTXEN(1U)
40754
40755#define S_MACRXEN 19
40756#define V_MACRXEN(x) ((x) << S_MACRXEN)
40757#define F_MACRXEN V_MACRXEN(1U)
40758
40759#define S_PATEN 18
40760#define V_PATEN(x) ((x) << S_PATEN)
40761#define F_PATEN V_PATEN(1U)
40762
40763#define S_MAGICEN 17
40764#define V_MAGICEN(x) ((x) << S_MAGICEN)
40765#define F_MAGICEN V_MAGICEN(1U)
40766
40767#define S_TX_IPG 4
40768#define M_TX_IPG 0x1fffU
40769#define V_TX_IPG(x) ((x) << S_TX_IPG)
40770#define G_TX_IPG(x) (((x) >> S_TX_IPG) & M_TX_IPG)
40771
40772#define S_AEC_PMA_TX_READY 1
40773#define V_AEC_PMA_TX_READY(x) ((x) << S_AEC_PMA_TX_READY)
40774#define F_AEC_PMA_TX_READY V_AEC_PMA_TX_READY(1U)
40775
40776#define S_AEC_PMA_RX_READY 0
40777#define V_AEC_PMA_RX_READY(x) ((x) << S_AEC_PMA_RX_READY)
40778#define F_AEC_PMA_RX_READY V_AEC_PMA_RX_READY(1U)
40779
40780#define A_XGMAC_PORT_PKT_COUNT 0x101c
40781
40782#define S_TX_SOP_COUNT 24
40783#define M_TX_SOP_COUNT 0xffU
40784#define V_TX_SOP_COUNT(x) ((x) << S_TX_SOP_COUNT)
40785#define G_TX_SOP_COUNT(x) (((x) >> S_TX_SOP_COUNT) & M_TX_SOP_COUNT)
40786
40787#define S_TX_EOP_COUNT 16
40788#define M_TX_EOP_COUNT 0xffU
40789#define V_TX_EOP_COUNT(x) ((x) << S_TX_EOP_COUNT)
40790#define G_TX_EOP_COUNT(x) (((x) >> S_TX_EOP_COUNT) & M_TX_EOP_COUNT)
40791
40792#define S_RX_SOP_COUNT 8
40793#define M_RX_SOP_COUNT 0xffU
40794#define V_RX_SOP_COUNT(x) ((x) << S_RX_SOP_COUNT)
40795#define G_RX_SOP_COUNT(x) (((x) >> S_RX_SOP_COUNT) & M_RX_SOP_COUNT)
40796
40797#define S_RX_EOP_COUNT 0
40798#define M_RX_EOP_COUNT 0xffU
40799#define V_RX_EOP_COUNT(x) ((x) << S_RX_EOP_COUNT)
40800#define G_RX_EOP_COUNT(x) (((x) >> S_RX_EOP_COUNT) & M_RX_EOP_COUNT)
40801
40802#define A_XGMAC_PORT_PERR_INJECT 0x1020
40803
40804#define S_XGMMEMSEL 1
40805#define V_XGMMEMSEL(x) ((x) << S_XGMMEMSEL)
40806#define F_XGMMEMSEL V_XGMMEMSEL(1U)
40807
40808#define A_XGMAC_PORT_MAGIC_MACID_LO 0x1024
40809#define A_XGMAC_PORT_MAGIC_MACID_HI 0x1028
40810
40811#define S_MAC_WOL_DA 0
40812#define M_MAC_WOL_DA 0xffffU
40813#define V_MAC_WOL_DA(x) ((x) << S_MAC_WOL_DA)
40814#define G_MAC_WOL_DA(x) (((x) >> S_MAC_WOL_DA) & M_MAC_WOL_DA)
40815
40816#define A_XGMAC_PORT_BUILD_REVISION 0x102c
40817#define A_XGMAC_PORT_XGMII_SE_COUNT 0x1030
40818
40819#define S_TXSOP 24
40820#define M_TXSOP 0xffU
40821#define V_TXSOP(x) ((x) << S_TXSOP)
40822#define G_TXSOP(x) (((x) >> S_TXSOP) & M_TXSOP)
40823
40824#define S_TXEOP 16
40825#define M_TXEOP 0xffU
40826#define V_TXEOP(x) ((x) << S_TXEOP)
40827#define G_TXEOP(x) (((x) >> S_TXEOP) & M_TXEOP)
40828
40829#define S_RXSOP 8
40830#define M_RXSOP 0xffU
40831#define V_RXSOP(x) ((x) << S_RXSOP)
40832#define G_RXSOP(x) (((x) >> S_RXSOP) & M_RXSOP)
40833
40834#define S_T4_RXEOP 0
40835#define M_T4_RXEOP 0xffU
40836#define V_T4_RXEOP(x) ((x) << S_T4_RXEOP)
40837#define G_T4_RXEOP(x) (((x) >> S_T4_RXEOP) & M_T4_RXEOP)
40838
40839#define A_XGMAC_PORT_LINK_STATUS 0x1034
40840
40841#define S_REMFLT 3
40842#define V_REMFLT(x) ((x) << S_REMFLT)
40843#define F_REMFLT V_REMFLT(1U)
40844
40845#define S_LOCFLT 2
40846#define V_LOCFLT(x) ((x) << S_LOCFLT)
40847#define F_LOCFLT V_LOCFLT(1U)
40848
40849#define S_LINKUP 1
40850#define V_LINKUP(x) ((x) << S_LINKUP)
40851#define F_LINKUP V_LINKUP(1U)
40852
40853#define S_LINKDN 0
40854#define V_LINKDN(x) ((x) << S_LINKDN)
40855#define F_LINKDN V_LINKDN(1U)
40856
40857#define A_XGMAC_PORT_CHECKIN 0x1038
40858
40859#define S_PREAMBLE 1
40860#define V_PREAMBLE(x) ((x) << S_PREAMBLE)
40861#define F_PREAMBLE V_PREAMBLE(1U)
40862
40863#define S_CHECKIN 0
40864#define V_CHECKIN(x) ((x) << S_CHECKIN)
40865#define F_CHECKIN V_CHECKIN(1U)
40866
40867#define A_XGMAC_PORT_FAULT_TEST 0x103c
40868
40869#define S_FLTTYPE 1
40870#define V_FLTTYPE(x) ((x) << S_FLTTYPE)
40871#define F_FLTTYPE V_FLTTYPE(1U)
40872
40873#define S_FLTCTRL 0
40874#define V_FLTCTRL(x) ((x) << S_FLTCTRL)
40875#define F_FLTCTRL V_FLTCTRL(1U)
40876
40877#define A_XGMAC_PORT_SPARE 0x1040
40878#define A_XGMAC_PORT_HSS_SIGDET_STATUS 0x1044
40879
40880#define S_SIGNALDETECT 0
40881#define M_SIGNALDETECT 0xfU
40882#define V_SIGNALDETECT(x) ((x) << S_SIGNALDETECT)
40883#define G_SIGNALDETECT(x) (((x) >> S_SIGNALDETECT) & M_SIGNALDETECT)
40884
40885#define A_XGMAC_PORT_EXT_LOS_STATUS 0x1048
40886#define A_XGMAC_PORT_EXT_LOS_CTRL 0x104c
40887
40888#define S_CTRL 0
40889#define M_CTRL 0xfU
40890#define V_CTRL(x) ((x) << S_CTRL)
40891#define G_CTRL(x) (((x) >> S_CTRL) & M_CTRL)
40892
40893#define A_XGMAC_PORT_FPGA_PAUSE_CTL 0x1050
40894
40895#define S_CTL 31
40896#define V_CTL(x) ((x) << S_CTL)
40897#define F_CTL V_CTL(1U)
40898
40899#define S_HWM 13
40900#define M_HWM 0x1fffU
40901#define V_HWM(x) ((x) << S_HWM)
40902#define G_HWM(x) (((x) >> S_HWM) & M_HWM)
40903
40904#define S_LWM 0
40905#define M_LWM 0x1fffU
40906#define V_LWM(x) ((x) << S_LWM)
40907#define G_LWM(x) (((x) >> S_LWM) & M_LWM)
40908
40909#define A_XGMAC_PORT_FPGA_ERRPKT_CNT 0x1054
40910#define A_XGMAC_PORT_LA_TX_0 0x1058
40911#define A_XGMAC_PORT_LA_RX_0 0x105c
40912#define A_XGMAC_PORT_FPGA_LA_CTL 0x1060
40913
40914#define S_RXRST 5
40915#define V_RXRST(x) ((x) << S_RXRST)
40916#define F_RXRST V_RXRST(1U)
40917
40918#define S_TXRST 4
40919#define V_TXRST(x) ((x) << S_TXRST)
40920#define F_TXRST V_TXRST(1U)
40921
40922#define S_XGMII 3
40923#define V_XGMII(x) ((x) << S_XGMII)
40924#define F_XGMII V_XGMII(1U)
40925
40926#define S_LAPAUSE 2
40927#define V_LAPAUSE(x) ((x) << S_LAPAUSE)
40928#define F_LAPAUSE V_LAPAUSE(1U)
40929
40930#define S_STOPERR 1
40931#define V_STOPERR(x) ((x) << S_STOPERR)
40932#define F_STOPERR V_STOPERR(1U)
40933
40934#define S_LASTOP 0
40935#define V_LASTOP(x) ((x) << S_LASTOP)
40936#define F_LASTOP V_LASTOP(1U)
40937
40938#define A_XGMAC_PORT_EPIO_DATA0 0x10c0
40939#define A_XGMAC_PORT_EPIO_DATA1 0x10c4
40940#define A_XGMAC_PORT_EPIO_DATA2 0x10c8
40941#define A_XGMAC_PORT_EPIO_DATA3 0x10cc
40942#define A_XGMAC_PORT_EPIO_OP 0x10d0
40943
40944#define S_EPIOWR 8
40945#define V_EPIOWR(x) ((x) << S_EPIOWR)
40946#define F_EPIOWR V_EPIOWR(1U)
40947
40948#define S_ADDRESS 0
40949#define M_ADDRESS 0xffU
40950#define V_ADDRESS(x) ((x) << S_ADDRESS)
40951#define G_ADDRESS(x) (((x) >> S_ADDRESS) & M_ADDRESS)
40952
40953#define A_XGMAC_PORT_WOL_STATUS 0x10d4
40954
40955#define S_MAGICDETECTED 31
40956#define V_MAGICDETECTED(x) ((x) << S_MAGICDETECTED)
40957#define F_MAGICDETECTED V_MAGICDETECTED(1U)
40958
40959#define S_PATDETECTED 30
40960#define V_PATDETECTED(x) ((x) << S_PATDETECTED)
40961#define F_PATDETECTED V_PATDETECTED(1U)
40962
40963#define S_CLEARMAGIC 4
40964#define V_CLEARMAGIC(x) ((x) << S_CLEARMAGIC)
40965#define F_CLEARMAGIC V_CLEARMAGIC(1U)
40966
40967#define S_CLEARMATCH 3
40968#define V_CLEARMATCH(x) ((x) << S_CLEARMATCH)
40969#define F_CLEARMATCH V_CLEARMATCH(1U)
40970
40971#define S_MATCHEDFILTER 0
40972#define M_MATCHEDFILTER 0x7U
40973#define V_MATCHEDFILTER(x) ((x) << S_MATCHEDFILTER)
40974#define G_MATCHEDFILTER(x) (((x) >> S_MATCHEDFILTER) & M_MATCHEDFILTER)
40975
40976#define A_XGMAC_PORT_INT_EN 0x10d8
40977
40978#define S_EXT_LOS 28
40979#define V_EXT_LOS(x) ((x) << S_EXT_LOS)
40980#define F_EXT_LOS V_EXT_LOS(1U)
40981
40982#define S_INCMPTBL_LINK 27
40983#define V_INCMPTBL_LINK(x) ((x) << S_INCMPTBL_LINK)
40984#define F_INCMPTBL_LINK V_INCMPTBL_LINK(1U)
40985
40986#define S_PATDETWAKE 26
40987#define V_PATDETWAKE(x) ((x) << S_PATDETWAKE)
40988#define F_PATDETWAKE V_PATDETWAKE(1U)
40989
40990#define S_MAGICWAKE 25
40991#define V_MAGICWAKE(x) ((x) << S_MAGICWAKE)
40992#define F_MAGICWAKE V_MAGICWAKE(1U)
40993
40994#define S_SIGDETCHG 24
40995#define V_SIGDETCHG(x) ((x) << S_SIGDETCHG)
40996#define F_SIGDETCHG V_SIGDETCHG(1U)
40997
40998#define S_PCSR_FEC_CORR 23
40999#define V_PCSR_FEC_CORR(x) ((x) << S_PCSR_FEC_CORR)
41000#define F_PCSR_FEC_CORR V_PCSR_FEC_CORR(1U)
41001
41002#define S_AE_TRAIN_LOCAL 22
41003#define V_AE_TRAIN_LOCAL(x) ((x) << S_AE_TRAIN_LOCAL)
41004#define F_AE_TRAIN_LOCAL V_AE_TRAIN_LOCAL(1U)
41005
41006#define S_HSSPLL_LOCK 21
41007#define V_HSSPLL_LOCK(x) ((x) << S_HSSPLL_LOCK)
41008#define F_HSSPLL_LOCK V_HSSPLL_LOCK(1U)
41009
41010#define S_HSSPRT_READY 20
41011#define V_HSSPRT_READY(x) ((x) << S_HSSPRT_READY)
41012#define F_HSSPRT_READY V_HSSPRT_READY(1U)
41013
41014#define S_AUTONEG_DONE 19
41015#define V_AUTONEG_DONE(x) ((x) << S_AUTONEG_DONE)
41016#define F_AUTONEG_DONE V_AUTONEG_DONE(1U)
41017
41018#define S_PCSR_HI_BER 18
41019#define V_PCSR_HI_BER(x) ((x) << S_PCSR_HI_BER)
41020#define F_PCSR_HI_BER V_PCSR_HI_BER(1U)
41021
41022#define S_PCSR_FEC_ERROR 17
41023#define V_PCSR_FEC_ERROR(x) ((x) << S_PCSR_FEC_ERROR)
41024#define F_PCSR_FEC_ERROR V_PCSR_FEC_ERROR(1U)
41025
41026#define S_PCSR_LINK_FAIL 16
41027#define V_PCSR_LINK_FAIL(x) ((x) << S_PCSR_LINK_FAIL)
41028#define F_PCSR_LINK_FAIL V_PCSR_LINK_FAIL(1U)
41029
41030#define S_XAUI_DEC_ERROR 15
41031#define V_XAUI_DEC_ERROR(x) ((x) << S_XAUI_DEC_ERROR)
41032#define F_XAUI_DEC_ERROR V_XAUI_DEC_ERROR(1U)
41033
41034#define S_XAUI_LINK_FAIL 14
41035#define V_XAUI_LINK_FAIL(x) ((x) << S_XAUI_LINK_FAIL)
41036#define F_XAUI_LINK_FAIL V_XAUI_LINK_FAIL(1U)
41037
41038#define S_PCS_CTC_ERROR 13
41039#define V_PCS_CTC_ERROR(x) ((x) << S_PCS_CTC_ERROR)
41040#define F_PCS_CTC_ERROR V_PCS_CTC_ERROR(1U)
41041
41042#define S_PCS_LINK_GOOD 12
41043#define V_PCS_LINK_GOOD(x) ((x) << S_PCS_LINK_GOOD)
41044#define F_PCS_LINK_GOOD V_PCS_LINK_GOOD(1U)
41045
41046#define S_PCS_LINK_FAIL 11
41047#define V_PCS_LINK_FAIL(x) ((x) << S_PCS_LINK_FAIL)
41048#define F_PCS_LINK_FAIL V_PCS_LINK_FAIL(1U)
41049
41050#define S_RXFIFOOVERFLOW 10
41051#define V_RXFIFOOVERFLOW(x) ((x) << S_RXFIFOOVERFLOW)
41052#define F_RXFIFOOVERFLOW V_RXFIFOOVERFLOW(1U)
41053
41054#define S_HSSPRBSERR 9
41055#define V_HSSPRBSERR(x) ((x) << S_HSSPRBSERR)
41056#define F_HSSPRBSERR V_HSSPRBSERR(1U)
41057
41058#define S_HSSEYEQUAL 8
41059#define V_HSSEYEQUAL(x) ((x) << S_HSSEYEQUAL)
41060#define F_HSSEYEQUAL V_HSSEYEQUAL(1U)
41061
41062#define S_REMOTEFAULT 7
41063#define V_REMOTEFAULT(x) ((x) << S_REMOTEFAULT)
41064#define F_REMOTEFAULT V_REMOTEFAULT(1U)
41065
41066#define S_LOCALFAULT 6
41067#define V_LOCALFAULT(x) ((x) << S_LOCALFAULT)
41068#define F_LOCALFAULT V_LOCALFAULT(1U)
41069
41070#define S_MAC_LINK_DOWN 5
41071#define V_MAC_LINK_DOWN(x) ((x) << S_MAC_LINK_DOWN)
41072#define F_MAC_LINK_DOWN V_MAC_LINK_DOWN(1U)
41073
41074#define S_MAC_LINK_UP 4
41075#define V_MAC_LINK_UP(x) ((x) << S_MAC_LINK_UP)
41076#define F_MAC_LINK_UP V_MAC_LINK_UP(1U)
41077
41078#define S_BEAN_INT 3
41079#define V_BEAN_INT(x) ((x) << S_BEAN_INT)
41080#define F_BEAN_INT V_BEAN_INT(1U)
41081
41082#define S_XGM_INT 2
41083#define V_XGM_INT(x) ((x) << S_XGM_INT)
41084#define F_XGM_INT V_XGM_INT(1U)
41085
41086#define A_XGMAC_PORT_INT_CAUSE 0x10dc
41087#define A_XGMAC_PORT_HSS_CFG0 0x10e0
41088
41089#define S_TXDTS 31
41090#define V_TXDTS(x) ((x) << S_TXDTS)
41091#define F_TXDTS V_TXDTS(1U)
41092
41093#define S_TXCTS 30
41094#define V_TXCTS(x) ((x) << S_TXCTS)
41095#define F_TXCTS V_TXCTS(1U)
41096
41097#define S_TXBTS 29
41098#define V_TXBTS(x) ((x) << S_TXBTS)
41099#define F_TXBTS V_TXBTS(1U)
41100
41101#define S_TXATS 28
41102#define V_TXATS(x) ((x) << S_TXATS)
41103#define F_TXATS V_TXATS(1U)
41104
41105#define S_TXDOBS 27
41106#define V_TXDOBS(x) ((x) << S_TXDOBS)
41107#define F_TXDOBS V_TXDOBS(1U)
41108
41109#define S_TXCOBS 26
41110#define V_TXCOBS(x) ((x) << S_TXCOBS)
41111#define F_TXCOBS V_TXCOBS(1U)
41112
41113#define S_TXBOBS 25
41114#define V_TXBOBS(x) ((x) << S_TXBOBS)
41115#define F_TXBOBS V_TXBOBS(1U)
41116
41117#define S_TXAOBS 24
41118#define V_TXAOBS(x) ((x) << S_TXAOBS)
41119#define F_TXAOBS V_TXAOBS(1U)
41120
41121#define S_HSSREFCLKSEL 20
41122#define V_HSSREFCLKSEL(x) ((x) << S_HSSREFCLKSEL)
41123#define F_HSSREFCLKSEL V_HSSREFCLKSEL(1U)
41124
41125#define S_HSSAVDHI 17
41126#define V_HSSAVDHI(x) ((x) << S_HSSAVDHI)
41127#define F_HSSAVDHI V_HSSAVDHI(1U)
41128
41129#define S_HSSRXTS 16
41130#define V_HSSRXTS(x) ((x) << S_HSSRXTS)
41131#define F_HSSRXTS V_HSSRXTS(1U)
41132
41133#define S_HSSTXACMODE 15
41134#define V_HSSTXACMODE(x) ((x) << S_HSSTXACMODE)
41135#define F_HSSTXACMODE V_HSSTXACMODE(1U)
41136
41137#define S_HSSRXACMODE 14
41138#define V_HSSRXACMODE(x) ((x) << S_HSSRXACMODE)
41139#define F_HSSRXACMODE V_HSSRXACMODE(1U)
41140
41141#define S_HSSRESYNC 13
41142#define V_HSSRESYNC(x) ((x) << S_HSSRESYNC)
41143#define F_HSSRESYNC V_HSSRESYNC(1U)
41144
41145#define S_HSSRECCAL 12
41146#define V_HSSRECCAL(x) ((x) << S_HSSRECCAL)
41147#define F_HSSRECCAL V_HSSRECCAL(1U)
41148
41149#define S_HSSPDWNPLL 11
41150#define V_HSSPDWNPLL(x) ((x) << S_HSSPDWNPLL)
41151#define F_HSSPDWNPLL V_HSSPDWNPLL(1U)
41152
41153#define S_HSSDIVSEL 9
41154#define M_HSSDIVSEL 0x3U
41155#define V_HSSDIVSEL(x) ((x) << S_HSSDIVSEL)
41156#define G_HSSDIVSEL(x) (((x) >> S_HSSDIVSEL) & M_HSSDIVSEL)
41157
41158#define S_HSSREFDIV 8
41159#define V_HSSREFDIV(x) ((x) << S_HSSREFDIV)
41160#define F_HSSREFDIV V_HSSREFDIV(1U)
41161
41162#define S_HSSPLLBYP 7
41163#define V_HSSPLLBYP(x) ((x) << S_HSSPLLBYP)
41164#define F_HSSPLLBYP V_HSSPLLBYP(1U)
41165
41166#define S_HSSLOFREQPLL 6
41167#define V_HSSLOFREQPLL(x) ((x) << S_HSSLOFREQPLL)
41168#define F_HSSLOFREQPLL V_HSSLOFREQPLL(1U)
41169
41170#define S_HSSLOFREQ2PLL 5
41171#define V_HSSLOFREQ2PLL(x) ((x) << S_HSSLOFREQ2PLL)
41172#define F_HSSLOFREQ2PLL V_HSSLOFREQ2PLL(1U)
41173
41174#define S_HSSEXTC16SEL 4
41175#define V_HSSEXTC16SEL(x) ((x) << S_HSSEXTC16SEL)
41176#define F_HSSEXTC16SEL V_HSSEXTC16SEL(1U)
41177
41178#define S_HSSRSTCONFIG 1
41179#define M_HSSRSTCONFIG 0x7U
41180#define V_HSSRSTCONFIG(x) ((x) << S_HSSRSTCONFIG)
41181#define G_HSSRSTCONFIG(x) (((x) >> S_HSSRSTCONFIG) & M_HSSRSTCONFIG)
41182
41183#define S_HSSPRBSEN 0
41184#define V_HSSPRBSEN(x) ((x) << S_HSSPRBSEN)
41185#define F_HSSPRBSEN V_HSSPRBSEN(1U)
41186
41187#define A_XGMAC_PORT_HSS_CFG1 0x10e4
41188
41189#define S_RXDPRBSRST 28
41190#define V_RXDPRBSRST(x) ((x) << S_RXDPRBSRST)
41191#define F_RXDPRBSRST V_RXDPRBSRST(1U)
41192
41193#define S_RXDPRBSEN 27
41194#define V_RXDPRBSEN(x) ((x) << S_RXDPRBSEN)
41195#define F_RXDPRBSEN V_RXDPRBSEN(1U)
41196
41197#define S_RXDPRBSFRCERR 26
41198#define V_RXDPRBSFRCERR(x) ((x) << S_RXDPRBSFRCERR)
41199#define F_RXDPRBSFRCERR V_RXDPRBSFRCERR(1U)
41200
41201#define S_TXDPRBSRST 25
41202#define V_TXDPRBSRST(x) ((x) << S_TXDPRBSRST)
41203#define F_TXDPRBSRST V_TXDPRBSRST(1U)
41204
41205#define S_TXDPRBSEN 24
41206#define V_TXDPRBSEN(x) ((x) << S_TXDPRBSEN)
41207#define F_TXDPRBSEN V_TXDPRBSEN(1U)
41208
41209#define S_RXCPRBSRST 20
41210#define V_RXCPRBSRST(x) ((x) << S_RXCPRBSRST)
41211#define F_RXCPRBSRST V_RXCPRBSRST(1U)
41212
41213#define S_RXCPRBSEN 19
41214#define V_RXCPRBSEN(x) ((x) << S_RXCPRBSEN)
41215#define F_RXCPRBSEN V_RXCPRBSEN(1U)
41216
41217#define S_RXCPRBSFRCERR 18
41218#define V_RXCPRBSFRCERR(x) ((x) << S_RXCPRBSFRCERR)
41219#define F_RXCPRBSFRCERR V_RXCPRBSFRCERR(1U)
41220
41221#define S_TXCPRBSRST 17
41222#define V_TXCPRBSRST(x) ((x) << S_TXCPRBSRST)
41223#define F_TXCPRBSRST V_TXCPRBSRST(1U)
41224
41225#define S_TXCPRBSEN 16
41226#define V_TXCPRBSEN(x) ((x) << S_TXCPRBSEN)
41227#define F_TXCPRBSEN V_TXCPRBSEN(1U)
41228
41229#define S_RXBPRBSRST 12
41230#define V_RXBPRBSRST(x) ((x) << S_RXBPRBSRST)
41231#define F_RXBPRBSRST V_RXBPRBSRST(1U)
41232
41233#define S_RXBPRBSEN 11
41234#define V_RXBPRBSEN(x) ((x) << S_RXBPRBSEN)
41235#define F_RXBPRBSEN V_RXBPRBSEN(1U)
41236
41237#define S_RXBPRBSFRCERR 10
41238#define V_RXBPRBSFRCERR(x) ((x) << S_RXBPRBSFRCERR)
41239#define F_RXBPRBSFRCERR V_RXBPRBSFRCERR(1U)
41240
41241#define S_TXBPRBSRST 9
41242#define V_TXBPRBSRST(x) ((x) << S_TXBPRBSRST)
41243#define F_TXBPRBSRST V_TXBPRBSRST(1U)
41244
41245#define S_TXBPRBSEN 8
41246#define V_TXBPRBSEN(x) ((x) << S_TXBPRBSEN)
41247#define F_TXBPRBSEN V_TXBPRBSEN(1U)
41248
41249#define S_RXAPRBSRST 4
41250#define V_RXAPRBSRST(x) ((x) << S_RXAPRBSRST)
41251#define F_RXAPRBSRST V_RXAPRBSRST(1U)
41252
41253#define S_RXAPRBSEN 3
41254#define V_RXAPRBSEN(x) ((x) << S_RXAPRBSEN)
41255#define F_RXAPRBSEN V_RXAPRBSEN(1U)
41256
41257#define S_RXAPRBSFRCERR 2
41258#define V_RXAPRBSFRCERR(x) ((x) << S_RXAPRBSFRCERR)
41259#define F_RXAPRBSFRCERR V_RXAPRBSFRCERR(1U)
41260
41261#define S_TXAPRBSRST 1
41262#define V_TXAPRBSRST(x) ((x) << S_TXAPRBSRST)
41263#define F_TXAPRBSRST V_TXAPRBSRST(1U)
41264
41265#define S_TXAPRBSEN 0
41266#define V_TXAPRBSEN(x) ((x) << S_TXAPRBSEN)
41267#define F_TXAPRBSEN V_TXAPRBSEN(1U)
41268
41269#define A_XGMAC_PORT_HSS_CFG2 0x10e8
41270
41271#define S_RXDDATASYNC 23
41272#define V_RXDDATASYNC(x) ((x) << S_RXDDATASYNC)
41273#define F_RXDDATASYNC V_RXDDATASYNC(1U)
41274
41275#define S_RXCDATASYNC 22
41276#define V_RXCDATASYNC(x) ((x) << S_RXCDATASYNC)
41277#define F_RXCDATASYNC V_RXCDATASYNC(1U)
41278
41279#define S_RXBDATASYNC 21
41280#define V_RXBDATASYNC(x) ((x) << S_RXBDATASYNC)
41281#define F_RXBDATASYNC V_RXBDATASYNC(1U)
41282
41283#define S_RXADATASYNC 20
41284#define V_RXADATASYNC(x) ((x) << S_RXADATASYNC)
41285#define F_RXADATASYNC V_RXADATASYNC(1U)
41286
41287#define S_RXDEARLYIN 19
41288#define V_RXDEARLYIN(x) ((x) << S_RXDEARLYIN)
41289#define F_RXDEARLYIN V_RXDEARLYIN(1U)
41290
41291#define S_RXDLATEIN 18
41292#define V_RXDLATEIN(x) ((x) << S_RXDLATEIN)
41293#define F_RXDLATEIN V_RXDLATEIN(1U)
41294
41295#define S_RXDPHSLOCK 17
41296#define V_RXDPHSLOCK(x) ((x) << S_RXDPHSLOCK)
41297#define F_RXDPHSLOCK V_RXDPHSLOCK(1U)
41298
41299#define S_RXDPHSDNIN 16
41300#define V_RXDPHSDNIN(x) ((x) << S_RXDPHSDNIN)
41301#define F_RXDPHSDNIN V_RXDPHSDNIN(1U)
41302
41303#define S_RXDPHSUPIN 15
41304#define V_RXDPHSUPIN(x) ((x) << S_RXDPHSUPIN)
41305#define F_RXDPHSUPIN V_RXDPHSUPIN(1U)
41306
41307#define S_RXCEARLYIN 14
41308#define V_RXCEARLYIN(x) ((x) << S_RXCEARLYIN)
41309#define F_RXCEARLYIN V_RXCEARLYIN(1U)
41310
41311#define S_RXCLATEIN 13
41312#define V_RXCLATEIN(x) ((x) << S_RXCLATEIN)
41313#define F_RXCLATEIN V_RXCLATEIN(1U)
41314
41315#define S_RXCPHSLOCK 12
41316#define V_RXCPHSLOCK(x) ((x) << S_RXCPHSLOCK)
41317#define F_RXCPHSLOCK V_RXCPHSLOCK(1U)
41318
41319#define S_RXCPHSDNIN 11
41320#define V_RXCPHSDNIN(x) ((x) << S_RXCPHSDNIN)
41321#define F_RXCPHSDNIN V_RXCPHSDNIN(1U)
41322
41323#define S_RXCPHSUPIN 10
41324#define V_RXCPHSUPIN(x) ((x) << S_RXCPHSUPIN)
41325#define F_RXCPHSUPIN V_RXCPHSUPIN(1U)
41326
41327#define S_RXBEARLYIN 9
41328#define V_RXBEARLYIN(x) ((x) << S_RXBEARLYIN)
41329#define F_RXBEARLYIN V_RXBEARLYIN(1U)
41330
41331#define S_RXBLATEIN 8
41332#define V_RXBLATEIN(x) ((x) << S_RXBLATEIN)
41333#define F_RXBLATEIN V_RXBLATEIN(1U)
41334
41335#define S_RXBPHSLOCK 7
41336#define V_RXBPHSLOCK(x) ((x) << S_RXBPHSLOCK)
41337#define F_RXBPHSLOCK V_RXBPHSLOCK(1U)
41338
41339#define S_RXBPHSDNIN 6
41340#define V_RXBPHSDNIN(x) ((x) << S_RXBPHSDNIN)
41341#define F_RXBPHSDNIN V_RXBPHSDNIN(1U)
41342
41343#define S_RXBPHSUPIN 5
41344#define V_RXBPHSUPIN(x) ((x) << S_RXBPHSUPIN)
41345#define F_RXBPHSUPIN V_RXBPHSUPIN(1U)
41346
41347#define S_RXAEARLYIN 4
41348#define V_RXAEARLYIN(x) ((x) << S_RXAEARLYIN)
41349#define F_RXAEARLYIN V_RXAEARLYIN(1U)
41350
41351#define S_RXALATEIN 3
41352#define V_RXALATEIN(x) ((x) << S_RXALATEIN)
41353#define F_RXALATEIN V_RXALATEIN(1U)
41354
41355#define S_RXAPHSLOCK 2
41356#define V_RXAPHSLOCK(x) ((x) << S_RXAPHSLOCK)
41357#define F_RXAPHSLOCK V_RXAPHSLOCK(1U)
41358
41359#define S_RXAPHSDNIN 1
41360#define V_RXAPHSDNIN(x) ((x) << S_RXAPHSDNIN)
41361#define F_RXAPHSDNIN V_RXAPHSDNIN(1U)
41362
41363#define S_RXAPHSUPIN 0
41364#define V_RXAPHSUPIN(x) ((x) << S_RXAPHSUPIN)
41365#define F_RXAPHSUPIN V_RXAPHSUPIN(1U)
41366
41367#define A_XGMAC_PORT_HSS_STATUS 0x10ec
41368
41369#define S_RXDPRBSSYNC 15
41370#define V_RXDPRBSSYNC(x) ((x) << S_RXDPRBSSYNC)
41371#define F_RXDPRBSSYNC V_RXDPRBSSYNC(1U)
41372
41373#define S_RXCPRBSSYNC 14
41374#define V_RXCPRBSSYNC(x) ((x) << S_RXCPRBSSYNC)
41375#define F_RXCPRBSSYNC V_RXCPRBSSYNC(1U)
41376
41377#define S_RXBPRBSSYNC 13
41378#define V_RXBPRBSSYNC(x) ((x) << S_RXBPRBSSYNC)
41379#define F_RXBPRBSSYNC V_RXBPRBSSYNC(1U)
41380
41381#define S_RXAPRBSSYNC 12
41382#define V_RXAPRBSSYNC(x) ((x) << S_RXAPRBSSYNC)
41383#define F_RXAPRBSSYNC V_RXAPRBSSYNC(1U)
41384
41385#define S_RXDPRBSERR 11
41386#define V_RXDPRBSERR(x) ((x) << S_RXDPRBSERR)
41387#define F_RXDPRBSERR V_RXDPRBSERR(1U)
41388
41389#define S_RXCPRBSERR 10
41390#define V_RXCPRBSERR(x) ((x) << S_RXCPRBSERR)
41391#define F_RXCPRBSERR V_RXCPRBSERR(1U)
41392
41393#define S_RXBPRBSERR 9
41394#define V_RXBPRBSERR(x) ((x) << S_RXBPRBSERR)
41395#define F_RXBPRBSERR V_RXBPRBSERR(1U)
41396
41397#define S_RXAPRBSERR 8
41398#define V_RXAPRBSERR(x) ((x) << S_RXAPRBSERR)
41399#define F_RXAPRBSERR V_RXAPRBSERR(1U)
41400
41401#define S_RXDSIGDET 7
41402#define V_RXDSIGDET(x) ((x) << S_RXDSIGDET)
41403#define F_RXDSIGDET V_RXDSIGDET(1U)
41404
41405#define S_RXCSIGDET 6
41406#define V_RXCSIGDET(x) ((x) << S_RXCSIGDET)
41407#define F_RXCSIGDET V_RXCSIGDET(1U)
41408
41409#define S_RXBSIGDET 5
41410#define V_RXBSIGDET(x) ((x) << S_RXBSIGDET)
41411#define F_RXBSIGDET V_RXBSIGDET(1U)
41412
41413#define S_RXASIGDET 4
41414#define V_RXASIGDET(x) ((x) << S_RXASIGDET)
41415#define F_RXASIGDET V_RXASIGDET(1U)
41416
41417#define S_HSSPLLLOCK 1
41418#define V_HSSPLLLOCK(x) ((x) << S_HSSPLLLOCK)
41419#define F_HSSPLLLOCK V_HSSPLLLOCK(1U)
41420
41421#define S_HSSPRTREADY 0
41422#define V_HSSPRTREADY(x) ((x) << S_HSSPRTREADY)
41423#define F_HSSPRTREADY V_HSSPRTREADY(1U)
41424
41425#define A_XGMAC_PORT_XGM_TX_CTRL 0x1200
41426
41427#define S_SENDPAUSE 2
41428#define V_SENDPAUSE(x) ((x) << S_SENDPAUSE)
41429#define F_SENDPAUSE V_SENDPAUSE(1U)
41430
41431#define S_SENDZEROPAUSE 1
41432#define V_SENDZEROPAUSE(x) ((x) << S_SENDZEROPAUSE)
41433#define F_SENDZEROPAUSE V_SENDZEROPAUSE(1U)
41434
41435#define S_XGM_TXEN 0
41436#define V_XGM_TXEN(x) ((x) << S_XGM_TXEN)
41437#define F_XGM_TXEN V_XGM_TXEN(1U)
41438
41439#define A_XGMAC_PORT_XGM_TX_CFG 0x1204
41440
41441#define S_CRCCAL 8
41442#define M_CRCCAL 0x3U
41443#define V_CRCCAL(x) ((x) << S_CRCCAL)
41444#define G_CRCCAL(x) (((x) >> S_CRCCAL) & M_CRCCAL)
41445
41446#define S_DISDEFIDLECNT 7
41447#define V_DISDEFIDLECNT(x) ((x) << S_DISDEFIDLECNT)
41448#define F_DISDEFIDLECNT V_DISDEFIDLECNT(1U)
41449
41450#define S_DECAVGTXIPG 6
41451#define V_DECAVGTXIPG(x) ((x) << S_DECAVGTXIPG)
41452#define F_DECAVGTXIPG V_DECAVGTXIPG(1U)
41453
41454#define S_UNIDIRTXEN 5
41455#define V_UNIDIRTXEN(x) ((x) << S_UNIDIRTXEN)
41456#define F_UNIDIRTXEN V_UNIDIRTXEN(1U)
41457
41458#define S_CFGCLKSPEED 2
41459#define M_CFGCLKSPEED 0x7U
41460#define V_CFGCLKSPEED(x) ((x) << S_CFGCLKSPEED)
41461#define G_CFGCLKSPEED(x) (((x) >> S_CFGCLKSPEED) & M_CFGCLKSPEED)
41462
41463#define S_STRETCHMODE 1
41464#define V_STRETCHMODE(x) ((x) << S_STRETCHMODE)
41465#define F_STRETCHMODE V_STRETCHMODE(1U)
41466
41467#define S_TXPAUSEEN 0
41468#define V_TXPAUSEEN(x) ((x) << S_TXPAUSEEN)
41469#define F_TXPAUSEEN V_TXPAUSEEN(1U)
41470
41471#define A_XGMAC_PORT_XGM_TX_PAUSE_QUANTA 0x1208
41472
41473#define S_TXPAUSEQUANTA 0
41474#define M_TXPAUSEQUANTA 0xffffU
41475#define V_TXPAUSEQUANTA(x) ((x) << S_TXPAUSEQUANTA)
41476#define G_TXPAUSEQUANTA(x) (((x) >> S_TXPAUSEQUANTA) & M_TXPAUSEQUANTA)
41477
41478#define A_XGMAC_PORT_XGM_RX_CTRL 0x120c
41479#define A_XGMAC_PORT_XGM_RX_CFG 0x1210
41480
41481#define S_RXCRCCAL 16
41482#define M_RXCRCCAL 0x3U
41483#define V_RXCRCCAL(x) ((x) << S_RXCRCCAL)
41484#define G_RXCRCCAL(x) (((x) >> S_RXCRCCAL) & M_RXCRCCAL)
41485
41486#define S_STATLOCALFAULT 15
41487#define V_STATLOCALFAULT(x) ((x) << S_STATLOCALFAULT)
41488#define F_STATLOCALFAULT V_STATLOCALFAULT(1U)
41489
41490#define S_STATREMOTEFAULT 14
41491#define V_STATREMOTEFAULT(x) ((x) << S_STATREMOTEFAULT)
41492#define F_STATREMOTEFAULT V_STATREMOTEFAULT(1U)
41493
41494#define S_LENERRFRAMEDIS 13
41495#define V_LENERRFRAMEDIS(x) ((x) << S_LENERRFRAMEDIS)
41496#define F_LENERRFRAMEDIS V_LENERRFRAMEDIS(1U)
41497
41498#define S_CON802_3PREAMBLE 12
41499#define V_CON802_3PREAMBLE(x) ((x) << S_CON802_3PREAMBLE)
41500#define F_CON802_3PREAMBLE V_CON802_3PREAMBLE(1U)
41501
41502#define S_ENNON802_3PREAMBLE 11
41503#define V_ENNON802_3PREAMBLE(x) ((x) << S_ENNON802_3PREAMBLE)
41504#define F_ENNON802_3PREAMBLE V_ENNON802_3PREAMBLE(1U)
41505
41506#define S_COPYPREAMBLE 10
41507#define V_COPYPREAMBLE(x) ((x) << S_COPYPREAMBLE)
41508#define F_COPYPREAMBLE V_COPYPREAMBLE(1U)
41509
41510#define S_DISPAUSEFRAMES 9
41511#define V_DISPAUSEFRAMES(x) ((x) << S_DISPAUSEFRAMES)
41512#define F_DISPAUSEFRAMES V_DISPAUSEFRAMES(1U)
41513
41514#define S_EN1536BFRAMES 8
41515#define V_EN1536BFRAMES(x) ((x) << S_EN1536BFRAMES)
41516#define F_EN1536BFRAMES V_EN1536BFRAMES(1U)
41517
41518#define S_ENJUMBO 7
41519#define V_ENJUMBO(x) ((x) << S_ENJUMBO)
41520#define F_ENJUMBO V_ENJUMBO(1U)
41521
41522#define S_RMFCS 6
41523#define V_RMFCS(x) ((x) << S_RMFCS)
41524#define F_RMFCS V_RMFCS(1U)
41525
41526#define S_DISNONVLAN 5
41527#define V_DISNONVLAN(x) ((x) << S_DISNONVLAN)
41528#define F_DISNONVLAN V_DISNONVLAN(1U)
41529
41530#define S_ENEXTMATCH 4
41531#define V_ENEXTMATCH(x) ((x) << S_ENEXTMATCH)
41532#define F_ENEXTMATCH V_ENEXTMATCH(1U)
41533
41534#define S_ENHASHUCAST 3
41535#define V_ENHASHUCAST(x) ((x) << S_ENHASHUCAST)
41536#define F_ENHASHUCAST V_ENHASHUCAST(1U)
41537
41538#define S_ENHASHMCAST 2
41539#define V_ENHASHMCAST(x) ((x) << S_ENHASHMCAST)
41540#define F_ENHASHMCAST V_ENHASHMCAST(1U)
41541
41542#define S_DISBCAST 1
41543#define V_DISBCAST(x) ((x) << S_DISBCAST)
41544#define F_DISBCAST V_DISBCAST(1U)
41545
41546#define S_COPYALLFRAMES 0
41547#define V_COPYALLFRAMES(x) ((x) << S_COPYALLFRAMES)
41548#define F_COPYALLFRAMES V_COPYALLFRAMES(1U)
41549
41550#define A_XGMAC_PORT_XGM_RX_HASH_LOW 0x1214
41551#define A_XGMAC_PORT_XGM_RX_HASH_HIGH 0x1218
41552#define A_XGMAC_PORT_XGM_RX_EXACT_MATCH_LOW_1 0x121c
41553#define A_XGMAC_PORT_XGM_RX_EXACT_MATCH_HIGH_1 0x1220
41554
41555#define S_ADDRESS_HIGH 0
41556#define M_ADDRESS_HIGH 0xffffU
41557#define V_ADDRESS_HIGH(x) ((x) << S_ADDRESS_HIGH)
41558#define G_ADDRESS_HIGH(x) (((x) >> S_ADDRESS_HIGH) & M_ADDRESS_HIGH)
41559
41560#define A_XGMAC_PORT_XGM_RX_EXACT_MATCH_LOW_2 0x1224
41561#define A_XGMAC_PORT_XGM_RX_EXACT_MATCH_HIGH_2 0x1228
41562#define A_XGMAC_PORT_XGM_RX_EXACT_MATCH_LOW_3 0x122c
41563#define A_XGMAC_PORT_XGM_RX_EXACT_MATCH_HIGH_3 0x1230
41564#define A_XGMAC_PORT_XGM_RX_EXACT_MATCH_LOW_4 0x1234
41565#define A_XGMAC_PORT_XGM_RX_EXACT_MATCH_HIGH_4 0x1238
41566#define A_XGMAC_PORT_XGM_RX_EXACT_MATCH_LOW_5 0x123c
41567#define A_XGMAC_PORT_XGM_RX_EXACT_MATCH_HIGH_5 0x1240
41568#define A_XGMAC_PORT_XGM_RX_EXACT_MATCH_LOW_6 0x1244
41569#define A_XGMAC_PORT_XGM_RX_EXACT_MATCH_HIGH_6 0x1248
41570#define A_XGMAC_PORT_XGM_RX_EXACT_MATCH_LOW_7 0x124c
41571#define A_XGMAC_PORT_XGM_RX_EXACT_MATCH_HIGH_7 0x1250
41572#define A_XGMAC_PORT_XGM_RX_EXACT_MATCH_LOW_8 0x1254
41573#define A_XGMAC_PORT_XGM_RX_EXACT_MATCH_HIGH_8 0x1258
41574#define A_XGMAC_PORT_XGM_RX_TYPE_MATCH_1 0x125c
41575
41576#define S_ENTYPEMATCH 31
41577#define V_ENTYPEMATCH(x) ((x) << S_ENTYPEMATCH)
41578#define F_ENTYPEMATCH V_ENTYPEMATCH(1U)
41579
41580#define S_TYPE 0
41581#define M_TYPE 0xffffU
41582#define V_TYPE(x) ((x) << S_TYPE)
41583#define G_TYPE(x) (((x) >> S_TYPE) & M_TYPE)
41584
41585#define A_XGMAC_PORT_XGM_RX_TYPE_MATCH_2 0x1260
41586#define A_XGMAC_PORT_XGM_RX_TYPE_MATCH_3 0x1264
41587#define A_XGMAC_PORT_XGM_RX_TYPE_MATCH_4 0x1268
41588#define A_XGMAC_PORT_XGM_INT_STATUS 0x126c
41589
41590#define S_XGMIIEXTINT 10
41591#define V_XGMIIEXTINT(x) ((x) << S_XGMIIEXTINT)
41592#define F_XGMIIEXTINT V_XGMIIEXTINT(1U)
41593
41594#define S_LINKFAULTCHANGE 9
41595#define V_LINKFAULTCHANGE(x) ((x) << S_LINKFAULTCHANGE)
41596#define F_LINKFAULTCHANGE V_LINKFAULTCHANGE(1U)
41597
41598#define S_PHYFRAMECOMPLETE 8
41599#define V_PHYFRAMECOMPLETE(x) ((x) << S_PHYFRAMECOMPLETE)
41600#define F_PHYFRAMECOMPLETE V_PHYFRAMECOMPLETE(1U)
41601
41602#define S_PAUSEFRAMETXMT 7
41603#define V_PAUSEFRAMETXMT(x) ((x) << S_PAUSEFRAMETXMT)
41604#define F_PAUSEFRAMETXMT V_PAUSEFRAMETXMT(1U)
41605
41606#define S_PAUSECNTRTIMEOUT 6
41607#define V_PAUSECNTRTIMEOUT(x) ((x) << S_PAUSECNTRTIMEOUT)
41608#define F_PAUSECNTRTIMEOUT V_PAUSECNTRTIMEOUT(1U)
41609
41610#define S_NON0PAUSERCVD 5
41611#define V_NON0PAUSERCVD(x) ((x) << S_NON0PAUSERCVD)
41612#define F_NON0PAUSERCVD V_NON0PAUSERCVD(1U)
41613
41614#define S_STATOFLOW 4
41615#define V_STATOFLOW(x) ((x) << S_STATOFLOW)
41616#define F_STATOFLOW V_STATOFLOW(1U)
41617
41618#define S_TXERRFIFO 3
41619#define V_TXERRFIFO(x) ((x) << S_TXERRFIFO)
41620#define F_TXERRFIFO V_TXERRFIFO(1U)
41621
41622#define S_TXUFLOW 2
41623#define V_TXUFLOW(x) ((x) << S_TXUFLOW)
41624#define F_TXUFLOW V_TXUFLOW(1U)
41625
41626#define S_FRAMETXMT 1
41627#define V_FRAMETXMT(x) ((x) << S_FRAMETXMT)
41628#define F_FRAMETXMT V_FRAMETXMT(1U)
41629
41630#define S_FRAMERCVD 0
41631#define V_FRAMERCVD(x) ((x) << S_FRAMERCVD)
41632#define F_FRAMERCVD V_FRAMERCVD(1U)
41633
41634#define A_XGMAC_PORT_XGM_INT_MASK 0x1270
41635#define A_XGMAC_PORT_XGM_INT_EN 0x1274
41636#define A_XGMAC_PORT_XGM_INT_DISABLE 0x1278
41637#define A_XGMAC_PORT_XGM_TX_PAUSE_TIMER 0x127c
41638
41639#define S_CURPAUSETIMER 0
41640#define M_CURPAUSETIMER 0xffffU
41641#define V_CURPAUSETIMER(x) ((x) << S_CURPAUSETIMER)
41642#define G_CURPAUSETIMER(x) (((x) >> S_CURPAUSETIMER) & M_CURPAUSETIMER)
41643
41644#define A_XGMAC_PORT_XGM_STAT_CTRL 0x1280
41645
41646#define S_READSNPSHOT 4
41647#define V_READSNPSHOT(x) ((x) << S_READSNPSHOT)
41648#define F_READSNPSHOT V_READSNPSHOT(1U)
41649
41650#define S_TAKESNPSHOT 3
41651#define V_TAKESNPSHOT(x) ((x) << S_TAKESNPSHOT)
41652#define F_TAKESNPSHOT V_TAKESNPSHOT(1U)
41653
41654#define S_CLRSTATS 2
41655#define V_CLRSTATS(x) ((x) << S_CLRSTATS)
41656#define F_CLRSTATS V_CLRSTATS(1U)
41657
41658#define S_INCRSTATS 1
41659#define V_INCRSTATS(x) ((x) << S_INCRSTATS)
41660#define F_INCRSTATS V_INCRSTATS(1U)
41661
41662#define S_ENTESTMODEWR 0
41663#define V_ENTESTMODEWR(x) ((x) << S_ENTESTMODEWR)
41664#define F_ENTESTMODEWR V_ENTESTMODEWR(1U)
41665
41666#define A_XGMAC_PORT_XGM_MDIO_CTRL 0x1284
41667
41668#define S_FRAMETYPE 30
41669#define M_FRAMETYPE 0x3U
41670#define V_FRAMETYPE(x) ((x) << S_FRAMETYPE)
41671#define G_FRAMETYPE(x) (((x) >> S_FRAMETYPE) & M_FRAMETYPE)
41672
41673#define S_OPERATION 28
41674#define M_OPERATION 0x3U
41675#define V_OPERATION(x) ((x) << S_OPERATION)
41676#define G_OPERATION(x) (((x) >> S_OPERATION) & M_OPERATION)
41677
41678#define S_PORTADDR 23
41679#define M_PORTADDR 0x1fU
41680#define V_PORTADDR(x) ((x) << S_PORTADDR)
41681#define G_PORTADDR(x) (((x) >> S_PORTADDR) & M_PORTADDR)
41682
41683#define S_DEVADDR 18
41684#define M_DEVADDR 0x1fU
41685#define V_DEVADDR(x) ((x) << S_DEVADDR)
41686#define G_DEVADDR(x) (((x) >> S_DEVADDR) & M_DEVADDR)
41687
41688#define S_RESRV 16
41689#define M_RESRV 0x3U
41690#define V_RESRV(x) ((x) << S_RESRV)
41691#define G_RESRV(x) (((x) >> S_RESRV) & M_RESRV)
41692
41693#define S_DATA 0
41694#define M_DATA 0xffffU
41695#define V_DATA(x) ((x) << S_DATA)
41696#define G_DATA(x) (((x) >> S_DATA) & M_DATA)
41697
41698#define A_XGMAC_PORT_XGM_MODULE_ID 0x12fc
41699
41700#define S_MODULEID 16
41701#define M_MODULEID 0xffffU
41702#define V_MODULEID(x) ((x) << S_MODULEID)
41703#define G_MODULEID(x) (((x) >> S_MODULEID) & M_MODULEID)
41704
41705#define S_MODULEREV 0
41706#define M_MODULEREV 0xffffU
41707#define V_MODULEREV(x) ((x) << S_MODULEREV)
41708#define G_MODULEREV(x) (((x) >> S_MODULEREV) & M_MODULEREV)
41709
41710#define A_XGMAC_PORT_XGM_STAT_TX_BYTE_LOW 0x1300
41711#define A_XGMAC_PORT_XGM_STAT_TX_BYTE_HIGH 0x1304
41712
41713#define S_TXBYTES_HIGH 0
41714#define M_TXBYTES_HIGH 0x1fffU
41715#define V_TXBYTES_HIGH(x) ((x) << S_TXBYTES_HIGH)
41716#define G_TXBYTES_HIGH(x) (((x) >> S_TXBYTES_HIGH) & M_TXBYTES_HIGH)
41717
41718#define A_XGMAC_PORT_XGM_STAT_TX_FRAME_LOW 0x1308
41719#define A_XGMAC_PORT_XGM_STAT_TX_FRAME_HIGH 0x130c
41720
41721#define S_TXFRAMES_HIGH 0
41722#define M_TXFRAMES_HIGH 0xfU
41723#define V_TXFRAMES_HIGH(x) ((x) << S_TXFRAMES_HIGH)
41724#define G_TXFRAMES_HIGH(x) (((x) >> S_TXFRAMES_HIGH) & M_TXFRAMES_HIGH)
41725
41726#define A_XGMAC_PORT_XGM_STAT_TX_BCAST 0x1310
41727#define A_XGMAC_PORT_XGM_STAT_TX_MCAST 0x1314
41728#define A_XGMAC_PORT_XGM_STAT_TX_PAUSE 0x1318
41729#define A_XGMAC_PORT_XGM_STAT_TX_64B_FRAMES 0x131c
41730#define A_XGMAC_PORT_XGM_STAT_TX_65_127B_FRAMES 0x1320
41731#define A_XGMAC_PORT_XGM_STAT_TX_128_255B_FRAMES 0x1324
41732#define A_XGMAC_PORT_XGM_STAT_TX_256_511B_FRAMES 0x1328
41733#define A_XGMAC_PORT_XGM_STAT_TX_512_1023B_FRAMES 0x132c
41734#define A_XGMAC_PORT_XGM_STAT_TX_1024_1518B_FRAMES 0x1330
41735#define A_XGMAC_PORT_XGM_STAT_TX_1519_MAXB_FRAMES 0x1334
41736#define A_XGMAC_PORT_XGM_STAT_TX_ERR_FRAMES 0x1338
41737#define A_XGMAC_PORT_XGM_STAT_RX_BYTES_LOW 0x133c
41738#define A_XGMAC_PORT_XGM_STAT_RX_BYTES_HIGH 0x1340
41739
41740#define S_RXBYTES_HIGH 0
41741#define M_RXBYTES_HIGH 0x1fffU
41742#define V_RXBYTES_HIGH(x) ((x) << S_RXBYTES_HIGH)
41743#define G_RXBYTES_HIGH(x) (((x) >> S_RXBYTES_HIGH) & M_RXBYTES_HIGH)
41744
41745#define A_XGMAC_PORT_XGM_STAT_RX_FRAMES_LOW 0x1344
41746#define A_XGMAC_PORT_XGM_STAT_RX_FRAMES_HIGH 0x1348
41747
41748#define S_RXFRAMES_HIGH 0
41749#define M_RXFRAMES_HIGH 0xfU
41750#define V_RXFRAMES_HIGH(x) ((x) << S_RXFRAMES_HIGH)
41751#define G_RXFRAMES_HIGH(x) (((x) >> S_RXFRAMES_HIGH) & M_RXFRAMES_HIGH)
41752
41753#define A_XGMAC_PORT_XGM_STAT_RX_BCAST_FRAMES 0x134c
41754#define A_XGMAC_PORT_XGM_STAT_RX_MCAST_FRAMES 0x1350
41755#define A_XGMAC_PORT_XGM_STAT_RX_PAUSE_FRAMES 0x1354
41756
41757#define S_RXPAUSEFRAMES 0
41758#define M_RXPAUSEFRAMES 0xffffU
41759#define V_RXPAUSEFRAMES(x) ((x) << S_RXPAUSEFRAMES)
41760#define G_RXPAUSEFRAMES(x) (((x) >> S_RXPAUSEFRAMES) & M_RXPAUSEFRAMES)
41761
41762#define A_XGMAC_PORT_XGM_STAT_RX_64B_FRAMES 0x1358
41763#define A_XGMAC_PORT_XGM_STAT_RX_65_127B_FRAMES 0x135c
41764#define A_XGMAC_PORT_XGM_STAT_RX_128_255B_FRAMES 0x1360
41765#define A_XGMAC_PORT_XGM_STAT_RX_256_511B_FRAMES 0x1364
41766#define A_XGMAC_PORT_XGM_STAT_RX_512_1023B_FRAMES 0x1368
41767#define A_XGMAC_PORT_XGM_STAT_RX_1024_1518B_FRAMES 0x136c
41768#define A_XGMAC_PORT_XGM_STAT_RX_1519_MAXB_FRAMES 0x1370
41769#define A_XGMAC_PORT_XGM_STAT_RX_SHORT_FRAMES 0x1374
41770
41771#define S_RXSHORTFRAMES 0
41772#define M_RXSHORTFRAMES 0xffffU
41773#define V_RXSHORTFRAMES(x) ((x) << S_RXSHORTFRAMES)
41774#define G_RXSHORTFRAMES(x) (((x) >> S_RXSHORTFRAMES) & M_RXSHORTFRAMES)
41775
41776#define A_XGMAC_PORT_XGM_STAT_RX_OVERSIZE_FRAMES 0x1378
41777
41778#define S_RXOVERSIZEFRAMES 0
41779#define M_RXOVERSIZEFRAMES 0xffffU
41780#define V_RXOVERSIZEFRAMES(x) ((x) << S_RXOVERSIZEFRAMES)
41781#define G_RXOVERSIZEFRAMES(x) (((x) >> S_RXOVERSIZEFRAMES) & M_RXOVERSIZEFRAMES)
41782
41783#define A_XGMAC_PORT_XGM_STAT_RX_JABBER_FRAMES 0x137c
41784
41785#define S_RXJABBERFRAMES 0
41786#define M_RXJABBERFRAMES 0xffffU
41787#define V_RXJABBERFRAMES(x) ((x) << S_RXJABBERFRAMES)
41788#define G_RXJABBERFRAMES(x) (((x) >> S_RXJABBERFRAMES) & M_RXJABBERFRAMES)
41789
41790#define A_XGMAC_PORT_XGM_STAT_RX_CRC_ERR_FRAMES 0x1380
41791
41792#define S_RXCRCERRFRAMES 0
41793#define M_RXCRCERRFRAMES 0xffffU
41794#define V_RXCRCERRFRAMES(x) ((x) << S_RXCRCERRFRAMES)
41795#define G_RXCRCERRFRAMES(x) (((x) >> S_RXCRCERRFRAMES) & M_RXCRCERRFRAMES)
41796
41797#define A_XGMAC_PORT_XGM_STAT_RX_LENGTH_ERR_FRAMES 0x1384
41798
41799#define S_RXLENGTHERRFRAMES 0
41800#define M_RXLENGTHERRFRAMES 0xffffU
41801#define V_RXLENGTHERRFRAMES(x) ((x) << S_RXLENGTHERRFRAMES)
41802#define G_RXLENGTHERRFRAMES(x) (((x) >> S_RXLENGTHERRFRAMES) & M_RXLENGTHERRFRAMES)
41803
41804#define A_XGMAC_PORT_XGM_STAT_RX_SYM_CODE_ERR_FRAMES 0x1388
41805
41806#define S_RXSYMCODEERRFRAMES 0
41807#define M_RXSYMCODEERRFRAMES 0xffffU
41808#define V_RXSYMCODEERRFRAMES(x) ((x) << S_RXSYMCODEERRFRAMES)
41809#define G_RXSYMCODEERRFRAMES(x) (((x) >> S_RXSYMCODEERRFRAMES) & M_RXSYMCODEERRFRAMES)
41810
41811#define A_XGMAC_PORT_XAUI_CTRL 0x1400
41812
41813#define S_POLARITY_INV_RX 8
41814#define M_POLARITY_INV_RX 0xfU
41815#define V_POLARITY_INV_RX(x) ((x) << S_POLARITY_INV_RX)
41816#define G_POLARITY_INV_RX(x) (((x) >> S_POLARITY_INV_RX) & M_POLARITY_INV_RX)
41817
41818#define S_POLARITY_INV_TX 4
41819#define M_POLARITY_INV_TX 0xfU
41820#define V_POLARITY_INV_TX(x) ((x) << S_POLARITY_INV_TX)
41821#define G_POLARITY_INV_TX(x) (((x) >> S_POLARITY_INV_TX) & M_POLARITY_INV_TX)
41822
41823#define S_TEST_SEL 2
41824#define M_TEST_SEL 0x3U
41825#define V_TEST_SEL(x) ((x) << S_TEST_SEL)
41826#define G_TEST_SEL(x) (((x) >> S_TEST_SEL) & M_TEST_SEL)
41827
41828#define S_TEST_EN 0
41829#define V_TEST_EN(x) ((x) << S_TEST_EN)
41830#define F_TEST_EN V_TEST_EN(1U)
41831
41832#define A_XGMAC_PORT_XAUI_STATUS 0x1404
41833
41834#define S_DECODE_ERROR 12
41835#define M_DECODE_ERROR 0xffU
41836#define V_DECODE_ERROR(x) ((x) << S_DECODE_ERROR)
41837#define G_DECODE_ERROR(x) (((x) >> S_DECODE_ERROR) & M_DECODE_ERROR)
41838
41839#define S_LANE3_CTC_STATUS 11
41840#define V_LANE3_CTC_STATUS(x) ((x) << S_LANE3_CTC_STATUS)
41841#define F_LANE3_CTC_STATUS V_LANE3_CTC_STATUS(1U)
41842
41843#define S_LANE2_CTC_STATUS 10
41844#define V_LANE2_CTC_STATUS(x) ((x) << S_LANE2_CTC_STATUS)
41845#define F_LANE2_CTC_STATUS V_LANE2_CTC_STATUS(1U)
41846
41847#define S_LANE1_CTC_STATUS 9
41848#define V_LANE1_CTC_STATUS(x) ((x) << S_LANE1_CTC_STATUS)
41849#define F_LANE1_CTC_STATUS V_LANE1_CTC_STATUS(1U)
41850
41851#define S_LANE0_CTC_STATUS 8
41852#define V_LANE0_CTC_STATUS(x) ((x) << S_LANE0_CTC_STATUS)
41853#define F_LANE0_CTC_STATUS V_LANE0_CTC_STATUS(1U)
41854
41855#define S_ALIGN_STATUS 4
41856#define V_ALIGN_STATUS(x) ((x) << S_ALIGN_STATUS)
41857#define F_ALIGN_STATUS V_ALIGN_STATUS(1U)
41858
41859#define S_LANE3_SYNC_STATUS 3
41860#define V_LANE3_SYNC_STATUS(x) ((x) << S_LANE3_SYNC_STATUS)
41861#define F_LANE3_SYNC_STATUS V_LANE3_SYNC_STATUS(1U)
41862
41863#define S_LANE2_SYNC_STATUS 2
41864#define V_LANE2_SYNC_STATUS(x) ((x) << S_LANE2_SYNC_STATUS)
41865#define F_LANE2_SYNC_STATUS V_LANE2_SYNC_STATUS(1U)
41866
41867#define S_LANE1_SYNC_STATUS 1
41868#define V_LANE1_SYNC_STATUS(x) ((x) << S_LANE1_SYNC_STATUS)
41869#define F_LANE1_SYNC_STATUS V_LANE1_SYNC_STATUS(1U)
41870
41871#define S_LANE0_SYNC_STATUS 0
41872#define V_LANE0_SYNC_STATUS(x) ((x) << S_LANE0_SYNC_STATUS)
41873#define F_LANE0_SYNC_STATUS V_LANE0_SYNC_STATUS(1U)
41874
41875#define A_XGMAC_PORT_PCSR_CTRL 0x1500
41876
41877#define S_RX_CLK_SPEED 7
41878#define V_RX_CLK_SPEED(x) ((x) << S_RX_CLK_SPEED)
41879#define F_RX_CLK_SPEED V_RX_CLK_SPEED(1U)
41880
41881#define S_SCRBYPASS 6
41882#define V_SCRBYPASS(x) ((x) << S_SCRBYPASS)
41883#define F_SCRBYPASS V_SCRBYPASS(1U)
41884
41885#define S_FECERRINDEN 5
41886#define V_FECERRINDEN(x) ((x) << S_FECERRINDEN)
41887#define F_FECERRINDEN V_FECERRINDEN(1U)
41888
41889#define S_FECEN 4
41890#define V_FECEN(x) ((x) << S_FECEN)
41891#define F_FECEN V_FECEN(1U)
41892
41893#define S_TESTSEL 2
41894#define M_TESTSEL 0x3U
41895#define V_TESTSEL(x) ((x) << S_TESTSEL)
41896#define G_TESTSEL(x) (((x) >> S_TESTSEL) & M_TESTSEL)
41897
41898#define S_SCRLOOPEN 1
41899#define V_SCRLOOPEN(x) ((x) << S_SCRLOOPEN)
41900#define F_SCRLOOPEN V_SCRLOOPEN(1U)
41901
41902#define S_XGMIILOOPEN 0
41903#define V_XGMIILOOPEN(x) ((x) << S_XGMIILOOPEN)
41904#define F_XGMIILOOPEN V_XGMIILOOPEN(1U)
41905
41906#define A_XGMAC_PORT_PCSR_TXTEST_CTRL 0x1510
41907
41908#define S_TX_PRBS9_EN 4
41909#define V_TX_PRBS9_EN(x) ((x) << S_TX_PRBS9_EN)
41910#define F_TX_PRBS9_EN V_TX_PRBS9_EN(1U)
41911
41912#define S_TX_PRBS31_EN 3
41913#define V_TX_PRBS31_EN(x) ((x) << S_TX_PRBS31_EN)
41914#define F_TX_PRBS31_EN V_TX_PRBS31_EN(1U)
41915
41916#define S_TX_TST_DAT_SEL 2
41917#define V_TX_TST_DAT_SEL(x) ((x) << S_TX_TST_DAT_SEL)
41918#define F_TX_TST_DAT_SEL V_TX_TST_DAT_SEL(1U)
41919
41920#define S_TX_TST_SEL 1
41921#define V_TX_TST_SEL(x) ((x) << S_TX_TST_SEL)
41922#define F_TX_TST_SEL V_TX_TST_SEL(1U)
41923
41924#define S_TX_TST_EN 0
41925#define V_TX_TST_EN(x) ((x) << S_TX_TST_EN)
41926#define F_TX_TST_EN V_TX_TST_EN(1U)
41927
41928#define A_XGMAC_PORT_PCSR_TXTEST_SEEDA_LOWER 0x1514
41929#define A_XGMAC_PORT_PCSR_TXTEST_SEEDA_UPPER 0x1518
41930
41931#define S_SEEDA_UPPER 0
41932#define M_SEEDA_UPPER 0x3ffffffU
41933#define V_SEEDA_UPPER(x) ((x) << S_SEEDA_UPPER)
41934#define G_SEEDA_UPPER(x) (((x) >> S_SEEDA_UPPER) & M_SEEDA_UPPER)
41935
41936#define A_XGMAC_PORT_PCSR_TXTEST_SEEDB_LOWER 0x152c
41937#define A_XGMAC_PORT_PCSR_TXTEST_SEEDB_UPPER 0x1530
41938
41939#define S_SEEDB_UPPER 0
41940#define M_SEEDB_UPPER 0x3ffffffU
41941#define V_SEEDB_UPPER(x) ((x) << S_SEEDB_UPPER)
41942#define G_SEEDB_UPPER(x) (((x) >> S_SEEDB_UPPER) & M_SEEDB_UPPER)
41943
41944#define A_XGMAC_PORT_PCSR_RXTEST_CTRL 0x153c
41945
41946#define S_TPTER_CNT_RST 7
41947#define V_TPTER_CNT_RST(x) ((x) << S_TPTER_CNT_RST)
41948#define F_TPTER_CNT_RST V_TPTER_CNT_RST(1U)
41949
41950#define S_TEST_CNT_125US 6
41951#define V_TEST_CNT_125US(x) ((x) << S_TEST_CNT_125US)
41952#define F_TEST_CNT_125US V_TEST_CNT_125US(1U)
41953
41954#define S_TEST_CNT_PRE 5
41955#define V_TEST_CNT_PRE(x) ((x) << S_TEST_CNT_PRE)
41956#define F_TEST_CNT_PRE V_TEST_CNT_PRE(1U)
41957
41958#define S_BER_CNT_RST 4
41959#define V_BER_CNT_RST(x) ((x) << S_BER_CNT_RST)
41960#define F_BER_CNT_RST V_BER_CNT_RST(1U)
41961
41962#define S_ERR_BLK_CNT_RST 3
41963#define V_ERR_BLK_CNT_RST(x) ((x) << S_ERR_BLK_CNT_RST)
41964#define F_ERR_BLK_CNT_RST V_ERR_BLK_CNT_RST(1U)
41965
41966#define S_RX_PRBS31_EN 2
41967#define V_RX_PRBS31_EN(x) ((x) << S_RX_PRBS31_EN)
41968#define F_RX_PRBS31_EN V_RX_PRBS31_EN(1U)
41969
41970#define S_RX_TST_DAT_SEL 1
41971#define V_RX_TST_DAT_SEL(x) ((x) << S_RX_TST_DAT_SEL)
41972#define F_RX_TST_DAT_SEL V_RX_TST_DAT_SEL(1U)
41973
41974#define S_RX_TST_EN 0
41975#define V_RX_TST_EN(x) ((x) << S_RX_TST_EN)
41976#define F_RX_TST_EN V_RX_TST_EN(1U)
41977
41978#define A_XGMAC_PORT_PCSR_STATUS 0x1550
41979
41980#define S_ERR_BLK_CNT 16
41981#define M_ERR_BLK_CNT 0xffU
41982#define V_ERR_BLK_CNT(x) ((x) << S_ERR_BLK_CNT)
41983#define G_ERR_BLK_CNT(x) (((x) >> S_ERR_BLK_CNT) & M_ERR_BLK_CNT)
41984
41985#define S_BER_COUNT 8
41986#define M_BER_COUNT 0x3fU
41987#define V_BER_COUNT(x) ((x) << S_BER_COUNT)
41988#define G_BER_COUNT(x) (((x) >> S_BER_COUNT) & M_BER_COUNT)
41989
41990#define S_HI_BER 2
41991#define V_HI_BER(x) ((x) << S_HI_BER)
41992#define F_HI_BER V_HI_BER(1U)
41993
41994#define S_RX_FAULT 1
41995#define V_RX_FAULT(x) ((x) << S_RX_FAULT)
41996#define F_RX_FAULT V_RX_FAULT(1U)
41997
41998#define S_TX_FAULT 0
41999#define V_TX_FAULT(x) ((x) << S_TX_FAULT)
42000#define F_TX_FAULT V_TX_FAULT(1U)
42001
42002#define A_XGMAC_PORT_PCSR_TEST_STATUS 0x1554
42003
42004#define S_TPT_ERR_CNT 0
42005#define M_TPT_ERR_CNT 0xffffU
42006#define V_TPT_ERR_CNT(x) ((x) << S_TPT_ERR_CNT)
42007#define G_TPT_ERR_CNT(x) (((x) >> S_TPT_ERR_CNT) & M_TPT_ERR_CNT)
42008
42009#define A_XGMAC_PORT_AN_CONTROL 0x1600
42010
42011#define S_SOFT_RESET 15
42012#define V_SOFT_RESET(x) ((x) << S_SOFT_RESET)
42013#define F_SOFT_RESET V_SOFT_RESET(1U)
42014
42015#define S_AN_ENABLE 12
42016#define V_AN_ENABLE(x) ((x) << S_AN_ENABLE)
42017#define F_AN_ENABLE V_AN_ENABLE(1U)
42018
42019#define S_RESTART_AN 9
42020#define V_RESTART_AN(x) ((x) << S_RESTART_AN)
42021#define F_RESTART_AN V_RESTART_AN(1U)
42022
42023#define A_XGMAC_PORT_AN_STATUS 0x1604
42024
42025#define S_NONCER_MATCH 31
42026#define V_NONCER_MATCH(x) ((x) << S_NONCER_MATCH)
42027#define F_NONCER_MATCH V_NONCER_MATCH(1U)
42028
42029#define S_PARALLEL_DET_FAULT 9
42030#define V_PARALLEL_DET_FAULT(x) ((x) << S_PARALLEL_DET_FAULT)
42031#define F_PARALLEL_DET_FAULT V_PARALLEL_DET_FAULT(1U)
42032
42033#define S_PAGE_RECEIVED 6
42034#define V_PAGE_RECEIVED(x) ((x) << S_PAGE_RECEIVED)
42035#define F_PAGE_RECEIVED V_PAGE_RECEIVED(1U)
42036
42037#define S_AN_COMPLETE 5
42038#define V_AN_COMPLETE(x) ((x) << S_AN_COMPLETE)
42039#define F_AN_COMPLETE V_AN_COMPLETE(1U)
42040
42041#define S_STAT_REMFAULT 4
42042#define V_STAT_REMFAULT(x) ((x) << S_STAT_REMFAULT)
42043#define F_STAT_REMFAULT V_STAT_REMFAULT(1U)
42044
42045#define S_AN_ABILITY 3
42046#define V_AN_ABILITY(x) ((x) << S_AN_ABILITY)
42047#define F_AN_ABILITY V_AN_ABILITY(1U)
42048
42049#define S_LINK_STATUS 2
42050#define V_LINK_STATUS(x) ((x) << S_LINK_STATUS)
42051#define F_LINK_STATUS V_LINK_STATUS(1U)
42052
42053#define S_PARTNER_AN_ABILITY 0
42054#define V_PARTNER_AN_ABILITY(x) ((x) << S_PARTNER_AN_ABILITY)
42055#define F_PARTNER_AN_ABILITY V_PARTNER_AN_ABILITY(1U)
42056
42057#define A_XGMAC_PORT_AN_ADVERTISEMENT 0x1608
42058
42059#define S_FEC_ENABLE 31
42060#define V_FEC_ENABLE(x) ((x) << S_FEC_ENABLE)
42061#define F_FEC_ENABLE V_FEC_ENABLE(1U)
42062
42063#define S_FEC_ABILITY 30
42064#define V_FEC_ABILITY(x) ((x) << S_FEC_ABILITY)
42065#define F_FEC_ABILITY V_FEC_ABILITY(1U)
42066
42067#define S_10GBASE_KR_CAPABLE 23
42068#define V_10GBASE_KR_CAPABLE(x) ((x) << S_10GBASE_KR_CAPABLE)
42069#define F_10GBASE_KR_CAPABLE V_10GBASE_KR_CAPABLE(1U)
42070
42071#define S_10GBASE_KX4_CAPABLE 22
42072#define V_10GBASE_KX4_CAPABLE(x) ((x) << S_10GBASE_KX4_CAPABLE)
42073#define F_10GBASE_KX4_CAPABLE V_10GBASE_KX4_CAPABLE(1U)
42074
42075#define S_1000BASE_KX_CAPABLE 21
42076#define V_1000BASE_KX_CAPABLE(x) ((x) << S_1000BASE_KX_CAPABLE)
42077#define F_1000BASE_KX_CAPABLE V_1000BASE_KX_CAPABLE(1U)
42078
42079#define S_TRANSMITTED_NONCE 16
42080#define M_TRANSMITTED_NONCE 0x1fU
42081#define V_TRANSMITTED_NONCE(x) ((x) << S_TRANSMITTED_NONCE)
42082#define G_TRANSMITTED_NONCE(x) (((x) >> S_TRANSMITTED_NONCE) & M_TRANSMITTED_NONCE)
42083
42084#define S_NP 15
42085#define V_NP(x) ((x) << S_NP)
42086#define F_NP V_NP(1U)
42087
42088#define S_ACK 14
42089#define V_ACK(x) ((x) << S_ACK)
42090#define F_ACK V_ACK(1U)
42091
42092#define S_REMOTE_FAULT 13
42093#define V_REMOTE_FAULT(x) ((x) << S_REMOTE_FAULT)
42094#define F_REMOTE_FAULT V_REMOTE_FAULT(1U)
42095
42096#define S_ASM_DIR 11
42097#define V_ASM_DIR(x) ((x) << S_ASM_DIR)
42098#define F_ASM_DIR V_ASM_DIR(1U)
42099
42100#define S_PAUSE 10
42101#define V_PAUSE(x) ((x) << S_PAUSE)
42102#define F_PAUSE V_PAUSE(1U)
42103
42104#define S_ECHOED_NONCE 5
42105#define M_ECHOED_NONCE 0x1fU
42106#define V_ECHOED_NONCE(x) ((x) << S_ECHOED_NONCE)
42107#define G_ECHOED_NONCE(x) (((x) >> S_ECHOED_NONCE) & M_ECHOED_NONCE)
42108
42109#define A_XGMAC_PORT_AN_LINK_PARTNER_ABILITY 0x160c
42110
42111#define S_SELECTOR_FIELD 0
42112#define M_SELECTOR_FIELD 0x1fU
42113#define V_SELECTOR_FIELD(x) ((x) << S_SELECTOR_FIELD)
42114#define G_SELECTOR_FIELD(x) (((x) >> S_SELECTOR_FIELD) & M_SELECTOR_FIELD)
42115
42116#define A_XGMAC_PORT_AN_NP_LOWER_TRANSMIT 0x1610
42117
42118#define S_NP_INFO 16
42119#define M_NP_INFO 0xffffU
42120#define V_NP_INFO(x) ((x) << S_NP_INFO)
42121#define G_NP_INFO(x) (((x) >> S_NP_INFO) & M_NP_INFO)
42122
42123#define S_NP_INDICATION 15
42124#define V_NP_INDICATION(x) ((x) << S_NP_INDICATION)
42125#define F_NP_INDICATION V_NP_INDICATION(1U)
42126
42127#define S_MESSAGE_PAGE 13
42128#define V_MESSAGE_PAGE(x) ((x) << S_MESSAGE_PAGE)
42129#define F_MESSAGE_PAGE V_MESSAGE_PAGE(1U)
42130
42131#define S_ACK_2 12
42132#define V_ACK_2(x) ((x) << S_ACK_2)
42133#define F_ACK_2 V_ACK_2(1U)
42134
42135#define S_TOGGLE 11
42136#define V_TOGGLE(x) ((x) << S_TOGGLE)
42137#define F_TOGGLE V_TOGGLE(1U)
42138
42139#define A_XGMAC_PORT_AN_NP_UPPER_TRANSMIT 0x1614
42140
42141#define S_NP_INFO_HI 0
42142#define M_NP_INFO_HI 0xffffU
42143#define V_NP_INFO_HI(x) ((x) << S_NP_INFO_HI)
42144#define G_NP_INFO_HI(x) (((x) >> S_NP_INFO_HI) & M_NP_INFO_HI)
42145
42146#define A_XGMAC_PORT_AN_LP_NP_LOWER 0x1618
42147#define A_XGMAC_PORT_AN_LP_NP_UPPER 0x161c
42148#define A_XGMAC_PORT_AN_BACKPLANE_ETHERNET_STATUS 0x1624
42149
42150#define S_TX_PAUSE_OKAY 6
42151#define V_TX_PAUSE_OKAY(x) ((x) << S_TX_PAUSE_OKAY)
42152#define F_TX_PAUSE_OKAY V_TX_PAUSE_OKAY(1U)
42153
42154#define S_RX_PAUSE_OKAY 5
42155#define V_RX_PAUSE_OKAY(x) ((x) << S_RX_PAUSE_OKAY)
42156#define F_RX_PAUSE_OKAY V_RX_PAUSE_OKAY(1U)
42157
42158#define S_10GBASE_KR_FEC_NEG 4
42159#define V_10GBASE_KR_FEC_NEG(x) ((x) << S_10GBASE_KR_FEC_NEG)
42160#define F_10GBASE_KR_FEC_NEG V_10GBASE_KR_FEC_NEG(1U)
42161
42162#define S_10GBASE_KR_NEG 3
42163#define V_10GBASE_KR_NEG(x) ((x) << S_10GBASE_KR_NEG)
42164#define F_10GBASE_KR_NEG V_10GBASE_KR_NEG(1U)
42165
42166#define S_10GBASE_KX4_NEG 2
42167#define V_10GBASE_KX4_NEG(x) ((x) << S_10GBASE_KX4_NEG)
42168#define F_10GBASE_KX4_NEG V_10GBASE_KX4_NEG(1U)
42169
42170#define S_1000BASE_KX_NEG 1
42171#define V_1000BASE_KX_NEG(x) ((x) << S_1000BASE_KX_NEG)
42172#define F_1000BASE_KX_NEG V_1000BASE_KX_NEG(1U)
42173
42174#define S_BP_AN_ABILITY 0
42175#define V_BP_AN_ABILITY(x) ((x) << S_BP_AN_ABILITY)
42176#define F_BP_AN_ABILITY V_BP_AN_ABILITY(1U)
42177
42178#define A_XGMAC_PORT_AN_TX_NONCE_CONTROL 0x1628
42179
42180#define S_BYPASS_LFSR 15
42181#define V_BYPASS_LFSR(x) ((x) << S_BYPASS_LFSR)
42182#define F_BYPASS_LFSR V_BYPASS_LFSR(1U)
42183
42184#define S_LFSR_INIT 0
42185#define M_LFSR_INIT 0x7fffU
42186#define V_LFSR_INIT(x) ((x) << S_LFSR_INIT)
42187#define G_LFSR_INIT(x) (((x) >> S_LFSR_INIT) & M_LFSR_INIT)
42188
42189#define A_XGMAC_PORT_AN_INTERRUPT_STATUS 0x162c
42190
42191#define S_NP_FROM_LP 3
42192#define V_NP_FROM_LP(x) ((x) << S_NP_FROM_LP)
42193#define F_NP_FROM_LP V_NP_FROM_LP(1U)
42194
42195#define S_PARALLELDETFAULTINT 2
42196#define V_PARALLELDETFAULTINT(x) ((x) << S_PARALLELDETFAULTINT)
42197#define F_PARALLELDETFAULTINT V_PARALLELDETFAULTINT(1U)
42198
42199#define S_BP_FROM_LP 1
42200#define V_BP_FROM_LP(x) ((x) << S_BP_FROM_LP)
42201#define F_BP_FROM_LP V_BP_FROM_LP(1U)
42202
42203#define S_PCS_AN_COMPLETE 0
42204#define V_PCS_AN_COMPLETE(x) ((x) << S_PCS_AN_COMPLETE)
42205#define F_PCS_AN_COMPLETE V_PCS_AN_COMPLETE(1U)
42206
42207#define A_XGMAC_PORT_AN_GENERIC_TIMER_TIMEOUT 0x1630
42208
42209#define S_GENERIC_TIMEOUT 0
42210#define M_GENERIC_TIMEOUT 0x7fffffU
42211#define V_GENERIC_TIMEOUT(x) ((x) << S_GENERIC_TIMEOUT)
42212#define G_GENERIC_TIMEOUT(x) (((x) >> S_GENERIC_TIMEOUT) & M_GENERIC_TIMEOUT)
42213
42214#define A_XGMAC_PORT_AN_BREAK_LINK_TIMEOUT 0x1634
42215
42216#define S_BREAK_LINK_TIMEOUT 0
42217#define M_BREAK_LINK_TIMEOUT 0xffffffU
42218#define V_BREAK_LINK_TIMEOUT(x) ((x) << S_BREAK_LINK_TIMEOUT)
42219#define G_BREAK_LINK_TIMEOUT(x) (((x) >> S_BREAK_LINK_TIMEOUT) & M_BREAK_LINK_TIMEOUT)
42220
42221#define A_XGMAC_PORT_AN_MODULE_ID 0x163c
42222
42223#define S_MODULE_ID 16
42224#define M_MODULE_ID 0xffffU
42225#define V_MODULE_ID(x) ((x) << S_MODULE_ID)
42226#define G_MODULE_ID(x) (((x) >> S_MODULE_ID) & M_MODULE_ID)
42227
42228#define S_MODULE_REVISION 0
42229#define M_MODULE_REVISION 0xffffU
42230#define V_MODULE_REVISION(x) ((x) << S_MODULE_REVISION)
42231#define G_MODULE_REVISION(x) (((x) >> S_MODULE_REVISION) & M_MODULE_REVISION)
42232
42233#define A_XGMAC_PORT_AE_RX_COEF_REQ 0x1700
42234
42235#define S_RXREQ_CPRE 13
42236#define V_RXREQ_CPRE(x) ((x) << S_RXREQ_CPRE)
42237#define F_RXREQ_CPRE V_RXREQ_CPRE(1U)
42238
42239#define S_RXREQ_CINIT 12
42240#define V_RXREQ_CINIT(x) ((x) << S_RXREQ_CINIT)
42241#define F_RXREQ_CINIT V_RXREQ_CINIT(1U)
42242
42243#define S_RXREQ_C0 4
42244#define M_RXREQ_C0 0x3U
42245#define V_RXREQ_C0(x) ((x) << S_RXREQ_C0)
42246#define G_RXREQ_C0(x) (((x) >> S_RXREQ_C0) & M_RXREQ_C0)
42247
42248#define S_RXREQ_C1 2
42249#define M_RXREQ_C1 0x3U
42250#define V_RXREQ_C1(x) ((x) << S_RXREQ_C1)
42251#define G_RXREQ_C1(x) (((x) >> S_RXREQ_C1) & M_RXREQ_C1)
42252
42253#define S_RXREQ_C2 0
42254#define M_RXREQ_C2 0x3U
42255#define V_RXREQ_C2(x) ((x) << S_RXREQ_C2)
42256#define G_RXREQ_C2(x) (((x) >> S_RXREQ_C2) & M_RXREQ_C2)
42257
42258#define A_XGMAC_PORT_AE_RX_COEF_STAT 0x1704
42259
42260#define S_RXSTAT_RDY 15
42261#define V_RXSTAT_RDY(x) ((x) << S_RXSTAT_RDY)
42262#define F_RXSTAT_RDY V_RXSTAT_RDY(1U)
42263
42264#define S_RXSTAT_C0 4
42265#define M_RXSTAT_C0 0x3U
42266#define V_RXSTAT_C0(x) ((x) << S_RXSTAT_C0)
42267#define G_RXSTAT_C0(x) (((x) >> S_RXSTAT_C0) & M_RXSTAT_C0)
42268
42269#define S_RXSTAT_C1 2
42270#define M_RXSTAT_C1 0x3U
42271#define V_RXSTAT_C1(x) ((x) << S_RXSTAT_C1)
42272#define G_RXSTAT_C1(x) (((x) >> S_RXSTAT_C1) & M_RXSTAT_C1)
42273
42274#define S_RXSTAT_C2 0
42275#define M_RXSTAT_C2 0x3U
42276#define V_RXSTAT_C2(x) ((x) << S_RXSTAT_C2)
42277#define G_RXSTAT_C2(x) (((x) >> S_RXSTAT_C2) & M_RXSTAT_C2)
42278
42279#define A_XGMAC_PORT_AE_TX_COEF_REQ 0x1708
42280
42281#define S_TXREQ_CPRE 13
42282#define V_TXREQ_CPRE(x) ((x) << S_TXREQ_CPRE)
42283#define F_TXREQ_CPRE V_TXREQ_CPRE(1U)
42284
42285#define S_TXREQ_CINIT 12
42286#define V_TXREQ_CINIT(x) ((x) << S_TXREQ_CINIT)
42287#define F_TXREQ_CINIT V_TXREQ_CINIT(1U)
42288
42289#define S_TXREQ_C0 4
42290#define M_TXREQ_C0 0x3U
42291#define V_TXREQ_C0(x) ((x) << S_TXREQ_C0)
42292#define G_TXREQ_C0(x) (((x) >> S_TXREQ_C0) & M_TXREQ_C0)
42293
42294#define S_TXREQ_C1 2
42295#define M_TXREQ_C1 0x3U
42296#define V_TXREQ_C1(x) ((x) << S_TXREQ_C1)
42297#define G_TXREQ_C1(x) (((x) >> S_TXREQ_C1) & M_TXREQ_C1)
42298
42299#define S_TXREQ_C2 0
42300#define M_TXREQ_C2 0x3U
42301#define V_TXREQ_C2(x) ((x) << S_TXREQ_C2)
42302#define G_TXREQ_C2(x) (((x) >> S_TXREQ_C2) & M_TXREQ_C2)
42303
42304#define A_XGMAC_PORT_AE_TX_COEF_STAT 0x170c
42305
42306#define S_TXSTAT_RDY 15
42307#define V_TXSTAT_RDY(x) ((x) << S_TXSTAT_RDY)
42308#define F_TXSTAT_RDY V_TXSTAT_RDY(1U)
42309
42310#define S_TXSTAT_C0 4
42311#define M_TXSTAT_C0 0x3U
42312#define V_TXSTAT_C0(x) ((x) << S_TXSTAT_C0)
42313#define G_TXSTAT_C0(x) (((x) >> S_TXSTAT_C0) & M_TXSTAT_C0)
42314
42315#define S_TXSTAT_C1 2
42316#define M_TXSTAT_C1 0x3U
42317#define V_TXSTAT_C1(x) ((x) << S_TXSTAT_C1)
42318#define G_TXSTAT_C1(x) (((x) >> S_TXSTAT_C1) & M_TXSTAT_C1)
42319
42320#define S_TXSTAT_C2 0
42321#define M_TXSTAT_C2 0x3U
42322#define V_TXSTAT_C2(x) ((x) << S_TXSTAT_C2)
42323#define G_TXSTAT_C2(x) (((x) >> S_TXSTAT_C2) & M_TXSTAT_C2)
42324
42325#define A_XGMAC_PORT_AE_REG_MODE 0x1710
42326
42327#define S_MAN_DEC 4
42328#define M_MAN_DEC 0x3U
42329#define V_MAN_DEC(x) ((x) << S_MAN_DEC)
42330#define G_MAN_DEC(x) (((x) >> S_MAN_DEC) & M_MAN_DEC)
42331
42332#define S_MANUAL_RDY 3
42333#define V_MANUAL_RDY(x) ((x) << S_MANUAL_RDY)
42334#define F_MANUAL_RDY V_MANUAL_RDY(1U)
42335
42336#define S_MWT_DISABLE 2
42337#define V_MWT_DISABLE(x) ((x) << S_MWT_DISABLE)
42338#define F_MWT_DISABLE V_MWT_DISABLE(1U)
42339
42340#define S_MDIO_OVR 1
42341#define V_MDIO_OVR(x) ((x) << S_MDIO_OVR)
42342#define F_MDIO_OVR V_MDIO_OVR(1U)
42343
42344#define S_STICKY_MODE 0
42345#define V_STICKY_MODE(x) ((x) << S_STICKY_MODE)
42346#define F_STICKY_MODE V_STICKY_MODE(1U)
42347
42348#define A_XGMAC_PORT_AE_PRBS_CTL 0x1714
42349
42350#define S_PRBS_CHK_ERRCNT 8
42351#define M_PRBS_CHK_ERRCNT 0xffU
42352#define V_PRBS_CHK_ERRCNT(x) ((x) << S_PRBS_CHK_ERRCNT)
42353#define G_PRBS_CHK_ERRCNT(x) (((x) >> S_PRBS_CHK_ERRCNT) & M_PRBS_CHK_ERRCNT)
42354
42355#define S_PRBS_SYNCCNT 5
42356#define M_PRBS_SYNCCNT 0x7U
42357#define V_PRBS_SYNCCNT(x) ((x) << S_PRBS_SYNCCNT)
42358#define G_PRBS_SYNCCNT(x) (((x) >> S_PRBS_SYNCCNT) & M_PRBS_SYNCCNT)
42359
42360#define S_PRBS_CHK_SYNC 4
42361#define V_PRBS_CHK_SYNC(x) ((x) << S_PRBS_CHK_SYNC)
42362#define F_PRBS_CHK_SYNC V_PRBS_CHK_SYNC(1U)
42363
42364#define S_PRBS_CHK_RST 3
42365#define V_PRBS_CHK_RST(x) ((x) << S_PRBS_CHK_RST)
42366#define F_PRBS_CHK_RST V_PRBS_CHK_RST(1U)
42367
42368#define S_PRBS_CHK_OFF 2
42369#define V_PRBS_CHK_OFF(x) ((x) << S_PRBS_CHK_OFF)
42370#define F_PRBS_CHK_OFF V_PRBS_CHK_OFF(1U)
42371
42372#define S_PRBS_GEN_FRCERR 1
42373#define V_PRBS_GEN_FRCERR(x) ((x) << S_PRBS_GEN_FRCERR)
42374#define F_PRBS_GEN_FRCERR V_PRBS_GEN_FRCERR(1U)
42375
42376#define S_PRBS_GEN_OFF 0
42377#define V_PRBS_GEN_OFF(x) ((x) << S_PRBS_GEN_OFF)
42378#define F_PRBS_GEN_OFF V_PRBS_GEN_OFF(1U)
42379
42380#define A_XGMAC_PORT_AE_FSM_CTL 0x1718
42381
42382#define S_FSM_TR_LCL 14
42383#define V_FSM_TR_LCL(x) ((x) << S_FSM_TR_LCL)
42384#define F_FSM_TR_LCL V_FSM_TR_LCL(1U)
42385
42386#define S_FSM_GDMRK 11
42387#define M_FSM_GDMRK 0x7U
42388#define V_FSM_GDMRK(x) ((x) << S_FSM_GDMRK)
42389#define G_FSM_GDMRK(x) (((x) >> S_FSM_GDMRK) & M_FSM_GDMRK)
42390
42391#define S_FSM_BADMRK 8
42392#define M_FSM_BADMRK 0x7U
42393#define V_FSM_BADMRK(x) ((x) << S_FSM_BADMRK)
42394#define G_FSM_BADMRK(x) (((x) >> S_FSM_BADMRK) & M_FSM_BADMRK)
42395
42396#define S_FSM_TR_FAIL 7
42397#define V_FSM_TR_FAIL(x) ((x) << S_FSM_TR_FAIL)
42398#define F_FSM_TR_FAIL V_FSM_TR_FAIL(1U)
42399
42400#define S_FSM_TR_ACT 6
42401#define V_FSM_TR_ACT(x) ((x) << S_FSM_TR_ACT)
42402#define F_FSM_TR_ACT V_FSM_TR_ACT(1U)
42403
42404#define S_FSM_FRM_LCK 5
42405#define V_FSM_FRM_LCK(x) ((x) << S_FSM_FRM_LCK)
42406#define F_FSM_FRM_LCK V_FSM_FRM_LCK(1U)
42407
42408#define S_FSM_TR_COMP 4
42409#define V_FSM_TR_COMP(x) ((x) << S_FSM_TR_COMP)
42410#define F_FSM_TR_COMP V_FSM_TR_COMP(1U)
42411
42412#define S_MC_RX_RDY 3
42413#define V_MC_RX_RDY(x) ((x) << S_MC_RX_RDY)
42414#define F_MC_RX_RDY V_MC_RX_RDY(1U)
42415
42416#define S_FSM_CU_DIS 2
42417#define V_FSM_CU_DIS(x) ((x) << S_FSM_CU_DIS)
42418#define F_FSM_CU_DIS V_FSM_CU_DIS(1U)
42419
42420#define S_FSM_TR_RST 1
42421#define V_FSM_TR_RST(x) ((x) << S_FSM_TR_RST)
42422#define F_FSM_TR_RST V_FSM_TR_RST(1U)
42423
42424#define S_FSM_TR_EN 0
42425#define V_FSM_TR_EN(x) ((x) << S_FSM_TR_EN)
42426#define F_FSM_TR_EN V_FSM_TR_EN(1U)
42427
42428#define A_XGMAC_PORT_AE_FSM_STATE 0x171c
42429
42430#define S_CC2FSM_STATE 13
42431#define M_CC2FSM_STATE 0x7U
42432#define V_CC2FSM_STATE(x) ((x) << S_CC2FSM_STATE)
42433#define G_CC2FSM_STATE(x) (((x) >> S_CC2FSM_STATE) & M_CC2FSM_STATE)
42434
42435#define S_CC1FSM_STATE 10
42436#define M_CC1FSM_STATE 0x7U
42437#define V_CC1FSM_STATE(x) ((x) << S_CC1FSM_STATE)
42438#define G_CC1FSM_STATE(x) (((x) >> S_CC1FSM_STATE) & M_CC1FSM_STATE)
42439
42440#define S_CC0FSM_STATE 7
42441#define M_CC0FSM_STATE 0x7U
42442#define V_CC0FSM_STATE(x) ((x) << S_CC0FSM_STATE)
42443#define G_CC0FSM_STATE(x) (((x) >> S_CC0FSM_STATE) & M_CC0FSM_STATE)
42444
42445#define S_FLFSM_STATE 4
42446#define M_FLFSM_STATE 0x7U
42447#define V_FLFSM_STATE(x) ((x) << S_FLFSM_STATE)
42448#define G_FLFSM_STATE(x) (((x) >> S_FLFSM_STATE) & M_FLFSM_STATE)
42449
42450#define S_TFSM_STATE 0
42451#define M_TFSM_STATE 0x7U
42452#define V_TFSM_STATE(x) ((x) << S_TFSM_STATE)
42453#define G_TFSM_STATE(x) (((x) >> S_TFSM_STATE) & M_TFSM_STATE)
42454
42455#define A_XGMAC_PORT_AE_TX_DIS 0x1780
42456
42457#define S_PMD_TX_DIS 0
42458#define V_PMD_TX_DIS(x) ((x) << S_PMD_TX_DIS)
42459#define F_PMD_TX_DIS V_PMD_TX_DIS(1U)
42460
42461#define A_XGMAC_PORT_AE_KR_CTRL 0x1784
42462
42463#define S_TRAINING_ENABLE 1
42464#define V_TRAINING_ENABLE(x) ((x) << S_TRAINING_ENABLE)
42465#define F_TRAINING_ENABLE V_TRAINING_ENABLE(1U)
42466
42467#define S_RESTART_TRAINING 0
42468#define V_RESTART_TRAINING(x) ((x) << S_RESTART_TRAINING)
42469#define F_RESTART_TRAINING V_RESTART_TRAINING(1U)
42470
42471#define A_XGMAC_PORT_AE_RX_SIGDET 0x1788
42472
42473#define S_PMD_SIGDET 0
42474#define V_PMD_SIGDET(x) ((x) << S_PMD_SIGDET)
42475#define F_PMD_SIGDET V_PMD_SIGDET(1U)
42476
42477#define A_XGMAC_PORT_AE_KR_STATUS 0x178c
42478
42479#define S_TRAINING_FAILURE 3
42480#define V_TRAINING_FAILURE(x) ((x) << S_TRAINING_FAILURE)
42481#define F_TRAINING_FAILURE V_TRAINING_FAILURE(1U)
42482
42483#define S_TRAINING 2
42484#define V_TRAINING(x) ((x) << S_TRAINING)
42485#define F_TRAINING V_TRAINING(1U)
42486
42487#define S_FRAME_LOCK 1
42488#define V_FRAME_LOCK(x) ((x) << S_FRAME_LOCK)
42489#define F_FRAME_LOCK V_FRAME_LOCK(1U)
42490
42491#define S_RX_TRAINED 0
42492#define V_RX_TRAINED(x) ((x) << S_RX_TRAINED)
42493#define F_RX_TRAINED V_RX_TRAINED(1U)
42494
42495#define A_XGMAC_PORT_HSS_TXA_MODE_CFG 0x1800
42496
42497#define S_BWSEL 2
42498#define M_BWSEL 0x3U
42499#define V_BWSEL(x) ((x) << S_BWSEL)
42500#define G_BWSEL(x) (((x) >> S_BWSEL) & M_BWSEL)
42501
42502#define S_RTSEL 0
42503#define M_RTSEL 0x3U
42504#define V_RTSEL(x) ((x) << S_RTSEL)
42505#define G_RTSEL(x) (((x) >> S_RTSEL) & M_RTSEL)
42506
42507#define A_XGMAC_PORT_HSS_TXA_TEST_CTRL 0x1804
42508
42509#define S_TWDP 5
42510#define V_TWDP(x) ((x) << S_TWDP)
42511#define F_TWDP V_TWDP(1U)
42512
42513#define S_TPGRST 4
42514#define V_TPGRST(x) ((x) << S_TPGRST)
42515#define F_TPGRST V_TPGRST(1U)
42516
42517#define S_TPGEN 3
42518#define V_TPGEN(x) ((x) << S_TPGEN)
42519#define F_TPGEN V_TPGEN(1U)
42520
42521#define S_TPSEL 0
42522#define M_TPSEL 0x7U
42523#define V_TPSEL(x) ((x) << S_TPSEL)
42524#define G_TPSEL(x) (((x) >> S_TPSEL) & M_TPSEL)
42525
42526#define A_XGMAC_PORT_HSS_TXA_COEFF_CTRL 0x1808
42527
42528#define S_AEINVPOL 6
42529#define V_AEINVPOL(x) ((x) << S_AEINVPOL)
42530#define F_AEINVPOL V_AEINVPOL(1U)
42531
42532#define S_AESOURCE 5
42533#define V_AESOURCE(x) ((x) << S_AESOURCE)
42534#define F_AESOURCE V_AESOURCE(1U)
42535
42536#define S_EQMODE 4
42537#define V_EQMODE(x) ((x) << S_EQMODE)
42538#define F_EQMODE V_EQMODE(1U)
42539
42540#define S_OCOEF 3
42541#define V_OCOEF(x) ((x) << S_OCOEF)
42542#define F_OCOEF V_OCOEF(1U)
42543
42544#define S_COEFRST 2
42545#define V_COEFRST(x) ((x) << S_COEFRST)
42546#define F_COEFRST V_COEFRST(1U)
42547
42548#define S_SPEN 1
42549#define V_SPEN(x) ((x) << S_SPEN)
42550#define F_SPEN V_SPEN(1U)
42551
42552#define S_ALOAD 0
42553#define V_ALOAD(x) ((x) << S_ALOAD)
42554#define F_ALOAD V_ALOAD(1U)
42555
42556#define A_XGMAC_PORT_HSS_TXA_DRIVER_MODE 0x180c
42557
42558#define S_DRVOFFT 5
42559#define V_DRVOFFT(x) ((x) << S_DRVOFFT)
42560#define F_DRVOFFT V_DRVOFFT(1U)
42561
42562#define S_SLEW 2
42563#define M_SLEW 0x7U
42564#define V_SLEW(x) ((x) << S_SLEW)
42565#define G_SLEW(x) (((x) >> S_SLEW) & M_SLEW)
42566
42567#define S_FFE 0
42568#define M_FFE 0x3U
42569#define V_FFE(x) ((x) << S_FFE)
42570#define G_FFE(x) (((x) >> S_FFE) & M_FFE)
42571
42572#define A_XGMAC_PORT_HSS_TXA_DRIVER_OVR_CTRL 0x1810
42573
42574#define S_VLINC 7
42575#define V_VLINC(x) ((x) << S_VLINC)
42576#define F_VLINC V_VLINC(1U)
42577
42578#define S_VLDEC 6
42579#define V_VLDEC(x) ((x) << S_VLDEC)
42580#define F_VLDEC V_VLDEC(1U)
42581
42582#define S_LOPWR 5
42583#define V_LOPWR(x) ((x) << S_LOPWR)
42584#define F_LOPWR V_LOPWR(1U)
42585
42586#define S_TDMEN 4
42587#define V_TDMEN(x) ((x) << S_TDMEN)
42588#define F_TDMEN V_TDMEN(1U)
42589
42590#define S_DCCEN 3
42591#define V_DCCEN(x) ((x) << S_DCCEN)
42592#define F_DCCEN V_DCCEN(1U)
42593
42594#define S_VHSEL 2
42595#define V_VHSEL(x) ((x) << S_VHSEL)
42596#define F_VHSEL V_VHSEL(1U)
42597
42598#define S_IDAC 0
42599#define M_IDAC 0x3U
42600#define V_IDAC(x) ((x) << S_IDAC)
42601#define G_IDAC(x) (((x) >> S_IDAC) & M_IDAC)
42602
42603#define A_XGMAC_PORT_HSS_TXA_TDM_BIASGEN_STANDBY_TIMER 0x1814
42604
42605#define S_STBY 0
42606#define M_STBY 0xffffU
42607#define V_STBY(x) ((x) << S_STBY)
42608#define G_STBY(x) (((x) >> S_STBY) & M_STBY)
42609
42610#define A_XGMAC_PORT_HSS_TXA_TDM_BIASGEN_PWRON_TIMER 0x1818
42611
42612#define S_PON 0
42613#define M_PON 0xffffU
42614#define V_PON(x) ((x) << S_PON)
42615#define G_PON(x) (((x) >> S_PON) & M_PON)
42616
42617#define A_XGMAC_PORT_HSS_TXA_TAP0_COEFF 0x1820
42618
42619#define S_NXTT0 0
42620#define M_NXTT0 0xfU
42621#define V_NXTT0(x) ((x) << S_NXTT0)
42622#define G_NXTT0(x) (((x) >> S_NXTT0) & M_NXTT0)
42623
42624#define A_XGMAC_PORT_HSS_TXA_TAP1_COEFF 0x1824
42625
42626#define S_NXTT1 0
42627#define M_NXTT1 0x3fU
42628#define V_NXTT1(x) ((x) << S_NXTT1)
42629#define G_NXTT1(x) (((x) >> S_NXTT1) & M_NXTT1)
42630
42631#define A_XGMAC_PORT_HSS_TXA_TAP2_COEFF 0x1828
42632
42633#define S_NXTT2 0
42634#define M_NXTT2 0x1fU
42635#define V_NXTT2(x) ((x) << S_NXTT2)
42636#define G_NXTT2(x) (((x) >> S_NXTT2) & M_NXTT2)
42637
42638#define A_XGMAC_PORT_HSS_TXA_PWR 0x1830
42639
42640#define S_TXPWR 0
42641#define M_TXPWR 0x7fU
42642#define V_TXPWR(x) ((x) << S_TXPWR)
42643#define G_TXPWR(x) (((x) >> S_TXPWR) & M_TXPWR)
42644
42645#define A_XGMAC_PORT_HSS_TXA_POLARITY 0x1834
42646
42647#define S_TXPOL 4
42648#define M_TXPOL 0x7U
42649#define V_TXPOL(x) ((x) << S_TXPOL)
42650#define G_TXPOL(x) (((x) >> S_TXPOL) & M_TXPOL)
42651
42652#define S_NTXPOL 0
42653#define M_NTXPOL 0x7U
42654#define V_NTXPOL(x) ((x) << S_NTXPOL)
42655#define G_NTXPOL(x) (((x) >> S_NTXPOL) & M_NTXPOL)
42656
42657#define A_XGMAC_PORT_HSS_TXA_8023AP_AE_CMD 0x1838
42658
42659#define S_CXPRESET 13
42660#define V_CXPRESET(x) ((x) << S_CXPRESET)
42661#define F_CXPRESET V_CXPRESET(1U)
42662
42663#define S_CXINIT 12
42664#define V_CXINIT(x) ((x) << S_CXINIT)
42665#define F_CXINIT V_CXINIT(1U)
42666
42667#define S_C2UPDT 4
42668#define M_C2UPDT 0x3U
42669#define V_C2UPDT(x) ((x) << S_C2UPDT)
42670#define G_C2UPDT(x) (((x) >> S_C2UPDT) & M_C2UPDT)
42671
42672#define S_C1UPDT 2
42673#define M_C1UPDT 0x3U
42674#define V_C1UPDT(x) ((x) << S_C1UPDT)
42675#define G_C1UPDT(x) (((x) >> S_C1UPDT) & M_C1UPDT)
42676
42677#define S_C0UPDT 0
42678#define M_C0UPDT 0x3U
42679#define V_C0UPDT(x) ((x) << S_C0UPDT)
42680#define G_C0UPDT(x) (((x) >> S_C0UPDT) & M_C0UPDT)
42681
42682#define A_XGMAC_PORT_HSS_TXA_8023AP_AE_STATUS 0x183c
42683
42684#define S_C2STAT 4
42685#define M_C2STAT 0x3U
42686#define V_C2STAT(x) ((x) << S_C2STAT)
42687#define G_C2STAT(x) (((x) >> S_C2STAT) & M_C2STAT)
42688
42689#define S_C1STAT 2
42690#define M_C1STAT 0x3U
42691#define V_C1STAT(x) ((x) << S_C1STAT)
42692#define G_C1STAT(x) (((x) >> S_C1STAT) & M_C1STAT)
42693
42694#define S_C0STAT 0
42695#define M_C0STAT 0x3U
42696#define V_C0STAT(x) ((x) << S_C0STAT)
42697#define G_C0STAT(x) (((x) >> S_C0STAT) & M_C0STAT)
42698
42699#define A_XGMAC_PORT_HSS_TXA_TAP0_IDAC_OVR 0x1840
42700
42701#define S_NIDAC0 0
42702#define M_NIDAC0 0x1fU
42703#define V_NIDAC0(x) ((x) << S_NIDAC0)
42704#define G_NIDAC0(x) (((x) >> S_NIDAC0) & M_NIDAC0)
42705
42706#define A_XGMAC_PORT_HSS_TXA_TAP1_IDAC_OVR 0x1844
42707
42708#define S_NIDAC1 0
42709#define M_NIDAC1 0x7fU
42710#define V_NIDAC1(x) ((x) << S_NIDAC1)
42711#define G_NIDAC1(x) (((x) >> S_NIDAC1) & M_NIDAC1)
42712
42713#define A_XGMAC_PORT_HSS_TXA_TAP2_IDAC_OVR 0x1848
42714
42715#define S_NIDAC2 0
42716#define M_NIDAC2 0x3fU
42717#define V_NIDAC2(x) ((x) << S_NIDAC2)
42718#define G_NIDAC2(x) (((x) >> S_NIDAC2) & M_NIDAC2)
42719
42720#define A_XGMAC_PORT_HSS_TXA_PWR_DAC_OVR 0x1850
42721
42722#define S_OPEN 7
42723#define V_OPEN(x) ((x) << S_OPEN)
42724#define F_OPEN V_OPEN(1U)
42725
42726#define S_OPVAL 0
42727#define M_OPVAL 0x1fU
42728#define V_OPVAL(x) ((x) << S_OPVAL)
42729#define G_OPVAL(x) (((x) >> S_OPVAL) & M_OPVAL)
42730
42731#define A_XGMAC_PORT_HSS_TXA_PWR_DAC 0x1854
42732
42733#define S_PDAC 0
42734#define M_PDAC 0x1fU
42735#define V_PDAC(x) ((x) << S_PDAC)
42736#define G_PDAC(x) (((x) >> S_PDAC) & M_PDAC)
42737
42738#define A_XGMAC_PORT_HSS_TXA_TAP0_IDAC_APP 0x1860
42739
42740#define S_AIDAC0 0
42741#define M_AIDAC0 0x1fU
42742#define V_AIDAC0(x) ((x) << S_AIDAC0)
42743#define G_AIDAC0(x) (((x) >> S_AIDAC0) & M_AIDAC0)
42744
42745#define A_XGMAC_PORT_HSS_TXA_TAP1_IDAC_APP 0x1864
42746
42747#define S_AIDAC1 0
42748#define M_AIDAC1 0x1fU
42749#define V_AIDAC1(x) ((x) << S_AIDAC1)
42750#define G_AIDAC1(x) (((x) >> S_AIDAC1) & M_AIDAC1)
42751
42752#define A_XGMAC_PORT_HSS_TXA_TAP2_IDAC_APP 0x1868
42753
42754#define S_TXA_AIDAC2 0
42755#define M_TXA_AIDAC2 0x1fU
42756#define V_TXA_AIDAC2(x) ((x) << S_TXA_AIDAC2)
42757#define G_TXA_AIDAC2(x) (((x) >> S_TXA_AIDAC2) & M_TXA_AIDAC2)
42758
42759#define A_XGMAC_PORT_HSS_TXA_SEG_DIS_APP 0x1870
42760
42761#define S_CURSD 0
42762#define M_CURSD 0x7fU
42763#define V_CURSD(x) ((x) << S_CURSD)
42764#define G_CURSD(x) (((x) >> S_CURSD) & M_CURSD)
42765
42766#define A_XGMAC_PORT_HSS_TXA_EXT_ADDR_DATA 0x1878
42767
42768#define S_XDATA 0
42769#define M_XDATA 0xffffU
42770#define V_XDATA(x) ((x) << S_XDATA)
42771#define G_XDATA(x) (((x) >> S_XDATA) & M_XDATA)
42772
42773#define A_XGMAC_PORT_HSS_TXA_EXT_ADDR 0x187c
42774
42775#define S_EXTADDR 1
42776#define M_EXTADDR 0x1fU
42777#define V_EXTADDR(x) ((x) << S_EXTADDR)
42778#define G_EXTADDR(x) (((x) >> S_EXTADDR) & M_EXTADDR)
42779
42780#define S_XWR 0
42781#define V_XWR(x) ((x) << S_XWR)
42782#define F_XWR V_XWR(1U)
42783
42784#define A_XGMAC_PORT_HSS_TXB_MODE_CFG 0x1880
42785#define A_XGMAC_PORT_HSS_TXB_TEST_CTRL 0x1884
42786#define A_XGMAC_PORT_HSS_TXB_COEFF_CTRL 0x1888
42787#define A_XGMAC_PORT_HSS_TXB_DRIVER_MODE 0x188c
42788#define A_XGMAC_PORT_HSS_TXB_DRIVER_OVR_CTRL 0x1890
42789#define A_XGMAC_PORT_HSS_TXB_TDM_BIASGEN_STANDBY_TIMER 0x1894
42790#define A_XGMAC_PORT_HSS_TXB_TDM_BIASGEN_PWRON_TIMER 0x1898
42791#define A_XGMAC_PORT_HSS_TXB_TAP0_COEFF 0x18a0
42792#define A_XGMAC_PORT_HSS_TXB_TAP1_COEFF 0x18a4
42793#define A_XGMAC_PORT_HSS_TXB_TAP2_COEFF 0x18a8
42794#define A_XGMAC_PORT_HSS_TXB_PWR 0x18b0
42795#define A_XGMAC_PORT_HSS_TXB_POLARITY 0x18b4
42796#define A_XGMAC_PORT_HSS_TXB_8023AP_AE_CMD 0x18b8
42797#define A_XGMAC_PORT_HSS_TXB_8023AP_AE_STATUS 0x18bc
42798#define A_XGMAC_PORT_HSS_TXB_TAP0_IDAC_OVR 0x18c0
42799#define A_XGMAC_PORT_HSS_TXB_TAP1_IDAC_OVR 0x18c4
42800#define A_XGMAC_PORT_HSS_TXB_TAP2_IDAC_OVR 0x18c8
42801#define A_XGMAC_PORT_HSS_TXB_PWR_DAC_OVR 0x18d0
42802#define A_XGMAC_PORT_HSS_TXB_PWR_DAC 0x18d4
42803#define A_XGMAC_PORT_HSS_TXB_TAP0_IDAC_APP 0x18e0
42804#define A_XGMAC_PORT_HSS_TXB_TAP1_IDAC_APP 0x18e4
42805#define A_XGMAC_PORT_HSS_TXB_TAP2_IDAC_APP 0x18e8
42806
42807#define S_AIDAC2 0
42808#define M_AIDAC2 0x3fU
42809#define V_AIDAC2(x) ((x) << S_AIDAC2)
42810#define G_AIDAC2(x) (((x) >> S_AIDAC2) & M_AIDAC2)
42811
42812#define A_XGMAC_PORT_HSS_TXB_SEG_DIS_APP 0x18f0
42813#define A_XGMAC_PORT_HSS_TXB_EXT_ADDR_DATA 0x18f8
42814#define A_XGMAC_PORT_HSS_TXB_EXT_ADDR 0x18fc
42815
42816#define S_XADDR 2
42817#define M_XADDR 0xfU
42818#define V_XADDR(x) ((x) << S_XADDR)
42819#define G_XADDR(x) (((x) >> S_XADDR) & M_XADDR)
42820
42821#define A_XGMAC_PORT_HSS_RXA_CFG_MODE 0x1900
42822
42823#define S_BW810 8
42824#define V_BW810(x) ((x) << S_BW810)
42825#define F_BW810 V_BW810(1U)
42826
42827#define S_AUXCLK 7
42828#define V_AUXCLK(x) ((x) << S_AUXCLK)
42829#define F_AUXCLK V_AUXCLK(1U)
42830
42831#define S_DMSEL 4
42832#define M_DMSEL 0x7U
42833#define V_DMSEL(x) ((x) << S_DMSEL)
42834#define G_DMSEL(x) (((x) >> S_DMSEL) & M_DMSEL)
42835
42836#define A_XGMAC_PORT_HSS_RXA_TEST_CTRL 0x1904
42837
42838#define S_RCLKEN 15
42839#define V_RCLKEN(x) ((x) << S_RCLKEN)
42840#define F_RCLKEN V_RCLKEN(1U)
42841
42842#define S_RRATE 13
42843#define M_RRATE 0x3U
42844#define V_RRATE(x) ((x) << S_RRATE)
42845#define G_RRATE(x) (((x) >> S_RRATE) & M_RRATE)
42846
42847#define S_LBFRCERROR 10
42848#define V_LBFRCERROR(x) ((x) << S_LBFRCERROR)
42849#define F_LBFRCERROR V_LBFRCERROR(1U)
42850
42851#define S_LBERROR 9
42852#define V_LBERROR(x) ((x) << S_LBERROR)
42853#define F_LBERROR V_LBERROR(1U)
42854
42855#define S_LBSYNC 8
42856#define V_LBSYNC(x) ((x) << S_LBSYNC)
42857#define F_LBSYNC V_LBSYNC(1U)
42858
42859#define S_FDWRAPCLK 7
42860#define V_FDWRAPCLK(x) ((x) << S_FDWRAPCLK)
42861#define F_FDWRAPCLK V_FDWRAPCLK(1U)
42862
42863#define S_FDWRAP 6
42864#define V_FDWRAP(x) ((x) << S_FDWRAP)
42865#define F_FDWRAP V_FDWRAP(1U)
42866
42867#define S_PRST 4
42868#define V_PRST(x) ((x) << S_PRST)
42869#define F_PRST V_PRST(1U)
42870
42871#define S_PCHKEN 3
42872#define V_PCHKEN(x) ((x) << S_PCHKEN)
42873#define F_PCHKEN V_PCHKEN(1U)
42874
42875#define S_PRBSSEL 0
42876#define M_PRBSSEL 0x7U
42877#define V_PRBSSEL(x) ((x) << S_PRBSSEL)
42878#define G_PRBSSEL(x) (((x) >> S_PRBSSEL) & M_PRBSSEL)
42879
42880#define A_XGMAC_PORT_HSS_RXA_PH_ROTATOR_CTRL 0x1908
42881
42882#define S_FTHROT 12
42883#define M_FTHROT 0xfU
42884#define V_FTHROT(x) ((x) << S_FTHROT)
42885#define G_FTHROT(x) (((x) >> S_FTHROT) & M_FTHROT)
42886
42887#define S_RTHROT 11
42888#define V_RTHROT(x) ((x) << S_RTHROT)
42889#define F_RTHROT V_RTHROT(1U)
42890
42891#define S_FILTCTL 7
42892#define M_FILTCTL 0xfU
42893#define V_FILTCTL(x) ((x) << S_FILTCTL)
42894#define G_FILTCTL(x) (((x) >> S_FILTCTL) & M_FILTCTL)
42895
42896#define S_RSRVO 5
42897#define M_RSRVO 0x3U
42898#define V_RSRVO(x) ((x) << S_RSRVO)
42899#define G_RSRVO(x) (((x) >> S_RSRVO) & M_RSRVO)
42900
42901#define S_EXTEL 4
42902#define V_EXTEL(x) ((x) << S_EXTEL)
42903#define F_EXTEL V_EXTEL(1U)
42904
42905#define S_RSTONSTUCK 3
42906#define V_RSTONSTUCK(x) ((x) << S_RSTONSTUCK)
42907#define F_RSTONSTUCK V_RSTONSTUCK(1U)
42908
42909#define S_FREEZEFW 2
42910#define V_FREEZEFW(x) ((x) << S_FREEZEFW)
42911#define F_FREEZEFW V_FREEZEFW(1U)
42912
42913#define S_RESETFW 1
42914#define V_RESETFW(x) ((x) << S_RESETFW)
42915#define F_RESETFW V_RESETFW(1U)
42916
42917#define S_SSCENABLE 0
42918#define V_SSCENABLE(x) ((x) << S_SSCENABLE)
42919#define F_SSCENABLE V_SSCENABLE(1U)
42920
42921#define A_XGMAC_PORT_HSS_RXA_PH_ROTATOR_OFFSET_CTRL 0x190c
42922
42923#define S_RSNP 11
42924#define V_RSNP(x) ((x) << S_RSNP)
42925#define F_RSNP V_RSNP(1U)
42926
42927#define S_TSOEN 10
42928#define V_TSOEN(x) ((x) << S_TSOEN)
42929#define F_TSOEN V_TSOEN(1U)
42930
42931#define S_OFFEN 9
42932#define V_OFFEN(x) ((x) << S_OFFEN)
42933#define F_OFFEN V_OFFEN(1U)
42934
42935#define S_TMSCAL 7
42936#define M_TMSCAL 0x3U
42937#define V_TMSCAL(x) ((x) << S_TMSCAL)
42938#define G_TMSCAL(x) (((x) >> S_TMSCAL) & M_TMSCAL)
42939
42940#define S_APADJ 6
42941#define V_APADJ(x) ((x) << S_APADJ)
42942#define F_APADJ V_APADJ(1U)
42943
42944#define S_RSEL 5
42945#define V_RSEL(x) ((x) << S_RSEL)
42946#define F_RSEL V_RSEL(1U)
42947
42948#define S_PHOFFS 0
42949#define M_PHOFFS 0x1fU
42950#define V_PHOFFS(x) ((x) << S_PHOFFS)
42951#define G_PHOFFS(x) (((x) >> S_PHOFFS) & M_PHOFFS)
42952
42953#define A_XGMAC_PORT_HSS_RXA_PH_ROTATOR_POSITION1 0x1910
42954
42955#define S_ROT0A 8
42956#define M_ROT0A 0x3fU
42957#define V_ROT0A(x) ((x) << S_ROT0A)
42958#define G_ROT0A(x) (((x) >> S_ROT0A) & M_ROT0A)
42959
42960#define S_RTSEL_SNAPSHOT 0
42961#define M_RTSEL_SNAPSHOT 0x3fU
42962#define V_RTSEL_SNAPSHOT(x) ((x) << S_RTSEL_SNAPSHOT)
42963#define G_RTSEL_SNAPSHOT(x) (((x) >> S_RTSEL_SNAPSHOT) & M_RTSEL_SNAPSHOT)
42964
42965#define A_XGMAC_PORT_HSS_RXA_PH_ROTATOR_POSITION2 0x1914
42966
42967#define S_ROT90 0
42968#define M_ROT90 0x3fU
42969#define V_ROT90(x) ((x) << S_ROT90)
42970#define G_ROT90(x) (((x) >> S_ROT90) & M_ROT90)
42971
42972#define A_XGMAC_PORT_HSS_RXA_PH_ROTATOR_STATIC_PH_OFFSET 0x1918
42973
42974#define S_RCALER 15
42975#define V_RCALER(x) ((x) << S_RCALER)
42976#define F_RCALER V_RCALER(1U)
42977
42978#define S_RAOOFF 10
42979#define M_RAOOFF 0x1fU
42980#define V_RAOOFF(x) ((x) << S_RAOOFF)
42981#define G_RAOOFF(x) (((x) >> S_RAOOFF) & M_RAOOFF)
42982
42983#define S_RAEOFF 5
42984#define M_RAEOFF 0x1fU
42985#define V_RAEOFF(x) ((x) << S_RAEOFF)
42986#define G_RAEOFF(x) (((x) >> S_RAEOFF) & M_RAEOFF)
42987
42988#define S_RDOFF 0
42989#define M_RDOFF 0x1fU
42990#define V_RDOFF(x) ((x) << S_RDOFF)
42991#define G_RDOFF(x) (((x) >> S_RDOFF) & M_RDOFF)
42992
42993#define A_XGMAC_PORT_HSS_RXA_SIGDET_CTRL 0x191c
42994
42995#define S_SIGNSD 13
42996#define M_SIGNSD 0x3U
42997#define V_SIGNSD(x) ((x) << S_SIGNSD)
42998#define G_SIGNSD(x) (((x) >> S_SIGNSD) & M_SIGNSD)
42999
43000#define S_DACSD 8
43001#define M_DACSD 0x1fU
43002#define V_DACSD(x) ((x) << S_DACSD)
43003#define G_DACSD(x) (((x) >> S_DACSD) & M_DACSD)
43004
43005#define S_SDPDN 6
43006#define V_SDPDN(x) ((x) << S_SDPDN)
43007#define F_SDPDN V_SDPDN(1U)
43008
43009#define S_SIGDET 5
43010#define V_SIGDET(x) ((x) << S_SIGDET)
43011#define F_SIGDET V_SIGDET(1U)
43012
43013#define S_SDLVL 0
43014#define M_SDLVL 0x1fU
43015#define V_SDLVL(x) ((x) << S_SDLVL)
43016#define G_SDLVL(x) (((x) >> S_SDLVL) & M_SDLVL)
43017
43018#define A_XGMAC_PORT_HSS_RXA_DFE_CTRL 0x1920
43019
43020#define S_REQCMP 15
43021#define V_REQCMP(x) ((x) << S_REQCMP)
43022#define F_REQCMP V_REQCMP(1U)
43023
43024#define S_DFEREQ 14
43025#define V_DFEREQ(x) ((x) << S_DFEREQ)
43026#define F_DFEREQ V_DFEREQ(1U)
43027
43028#define S_SPCEN 13
43029#define V_SPCEN(x) ((x) << S_SPCEN)
43030#define F_SPCEN V_SPCEN(1U)
43031
43032#define S_GATEEN 12
43033#define V_GATEEN(x) ((x) << S_GATEEN)
43034#define F_GATEEN V_GATEEN(1U)
43035
43036#define S_SPIFMT 9
43037#define M_SPIFMT 0x7U
43038#define V_SPIFMT(x) ((x) << S_SPIFMT)
43039#define G_SPIFMT(x) (((x) >> S_SPIFMT) & M_SPIFMT)
43040
43041#define S_DFEPWR 6
43042#define M_DFEPWR 0x7U
43043#define V_DFEPWR(x) ((x) << S_DFEPWR)
43044#define G_DFEPWR(x) (((x) >> S_DFEPWR) & M_DFEPWR)
43045
43046#define S_STNDBY 5
43047#define V_STNDBY(x) ((x) << S_STNDBY)
43048#define F_STNDBY V_STNDBY(1U)
43049
43050#define S_FRCH 4
43051#define V_FRCH(x) ((x) << S_FRCH)
43052#define F_FRCH V_FRCH(1U)
43053
43054#define S_NONRND 3
43055#define V_NONRND(x) ((x) << S_NONRND)
43056#define F_NONRND V_NONRND(1U)
43057
43058#define S_NONRNF 2
43059#define V_NONRNF(x) ((x) << S_NONRNF)
43060#define F_NONRNF V_NONRNF(1U)
43061
43062#define S_FSTLCK 1
43063#define V_FSTLCK(x) ((x) << S_FSTLCK)
43064#define F_FSTLCK V_FSTLCK(1U)
43065
43066#define S_DFERST 0
43067#define V_DFERST(x) ((x) << S_DFERST)
43068#define F_DFERST V_DFERST(1U)
43069
43070#define A_XGMAC_PORT_HSS_RXA_DFE_DATA_EDGE_SAMPLE 0x1924
43071
43072#define S_ESAMP 8
43073#define M_ESAMP 0xffU
43074#define V_ESAMP(x) ((x) << S_ESAMP)
43075#define G_ESAMP(x) (((x) >> S_ESAMP) & M_ESAMP)
43076
43077#define S_DSAMP 0
43078#define M_DSAMP 0xffU
43079#define V_DSAMP(x) ((x) << S_DSAMP)
43080#define G_DSAMP(x) (((x) >> S_DSAMP) & M_DSAMP)
43081
43082#define A_XGMAC_PORT_HSS_RXA_DFE_AMP_SAMPLE 0x1928
43083
43084#define S_SMODE 8
43085#define M_SMODE 0xfU
43086#define V_SMODE(x) ((x) << S_SMODE)
43087#define G_SMODE(x) (((x) >> S_SMODE) & M_SMODE)
43088
43089#define S_ADCORR 7
43090#define V_ADCORR(x) ((x) << S_ADCORR)
43091#define F_ADCORR V_ADCORR(1U)
43092
43093#define S_TRAINEN 6
43094#define V_TRAINEN(x) ((x) << S_TRAINEN)
43095#define F_TRAINEN V_TRAINEN(1U)
43096
43097#define S_ASAMPQ 3
43098#define M_ASAMPQ 0x7U
43099#define V_ASAMPQ(x) ((x) << S_ASAMPQ)
43100#define G_ASAMPQ(x) (((x) >> S_ASAMPQ) & M_ASAMPQ)
43101
43102#define S_ASAMP 0
43103#define M_ASAMP 0x7U
43104#define V_ASAMP(x) ((x) << S_ASAMP)
43105#define G_ASAMP(x) (((x) >> S_ASAMP) & M_ASAMP)
43106
43107#define A_XGMAC_PORT_HSS_RXA_VGA_CTRL1 0x192c
43108
43109#define S_POLE 12
43110#define M_POLE 0x3U
43111#define V_POLE(x) ((x) << S_POLE)
43112#define G_POLE(x) (((x) >> S_POLE) & M_POLE)
43113
43114#define S_PEAK 8
43115#define M_PEAK 0x7U
43116#define V_PEAK(x) ((x) << S_PEAK)
43117#define G_PEAK(x) (((x) >> S_PEAK) & M_PEAK)
43118
43119#define S_VOFFSN 6
43120#define M_VOFFSN 0x3U
43121#define V_VOFFSN(x) ((x) << S_VOFFSN)
43122#define G_VOFFSN(x) (((x) >> S_VOFFSN) & M_VOFFSN)
43123
43124#define S_VOFFA 0
43125#define M_VOFFA 0x3fU
43126#define V_VOFFA(x) ((x) << S_VOFFA)
43127#define G_VOFFA(x) (((x) >> S_VOFFA) & M_VOFFA)
43128
43129#define A_XGMAC_PORT_HSS_RXA_VGA_CTRL2 0x1930
43130
43131#define S_SHORTV 10
43132#define V_SHORTV(x) ((x) << S_SHORTV)
43133#define F_SHORTV V_SHORTV(1U)
43134
43135#define S_VGAIN 0
43136#define M_VGAIN 0xfU
43137#define V_VGAIN(x) ((x) << S_VGAIN)
43138#define G_VGAIN(x) (((x) >> S_VGAIN) & M_VGAIN)
43139
43140#define A_XGMAC_PORT_HSS_RXA_VGA_CTRL3 0x1934
43141
43142#define S_HBND1 10
43143#define V_HBND1(x) ((x) << S_HBND1)
43144#define F_HBND1 V_HBND1(1U)
43145
43146#define S_HBND0 9
43147#define V_HBND0(x) ((x) << S_HBND0)
43148#define F_HBND0 V_HBND0(1U)
43149
43150#define S_VLCKD 8
43151#define V_VLCKD(x) ((x) << S_VLCKD)
43152#define F_VLCKD V_VLCKD(1U)
43153
43154#define S_VLCKDF 7
43155#define V_VLCKDF(x) ((x) << S_VLCKDF)
43156#define F_VLCKDF V_VLCKDF(1U)
43157
43158#define S_AMAXT 0
43159#define M_AMAXT 0x7fU
43160#define V_AMAXT(x) ((x) << S_AMAXT)
43161#define G_AMAXT(x) (((x) >> S_AMAXT) & M_AMAXT)
43162
43163#define A_XGMAC_PORT_HSS_RXA_DFE_D00_D01_OFFSET 0x1938
43164
43165#define S_D01SN 13
43166#define M_D01SN 0x3U
43167#define V_D01SN(x) ((x) << S_D01SN)
43168#define G_D01SN(x) (((x) >> S_D01SN) & M_D01SN)
43169
43170#define S_D01AMP 8
43171#define M_D01AMP 0x1fU
43172#define V_D01AMP(x) ((x) << S_D01AMP)
43173#define G_D01AMP(x) (((x) >> S_D01AMP) & M_D01AMP)
43174
43175#define S_D00SN 5
43176#define M_D00SN 0x3U
43177#define V_D00SN(x) ((x) << S_D00SN)
43178#define G_D00SN(x) (((x) >> S_D00SN) & M_D00SN)
43179
43180#define S_D00AMP 0
43181#define M_D00AMP 0x1fU
43182#define V_D00AMP(x) ((x) << S_D00AMP)
43183#define G_D00AMP(x) (((x) >> S_D00AMP) & M_D00AMP)
43184
43185#define A_XGMAC_PORT_HSS_RXA_DFE_D10_D11_OFFSET 0x193c
43186
43187#define S_D11SN 13
43188#define M_D11SN 0x3U
43189#define V_D11SN(x) ((x) << S_D11SN)
43190#define G_D11SN(x) (((x) >> S_D11SN) & M_D11SN)
43191
43192#define S_D11AMP 8
43193#define M_D11AMP 0x1fU
43194#define V_D11AMP(x) ((x) << S_D11AMP)
43195#define G_D11AMP(x) (((x) >> S_D11AMP) & M_D11AMP)
43196
43197#define S_D10SN 5
43198#define M_D10SN 0x3U
43199#define V_D10SN(x) ((x) << S_D10SN)
43200#define G_D10SN(x) (((x) >> S_D10SN) & M_D10SN)
43201
43202#define S_D10AMP 0
43203#define M_D10AMP 0x1fU
43204#define V_D10AMP(x) ((x) << S_D10AMP)
43205#define G_D10AMP(x) (((x) >> S_D10AMP) & M_D10AMP)
43206
43207#define A_XGMAC_PORT_HSS_RXA_DFE_E0_E1_OFFSET 0x1940
43208
43209#define S_E1SN 13
43210#define M_E1SN 0x3U
43211#define V_E1SN(x) ((x) << S_E1SN)
43212#define G_E1SN(x) (((x) >> S_E1SN) & M_E1SN)
43213
43214#define S_E1AMP 8
43215#define M_E1AMP 0x1fU
43216#define V_E1AMP(x) ((x) << S_E1AMP)
43217#define G_E1AMP(x) (((x) >> S_E1AMP) & M_E1AMP)
43218
43219#define S_E0SN 5
43220#define M_E0SN 0x3U
43221#define V_E0SN(x) ((x) << S_E0SN)
43222#define G_E0SN(x) (((x) >> S_E0SN) & M_E0SN)
43223
43224#define S_E0AMP 0
43225#define M_E0AMP 0x1fU
43226#define V_E0AMP(x) ((x) << S_E0AMP)
43227#define G_E0AMP(x) (((x) >> S_E0AMP) & M_E0AMP)
43228
43229#define A_XGMAC_PORT_HSS_RXA_DACA_OFFSET 0x1944
43230
43231#define S_AOFFO 8
43232#define M_AOFFO 0x3fU
43233#define V_AOFFO(x) ((x) << S_AOFFO)
43234#define G_AOFFO(x) (((x) >> S_AOFFO) & M_AOFFO)
43235
43236#define S_AOFFE 0
43237#define M_AOFFE 0x3fU
43238#define V_AOFFE(x) ((x) << S_AOFFE)
43239#define G_AOFFE(x) (((x) >> S_AOFFE) & M_AOFFE)
43240
43241#define A_XGMAC_PORT_HSS_RXA_DACAP_DAC_AN_OFFSET 0x1948
43242
43243#define S_DACAN 8
43244#define M_DACAN 0xffU
43245#define V_DACAN(x) ((x) << S_DACAN)
43246#define G_DACAN(x) (((x) >> S_DACAN) & M_DACAN)
43247
43248#define S_DACAP 0
43249#define M_DACAP 0xffU
43250#define V_DACAP(x) ((x) << S_DACAP)
43251#define G_DACAP(x) (((x) >> S_DACAP) & M_DACAP)
43252
43253#define A_XGMAC_PORT_HSS_RXA_DACA_MIN 0x194c
43254
43255#define S_DACAZ 8
43256#define M_DACAZ 0xffU
43257#define V_DACAZ(x) ((x) << S_DACAZ)
43258#define G_DACAZ(x) (((x) >> S_DACAZ) & M_DACAZ)
43259
43260#define S_DACAM 0
43261#define M_DACAM 0xffU
43262#define V_DACAM(x) ((x) << S_DACAM)
43263#define G_DACAM(x) (((x) >> S_DACAM) & M_DACAM)
43264
43265#define A_XGMAC_PORT_HSS_RXA_ADAC_CTRL 0x1950
43266
43267#define S_ADSN 7
43268#define M_ADSN 0x3U
43269#define V_ADSN(x) ((x) << S_ADSN)
43270#define G_ADSN(x) (((x) >> S_ADSN) & M_ADSN)
43271
43272#define S_ADMAG 0
43273#define M_ADMAG 0x7fU
43274#define V_ADMAG(x) ((x) << S_ADMAG)
43275#define G_ADMAG(x) (((x) >> S_ADMAG) & M_ADMAG)
43276
43277#define A_XGMAC_PORT_HSS_RXA_DIGITAL_EYE_CTRL 0x1954
43278
43279#define S_BLKAZ 15
43280#define V_BLKAZ(x) ((x) << S_BLKAZ)
43281#define F_BLKAZ V_BLKAZ(1U)
43282
43283#define S_WIDTH 10
43284#define M_WIDTH 0x1fU
43285#define V_WIDTH(x) ((x) << S_WIDTH)
43286#define G_WIDTH(x) (((x) >> S_WIDTH) & M_WIDTH)
43287
43288#define S_MINWIDTH 5
43289#define M_MINWIDTH 0x1fU
43290#define V_MINWIDTH(x) ((x) << S_MINWIDTH)
43291#define G_MINWIDTH(x) (((x) >> S_MINWIDTH) & M_MINWIDTH)
43292
43293#define S_MINAMP 0
43294#define M_MINAMP 0x1fU
43295#define V_MINAMP(x) ((x) << S_MINAMP)
43296#define G_MINAMP(x) (((x) >> S_MINAMP) & M_MINAMP)
43297
43298#define A_XGMAC_PORT_HSS_RXA_DIGITAL_EYE_METRICS 0x1958
43299
43300#define S_EMBRDY 10
43301#define V_EMBRDY(x) ((x) << S_EMBRDY)
43302#define F_EMBRDY V_EMBRDY(1U)
43303
43304#define S_EMBUMP 7
43305#define V_EMBUMP(x) ((x) << S_EMBUMP)
43306#define F_EMBUMP V_EMBUMP(1U)
43307
43308#define S_EMMD 5
43309#define M_EMMD 0x3U
43310#define V_EMMD(x) ((x) << S_EMMD)
43311#define G_EMMD(x) (((x) >> S_EMMD) & M_EMMD)
43312
43313#define S_EMPAT 1
43314#define V_EMPAT(x) ((x) << S_EMPAT)
43315#define F_EMPAT V_EMPAT(1U)
43316
43317#define S_EMEN 0
43318#define V_EMEN(x) ((x) << S_EMEN)
43319#define F_EMEN V_EMEN(1U)
43320
43321#define A_XGMAC_PORT_HSS_RXA_DFE_H1 0x195c
43322
43323#define S_H1OSN 14
43324#define M_H1OSN 0x3U
43325#define V_H1OSN(x) ((x) << S_H1OSN)
43326#define G_H1OSN(x) (((x) >> S_H1OSN) & M_H1OSN)
43327
43328#define S_H1OMAG 8
43329#define M_H1OMAG 0x3fU
43330#define V_H1OMAG(x) ((x) << S_H1OMAG)
43331#define G_H1OMAG(x) (((x) >> S_H1OMAG) & M_H1OMAG)
43332
43333#define S_H1ESN 6
43334#define M_H1ESN 0x3U
43335#define V_H1ESN(x) ((x) << S_H1ESN)
43336#define G_H1ESN(x) (((x) >> S_H1ESN) & M_H1ESN)
43337
43338#define S_H1EMAG 0
43339#define M_H1EMAG 0x3fU
43340#define V_H1EMAG(x) ((x) << S_H1EMAG)
43341#define G_H1EMAG(x) (((x) >> S_H1EMAG) & M_H1EMAG)
43342
43343#define A_XGMAC_PORT_HSS_RXA_DFE_H2 0x1960
43344
43345#define S_H2OSN 13
43346#define M_H2OSN 0x3U
43347#define V_H2OSN(x) ((x) << S_H2OSN)
43348#define G_H2OSN(x) (((x) >> S_H2OSN) & M_H2OSN)
43349
43350#define S_H2OMAG 8
43351#define M_H2OMAG 0x1fU
43352#define V_H2OMAG(x) ((x) << S_H2OMAG)
43353#define G_H2OMAG(x) (((x) >> S_H2OMAG) & M_H2OMAG)
43354
43355#define S_H2ESN 5
43356#define M_H2ESN 0x3U
43357#define V_H2ESN(x) ((x) << S_H2ESN)
43358#define G_H2ESN(x) (((x) >> S_H2ESN) & M_H2ESN)
43359
43360#define S_H2EMAG 0
43361#define M_H2EMAG 0x1fU
43362#define V_H2EMAG(x) ((x) << S_H2EMAG)
43363#define G_H2EMAG(x) (((x) >> S_H2EMAG) & M_H2EMAG)
43364
43365#define A_XGMAC_PORT_HSS_RXA_DFE_H3 0x1964
43366
43367#define S_H3OSN 12
43368#define M_H3OSN 0x3U
43369#define V_H3OSN(x) ((x) << S_H3OSN)
43370#define G_H3OSN(x) (((x) >> S_H3OSN) & M_H3OSN)
43371
43372#define S_H3OMAG 8
43373#define M_H3OMAG 0xfU
43374#define V_H3OMAG(x) ((x) << S_H3OMAG)
43375#define G_H3OMAG(x) (((x) >> S_H3OMAG) & M_H3OMAG)
43376
43377#define S_H3ESN 4
43378#define M_H3ESN 0x3U
43379#define V_H3ESN(x) ((x) << S_H3ESN)
43380#define G_H3ESN(x) (((x) >> S_H3ESN) & M_H3ESN)
43381
43382#define S_H3EMAG 0
43383#define M_H3EMAG 0xfU
43384#define V_H3EMAG(x) ((x) << S_H3EMAG)
43385#define G_H3EMAG(x) (((x) >> S_H3EMAG) & M_H3EMAG)
43386
43387#define A_XGMAC_PORT_HSS_RXA_DFE_H4 0x1968
43388
43389#define S_H4OSN 12
43390#define M_H4OSN 0x3U
43391#define V_H4OSN(x) ((x) << S_H4OSN)
43392#define G_H4OSN(x) (((x) >> S_H4OSN) & M_H4OSN)
43393
43394#define S_H4OMAG 8
43395#define M_H4OMAG 0xfU
43396#define V_H4OMAG(x) ((x) << S_H4OMAG)
43397#define G_H4OMAG(x) (((x) >> S_H4OMAG) & M_H4OMAG)
43398
43399#define S_H4ESN 4
43400#define M_H4ESN 0x3U
43401#define V_H4ESN(x) ((x) << S_H4ESN)
43402#define G_H4ESN(x) (((x) >> S_H4ESN) & M_H4ESN)
43403
43404#define S_H4EMAG 0
43405#define M_H4EMAG 0xfU
43406#define V_H4EMAG(x) ((x) << S_H4EMAG)
43407#define G_H4EMAG(x) (((x) >> S_H4EMAG) & M_H4EMAG)
43408
43409#define A_XGMAC_PORT_HSS_RXA_DFE_H5 0x196c
43410
43411#define S_H5OSN 12
43412#define M_H5OSN 0x3U
43413#define V_H5OSN(x) ((x) << S_H5OSN)
43414#define G_H5OSN(x) (((x) >> S_H5OSN) & M_H5OSN)
43415
43416#define S_H5OMAG 8
43417#define M_H5OMAG 0xfU
43418#define V_H5OMAG(x) ((x) << S_H5OMAG)
43419#define G_H5OMAG(x) (((x) >> S_H5OMAG) & M_H5OMAG)
43420
43421#define S_H5ESN 4
43422#define M_H5ESN 0x3U
43423#define V_H5ESN(x) ((x) << S_H5ESN)
43424#define G_H5ESN(x) (((x) >> S_H5ESN) & M_H5ESN)
43425
43426#define S_H5EMAG 0
43427#define M_H5EMAG 0xfU
43428#define V_H5EMAG(x) ((x) << S_H5EMAG)
43429#define G_H5EMAG(x) (((x) >> S_H5EMAG) & M_H5EMAG)
43430
43431#define A_XGMAC_PORT_HSS_RXA_DAC_DPC 0x1970
43432
43433#define S_DPCCVG 13
43434#define V_DPCCVG(x) ((x) << S_DPCCVG)
43435#define F_DPCCVG V_DPCCVG(1U)
43436
43437#define S_DACCVG 12
43438#define V_DACCVG(x) ((x) << S_DACCVG)
43439#define F_DACCVG V_DACCVG(1U)
43440
43441#define S_DPCTGT 9
43442#define M_DPCTGT 0x7U
43443#define V_DPCTGT(x) ((x) << S_DPCTGT)
43444#define G_DPCTGT(x) (((x) >> S_DPCTGT) & M_DPCTGT)
43445
43446#define S_BLKH1T 8
43447#define V_BLKH1T(x) ((x) << S_BLKH1T)
43448#define F_BLKH1T V_BLKH1T(1U)
43449
43450#define S_BLKOAE 7
43451#define V_BLKOAE(x) ((x) << S_BLKOAE)
43452#define F_BLKOAE V_BLKOAE(1U)
43453
43454#define S_H1TGT 4
43455#define M_H1TGT 0x7U
43456#define V_H1TGT(x) ((x) << S_H1TGT)
43457#define G_H1TGT(x) (((x) >> S_H1TGT) & M_H1TGT)
43458
43459#define S_OAE 0
43460#define M_OAE 0xfU
43461#define V_OAE(x) ((x) << S_OAE)
43462#define G_OAE(x) (((x) >> S_OAE) & M_OAE)
43463
43464#define A_XGMAC_PORT_HSS_RXA_DDC 0x1974
43465
43466#define S_OLS 11
43467#define M_OLS 0x1fU
43468#define V_OLS(x) ((x) << S_OLS)
43469#define G_OLS(x) (((x) >> S_OLS) & M_OLS)
43470
43471#define S_OES 6
43472#define M_OES 0x1fU
43473#define V_OES(x) ((x) << S_OES)
43474#define G_OES(x) (((x) >> S_OES) & M_OES)
43475
43476#define S_BLKODEC 5
43477#define V_BLKODEC(x) ((x) << S_BLKODEC)
43478#define F_BLKODEC V_BLKODEC(1U)
43479
43480#define S_ODEC 0
43481#define M_ODEC 0x1fU
43482#define V_ODEC(x) ((x) << S_ODEC)
43483#define G_ODEC(x) (((x) >> S_ODEC) & M_ODEC)
43484
43485#define A_XGMAC_PORT_HSS_RXA_INTERNAL_STATUS 0x1978
43486
43487#define S_BER6 15
43488#define V_BER6(x) ((x) << S_BER6)
43489#define F_BER6 V_BER6(1U)
43490
43491#define S_BER6VAL 14
43492#define V_BER6VAL(x) ((x) << S_BER6VAL)
43493#define F_BER6VAL V_BER6VAL(1U)
43494
43495#define S_BER3VAL 13
43496#define V_BER3VAL(x) ((x) << S_BER3VAL)
43497#define F_BER3VAL V_BER3VAL(1U)
43498
43499#define S_DPCCMP 9
43500#define V_DPCCMP(x) ((x) << S_DPCCMP)
43501#define F_DPCCMP V_DPCCMP(1U)
43502
43503#define S_DACCMP 8
43504#define V_DACCMP(x) ((x) << S_DACCMP)
43505#define F_DACCMP V_DACCMP(1U)
43506
43507#define S_DDCCMP 7
43508#define V_DDCCMP(x) ((x) << S_DDCCMP)
43509#define F_DDCCMP V_DDCCMP(1U)
43510
43511#define S_AERRFLG 6
43512#define V_AERRFLG(x) ((x) << S_AERRFLG)
43513#define F_AERRFLG V_AERRFLG(1U)
43514
43515#define S_WERRFLG 5
43516#define V_WERRFLG(x) ((x) << S_WERRFLG)
43517#define F_WERRFLG V_WERRFLG(1U)
43518
43519#define S_TRCMP 4
43520#define V_TRCMP(x) ((x) << S_TRCMP)
43521#define F_TRCMP V_TRCMP(1U)
43522
43523#define S_VLCKF 3
43524#define V_VLCKF(x) ((x) << S_VLCKF)
43525#define F_VLCKF V_VLCKF(1U)
43526
43527#define S_ROCADJ 2
43528#define V_ROCADJ(x) ((x) << S_ROCADJ)
43529#define F_ROCADJ V_ROCADJ(1U)
43530
43531#define S_ROCCMP 1
43532#define V_ROCCMP(x) ((x) << S_ROCCMP)
43533#define F_ROCCMP V_ROCCMP(1U)
43534
43535#define S_OCCMP 0
43536#define V_OCCMP(x) ((x) << S_OCCMP)
43537#define F_OCCMP V_OCCMP(1U)
43538
43539#define A_XGMAC_PORT_HSS_RXA_DFE_FUNC_CTRL 0x197c
43540
43541#define S_FDPC 15
43542#define V_FDPC(x) ((x) << S_FDPC)
43543#define F_FDPC V_FDPC(1U)
43544
43545#define S_FDAC 14
43546#define V_FDAC(x) ((x) << S_FDAC)
43547#define F_FDAC V_FDAC(1U)
43548
43549#define S_FDDC 13
43550#define V_FDDC(x) ((x) << S_FDDC)
43551#define F_FDDC V_FDDC(1U)
43552
43553#define S_FNRND 12
43554#define V_FNRND(x) ((x) << S_FNRND)
43555#define F_FNRND V_FNRND(1U)
43556
43557#define S_FVGAIN 11
43558#define V_FVGAIN(x) ((x) << S_FVGAIN)
43559#define F_FVGAIN V_FVGAIN(1U)
43560
43561#define S_FVOFF 10
43562#define V_FVOFF(x) ((x) << S_FVOFF)
43563#define F_FVOFF V_FVOFF(1U)
43564
43565#define S_FSDET 9
43566#define V_FSDET(x) ((x) << S_FSDET)
43567#define F_FSDET V_FSDET(1U)
43568
43569#define S_FBER6 8
43570#define V_FBER6(x) ((x) << S_FBER6)
43571#define F_FBER6 V_FBER6(1U)
43572
43573#define S_FROTO 7
43574#define V_FROTO(x) ((x) << S_FROTO)
43575#define F_FROTO V_FROTO(1U)
43576
43577#define S_FH4H5 6
43578#define V_FH4H5(x) ((x) << S_FH4H5)
43579#define F_FH4H5 V_FH4H5(1U)
43580
43581#define S_FH2H3 5
43582#define V_FH2H3(x) ((x) << S_FH2H3)
43583#define F_FH2H3 V_FH2H3(1U)
43584
43585#define S_FH1 4
43586#define V_FH1(x) ((x) << S_FH1)
43587#define F_FH1 V_FH1(1U)
43588
43589#define S_FH1SN 3
43590#define V_FH1SN(x) ((x) << S_FH1SN)
43591#define F_FH1SN V_FH1SN(1U)
43592
43593#define S_FNRDF 2
43594#define V_FNRDF(x) ((x) << S_FNRDF)
43595#define F_FNRDF V_FNRDF(1U)
43596
43597#define S_FADAC 0
43598#define V_FADAC(x) ((x) << S_FADAC)
43599#define F_FADAC V_FADAC(1U)
43600
43601#define A_XGMAC_PORT_HSS_RXB_CFG_MODE 0x1980
43602#define A_XGMAC_PORT_HSS_RXB_TEST_CTRL 0x1984
43603#define A_XGMAC_PORT_HSS_RXB_PH_ROTATOR_CTRL 0x1988
43604#define A_XGMAC_PORT_HSS_RXB_PH_ROTATOR_OFFSET_CTRL 0x198c
43605#define A_XGMAC_PORT_HSS_RXB_PH_ROTATOR_POSITION1 0x1990
43606#define A_XGMAC_PORT_HSS_RXB_PH_ROTATOR_POSITION2 0x1994
43607#define A_XGMAC_PORT_HSS_RXB_PH_ROTATOR_STATIC_PH_OFFSET 0x1998
43608#define A_XGMAC_PORT_HSS_RXB_SIGDET_CTRL 0x199c
43609#define A_XGMAC_PORT_HSS_RXB_DFE_CTRL 0x19a0
43610#define A_XGMAC_PORT_HSS_RXB_DFE_DATA_EDGE_SAMPLE 0x19a4
43611#define A_XGMAC_PORT_HSS_RXB_DFE_AMP_SAMPLE 0x19a8
43612#define A_XGMAC_PORT_HSS_RXB_VGA_CTRL1 0x19ac
43613#define A_XGMAC_PORT_HSS_RXB_VGA_CTRL2 0x19b0
43614#define A_XGMAC_PORT_HSS_RXB_VGA_CTRL3 0x19b4
43615#define A_XGMAC_PORT_HSS_RXB_DFE_D00_D01_OFFSET 0x19b8
43616#define A_XGMAC_PORT_HSS_RXB_DFE_D10_D11_OFFSET 0x19bc
43617#define A_XGMAC_PORT_HSS_RXB_DFE_E0_E1_OFFSET 0x19c0
43618#define A_XGMAC_PORT_HSS_RXB_DACA_OFFSET 0x19c4
43619#define A_XGMAC_PORT_HSS_RXB_DACAP_DAC_AN_OFFSET 0x19c8
43620#define A_XGMAC_PORT_HSS_RXB_DACA_MIN 0x19cc
43621#define A_XGMAC_PORT_HSS_RXB_ADAC_CTRL 0x19d0
43622#define A_XGMAC_PORT_HSS_RXB_DIGITAL_EYE_CTRL 0x19d4
43623#define A_XGMAC_PORT_HSS_RXB_DIGITAL_EYE_METRICS 0x19d8
43624#define A_XGMAC_PORT_HSS_RXB_DFE_H1 0x19dc
43625#define A_XGMAC_PORT_HSS_RXB_DFE_H2 0x19e0
43626#define A_XGMAC_PORT_HSS_RXB_DFE_H3 0x19e4
43627#define A_XGMAC_PORT_HSS_RXB_DFE_H4 0x19e8
43628#define A_XGMAC_PORT_HSS_RXB_DFE_H5 0x19ec
43629#define A_XGMAC_PORT_HSS_RXB_DAC_DPC 0x19f0
43630#define A_XGMAC_PORT_HSS_RXB_DDC 0x19f4
43631#define A_XGMAC_PORT_HSS_RXB_INTERNAL_STATUS 0x19f8
43632#define A_XGMAC_PORT_HSS_RXB_DFE_FUNC_CTRL 0x19fc
43633#define A_XGMAC_PORT_HSS_TXC_MODE_CFG 0x1a00
43634#define A_XGMAC_PORT_HSS_TXC_TEST_CTRL 0x1a04
43635#define A_XGMAC_PORT_HSS_TXC_COEFF_CTRL 0x1a08
43636#define A_XGMAC_PORT_HSS_TXC_DRIVER_MODE 0x1a0c
43637#define A_XGMAC_PORT_HSS_TXC_DRIVER_OVR_CTRL 0x1a10
43638#define A_XGMAC_PORT_HSS_TXC_TDM_BIASGEN_STANDBY_TIMER 0x1a14
43639#define A_XGMAC_PORT_HSS_TXC_TDM_BIASGEN_PWRON_TIMER 0x1a18
43640#define A_XGMAC_PORT_HSS_TXC_TAP0_COEFF 0x1a20
43641#define A_XGMAC_PORT_HSS_TXC_TAP1_COEFF 0x1a24
43642#define A_XGMAC_PORT_HSS_TXC_TAP2_COEFF 0x1a28
43643#define A_XGMAC_PORT_HSS_TXC_PWR 0x1a30
43644#define A_XGMAC_PORT_HSS_TXC_POLARITY 0x1a34
43645#define A_XGMAC_PORT_HSS_TXC_8023AP_AE_CMD 0x1a38
43646#define A_XGMAC_PORT_HSS_TXC_8023AP_AE_STATUS 0x1a3c
43647#define A_XGMAC_PORT_HSS_TXC_TAP0_IDAC_OVR 0x1a40
43648#define A_XGMAC_PORT_HSS_TXC_TAP1_IDAC_OVR 0x1a44
43649#define A_XGMAC_PORT_HSS_TXC_TAP2_IDAC_OVR 0x1a48
43650#define A_XGMAC_PORT_HSS_TXC_PWR_DAC_OVR 0x1a50
43651#define A_XGMAC_PORT_HSS_TXC_PWR_DAC 0x1a54
43652#define A_XGMAC_PORT_HSS_TXC_TAP0_IDAC_APP 0x1a60
43653#define A_XGMAC_PORT_HSS_TXC_TAP1_IDAC_APP 0x1a64
43654#define A_XGMAC_PORT_HSS_TXC_TAP2_IDAC_APP 0x1a68
43655#define A_XGMAC_PORT_HSS_TXC_SEG_DIS_APP 0x1a70
43656#define A_XGMAC_PORT_HSS_TXC_EXT_ADDR_DATA 0x1a78
43657#define A_XGMAC_PORT_HSS_TXC_EXT_ADDR 0x1a7c
43658#define A_XGMAC_PORT_HSS_TXD_MODE_CFG 0x1a80
43659#define A_XGMAC_PORT_HSS_TXD_TEST_CTRL 0x1a84
43660#define A_XGMAC_PORT_HSS_TXD_COEFF_CTRL 0x1a88
43661#define A_XGMAC_PORT_HSS_TXD_DRIVER_MODE 0x1a8c
43662#define A_XGMAC_PORT_HSS_TXD_DRIVER_OVR_CTRL 0x1a90
43663#define A_XGMAC_PORT_HSS_TXD_TDM_BIASGEN_STANDBY_TIMER 0x1a94
43664#define A_XGMAC_PORT_HSS_TXD_TDM_BIASGEN_PWRON_TIMER 0x1a98
43665#define A_XGMAC_PORT_HSS_TXD_TAP0_COEFF 0x1aa0
43666#define A_XGMAC_PORT_HSS_TXD_TAP1_COEFF 0x1aa4
43667#define A_XGMAC_PORT_HSS_TXD_TAP2_COEFF 0x1aa8
43668#define A_XGMAC_PORT_HSS_TXD_PWR 0x1ab0
43669#define A_XGMAC_PORT_HSS_TXD_POLARITY 0x1ab4
43670#define A_XGMAC_PORT_HSS_TXD_8023AP_AE_CMD 0x1ab8
43671#define A_XGMAC_PORT_HSS_TXD_8023AP_AE_STATUS 0x1abc
43672#define A_XGMAC_PORT_HSS_TXD_TAP0_IDAC_OVR 0x1ac0
43673#define A_XGMAC_PORT_HSS_TXD_TAP1_IDAC_OVR 0x1ac4
43674#define A_XGMAC_PORT_HSS_TXD_TAP2_IDAC_OVR 0x1ac8
43675#define A_XGMAC_PORT_HSS_TXD_PWR_DAC_OVR 0x1ad0
43676#define A_XGMAC_PORT_HSS_TXD_PWR_DAC 0x1ad4
43677#define A_XGMAC_PORT_HSS_TXD_TAP0_IDAC_APP 0x1ae0
43678#define A_XGMAC_PORT_HSS_TXD_TAP1_IDAC_APP 0x1ae4
43679#define A_XGMAC_PORT_HSS_TXD_TAP2_IDAC_APP 0x1ae8
43680#define A_XGMAC_PORT_HSS_TXD_SEG_DIS_APP 0x1af0
43681#define A_XGMAC_PORT_HSS_TXD_EXT_ADDR_DATA 0x1af8
43682#define A_XGMAC_PORT_HSS_TXD_EXT_ADDR 0x1afc
43683#define A_XGMAC_PORT_HSS_RXC_CFG_MODE 0x1b00
43684#define A_XGMAC_PORT_HSS_RXC_TEST_CTRL 0x1b04
43685#define A_XGMAC_PORT_HSS_RXC_PH_ROTATOR_CTRL 0x1b08
43686#define A_XGMAC_PORT_HSS_RXC_PH_ROTATOR_OFFSET_CTRL 0x1b0c
43687#define A_XGMAC_PORT_HSS_RXC_PH_ROTATOR_POSITION1 0x1b10
43688#define A_XGMAC_PORT_HSS_RXC_PH_ROTATOR_POSITION2 0x1b14
43689#define A_XGMAC_PORT_HSS_RXC_PH_ROTATOR_STATIC_PH_OFFSET 0x1b18
43690#define A_XGMAC_PORT_HSS_RXC_SIGDET_CTRL 0x1b1c
43691#define A_XGMAC_PORT_HSS_RXC_DFE_CTRL 0x1b20
43692#define A_XGMAC_PORT_HSS_RXC_DFE_DATA_EDGE_SAMPLE 0x1b24
43693#define A_XGMAC_PORT_HSS_RXC_DFE_AMP_SAMPLE 0x1b28
43694#define A_XGMAC_PORT_HSS_RXC_VGA_CTRL1 0x1b2c
43695#define A_XGMAC_PORT_HSS_RXC_VGA_CTRL2 0x1b30
43696#define A_XGMAC_PORT_HSS_RXC_VGA_CTRL3 0x1b34
43697#define A_XGMAC_PORT_HSS_RXC_DFE_D00_D01_OFFSET 0x1b38
43698#define A_XGMAC_PORT_HSS_RXC_DFE_D10_D11_OFFSET 0x1b3c
43699#define A_XGMAC_PORT_HSS_RXC_DFE_E0_E1_OFFSET 0x1b40
43700#define A_XGMAC_PORT_HSS_RXC_DACA_OFFSET 0x1b44
43701#define A_XGMAC_PORT_HSS_RXC_DACAP_DAC_AN_OFFSET 0x1b48
43702#define A_XGMAC_PORT_HSS_RXC_DACA_MIN 0x1b4c
43703#define A_XGMAC_PORT_HSS_RXC_ADAC_CTRL 0x1b50
43704#define A_XGMAC_PORT_HSS_RXC_DIGITAL_EYE_CTRL 0x1b54
43705#define A_XGMAC_PORT_HSS_RXC_DIGITAL_EYE_METRICS 0x1b58
43706#define A_XGMAC_PORT_HSS_RXC_DFE_H1 0x1b5c
43707#define A_XGMAC_PORT_HSS_RXC_DFE_H2 0x1b60
43708#define A_XGMAC_PORT_HSS_RXC_DFE_H3 0x1b64
43709#define A_XGMAC_PORT_HSS_RXC_DFE_H4 0x1b68
43710#define A_XGMAC_PORT_HSS_RXC_DFE_H5 0x1b6c
43711#define A_XGMAC_PORT_HSS_RXC_DAC_DPC 0x1b70
43712#define A_XGMAC_PORT_HSS_RXC_DDC 0x1b74
43713#define A_XGMAC_PORT_HSS_RXC_INTERNAL_STATUS 0x1b78
43714#define A_XGMAC_PORT_HSS_RXC_DFE_FUNC_CTRL 0x1b7c
43715#define A_XGMAC_PORT_HSS_RXD_CFG_MODE 0x1b80
43716#define A_XGMAC_PORT_HSS_RXD_TEST_CTRL 0x1b84
43717#define A_XGMAC_PORT_HSS_RXD_PH_ROTATOR_CTRL 0x1b88
43718#define A_XGMAC_PORT_HSS_RXD_PH_ROTATOR_OFFSET_CTRL 0x1b8c
43719#define A_XGMAC_PORT_HSS_RXD_PH_ROTATOR_POSITION1 0x1b90
43720#define A_XGMAC_PORT_HSS_RXD_PH_ROTATOR_POSITION2 0x1b94
43721#define A_XGMAC_PORT_HSS_RXD_PH_ROTATOR_STATIC_PH_OFFSET 0x1b98
43722#define A_XGMAC_PORT_HSS_RXD_SIGDET_CTRL 0x1b9c
43723#define A_XGMAC_PORT_HSS_RXD_DFE_CTRL 0x1ba0
43724#define A_XGMAC_PORT_HSS_RXD_DFE_DATA_EDGE_SAMPLE 0x1ba4
43725#define A_XGMAC_PORT_HSS_RXD_DFE_AMP_SAMPLE 0x1ba8
43726#define A_XGMAC_PORT_HSS_RXD_VGA_CTRL1 0x1bac
43727#define A_XGMAC_PORT_HSS_RXD_VGA_CTRL2 0x1bb0
43728#define A_XGMAC_PORT_HSS_RXD_VGA_CTRL3 0x1bb4
43729#define A_XGMAC_PORT_HSS_RXD_DFE_D00_D01_OFFSET 0x1bb8
43730#define A_XGMAC_PORT_HSS_RXD_DFE_D10_D11_OFFSET 0x1bbc
43731#define A_XGMAC_PORT_HSS_RXD_DFE_E0_E1_OFFSET 0x1bc0
43732#define A_XGMAC_PORT_HSS_RXD_DACA_OFFSET 0x1bc4
43733#define A_XGMAC_PORT_HSS_RXD_DACAP_DAC_AN_OFFSET 0x1bc8
43734#define A_XGMAC_PORT_HSS_RXD_DACA_MIN 0x1bcc
43735#define A_XGMAC_PORT_HSS_RXD_ADAC_CTRL 0x1bd0
43736#define A_XGMAC_PORT_HSS_RXD_DIGITAL_EYE_CTRL 0x1bd4
43737#define A_XGMAC_PORT_HSS_RXD_DIGITAL_EYE_METRICS 0x1bd8
43738#define A_XGMAC_PORT_HSS_RXD_DFE_H1 0x1bdc
43739#define A_XGMAC_PORT_HSS_RXD_DFE_H2 0x1be0
43740#define A_XGMAC_PORT_HSS_RXD_DFE_H3 0x1be4
43741#define A_XGMAC_PORT_HSS_RXD_DFE_H4 0x1be8
43742#define A_XGMAC_PORT_HSS_RXD_DFE_H5 0x1bec
43743#define A_XGMAC_PORT_HSS_RXD_DAC_DPC 0x1bf0
43744#define A_XGMAC_PORT_HSS_RXD_DDC 0x1bf4
43745#define A_XGMAC_PORT_HSS_RXD_INTERNAL_STATUS 0x1bf8
43746#define A_XGMAC_PORT_HSS_RXD_DFE_FUNC_CTRL 0x1bfc
43747#define A_XGMAC_PORT_HSS_VCO_COARSE_CALIBRATION_0 0x1c00
43748
43749#define S_BSELO 0
43750#define M_BSELO 0xfU
43751#define V_BSELO(x) ((x) << S_BSELO)
43752#define G_BSELO(x) (((x) >> S_BSELO) & M_BSELO)
43753
43754#define A_XGMAC_PORT_HSS_VCO_COARSE_CALIBRATION_1 0x1c04
43755
43756#define S_LDET 4
43757#define V_LDET(x) ((x) << S_LDET)
43758#define F_LDET V_LDET(1U)
43759
43760#define S_CCERR 3
43761#define V_CCERR(x) ((x) << S_CCERR)
43762#define F_CCERR V_CCERR(1U)
43763
43764#define S_CCCMP 2
43765#define V_CCCMP(x) ((x) << S_CCCMP)
43766#define F_CCCMP V_CCCMP(1U)
43767
43768#define A_XGMAC_PORT_HSS_VCO_COARSE_CALIBRATION_2 0x1c08
43769
43770#define S_BSELI 0
43771#define M_BSELI 0xfU
43772#define V_BSELI(x) ((x) << S_BSELI)
43773#define G_BSELI(x) (((x) >> S_BSELI) & M_BSELI)
43774
43775#define A_XGMAC_PORT_HSS_VCO_COARSE_CALIBRATION_3 0x1c0c
43776
43777#define S_VISEL 4
43778#define V_VISEL(x) ((x) << S_VISEL)
43779#define F_VISEL V_VISEL(1U)
43780
43781#define S_FMIN 3
43782#define V_FMIN(x) ((x) << S_FMIN)
43783#define F_FMIN V_FMIN(1U)
43784
43785#define S_FMAX 2
43786#define V_FMAX(x) ((x) << S_FMAX)
43787#define F_FMAX V_FMAX(1U)
43788
43789#define S_CVHOLD 1
43790#define V_CVHOLD(x) ((x) << S_CVHOLD)
43791#define F_CVHOLD V_CVHOLD(1U)
43792
43793#define S_TCDIS 0
43794#define V_TCDIS(x) ((x) << S_TCDIS)
43795#define F_TCDIS V_TCDIS(1U)
43796
43797#define A_XGMAC_PORT_HSS_VCO_COARSE_CALIBRATION_4 0x1c10
43798
43799#define S_CMETH 2
43800#define V_CMETH(x) ((x) << S_CMETH)
43801#define F_CMETH V_CMETH(1U)
43802
43803#define S_RECAL 1
43804#define V_RECAL(x) ((x) << S_RECAL)
43805#define F_RECAL V_RECAL(1U)
43806
43807#define S_CCLD 0
43808#define V_CCLD(x) ((x) << S_CCLD)
43809#define F_CCLD V_CCLD(1U)
43810
43811#define A_XGMAC_PORT_HSS_ANALOG_TEST_MUX 0x1c14
43812
43813#define S_ATST 0
43814#define M_ATST 0x1fU
43815#define V_ATST(x) ((x) << S_ATST)
43816#define G_ATST(x) (((x) >> S_ATST) & M_ATST)
43817
43818#define A_XGMAC_PORT_HSS_PORT_EN_0 0x1c18
43819
43820#define S_RXDEN 7
43821#define V_RXDEN(x) ((x) << S_RXDEN)
43822#define F_RXDEN V_RXDEN(1U)
43823
43824#define S_RXCEN 6
43825#define V_RXCEN(x) ((x) << S_RXCEN)
43826#define F_RXCEN V_RXCEN(1U)
43827
43828#define S_TXDEN 5
43829#define V_TXDEN(x) ((x) << S_TXDEN)
43830#define F_TXDEN V_TXDEN(1U)
43831
43832#define S_TXCEN 4
43833#define V_TXCEN(x) ((x) << S_TXCEN)
43834#define F_TXCEN V_TXCEN(1U)
43835
43836#define S_RXBEN 3
43837#define V_RXBEN(x) ((x) << S_RXBEN)
43838#define F_RXBEN V_RXBEN(1U)
43839
43840#define S_RXAEN 2
43841#define V_RXAEN(x) ((x) << S_RXAEN)
43842#define F_RXAEN V_RXAEN(1U)
43843
43844#define S_TXBEN 1
43845#define V_TXBEN(x) ((x) << S_TXBEN)
43846#define F_TXBEN V_TXBEN(1U)
43847
43848#define S_TXAEN 0
43849#define V_TXAEN(x) ((x) << S_TXAEN)
43850#define F_TXAEN V_TXAEN(1U)
43851
43852#define A_XGMAC_PORT_HSS_PORT_RESET_0 0x1c20
43853
43854#define S_RXDRST 7
43855#define V_RXDRST(x) ((x) << S_RXDRST)
43856#define F_RXDRST V_RXDRST(1U)
43857
43858#define S_RXCRST 6
43859#define V_RXCRST(x) ((x) << S_RXCRST)
43860#define F_RXCRST V_RXCRST(1U)
43861
43862#define S_TXDRST 5
43863#define V_TXDRST(x) ((x) << S_TXDRST)
43864#define F_TXDRST V_TXDRST(1U)
43865
43866#define S_TXCRST 4
43867#define V_TXCRST(x) ((x) << S_TXCRST)
43868#define F_TXCRST V_TXCRST(1U)
43869
43870#define S_RXBRST 3
43871#define V_RXBRST(x) ((x) << S_RXBRST)
43872#define F_RXBRST V_RXBRST(1U)
43873
43874#define S_RXARST 2
43875#define V_RXARST(x) ((x) << S_RXARST)
43876#define F_RXARST V_RXARST(1U)
43877
43878#define S_TXBRST 1
43879#define V_TXBRST(x) ((x) << S_TXBRST)
43880#define F_TXBRST V_TXBRST(1U)
43881
43882#define S_TXARST 0
43883#define V_TXARST(x) ((x) << S_TXARST)
43884#define F_TXARST V_TXARST(1U)
43885
43886#define A_XGMAC_PORT_HSS_CHARGE_PUMP_CTRL 0x1c28
43887
43888#define S_ENCPIS 2
43889#define V_ENCPIS(x) ((x) << S_ENCPIS)
43890#define F_ENCPIS V_ENCPIS(1U)
43891
43892#define S_CPISEL 0
43893#define M_CPISEL 0x3U
43894#define V_CPISEL(x) ((x) << S_CPISEL)
43895#define G_CPISEL(x) (((x) >> S_CPISEL) & M_CPISEL)
43896
43897#define A_XGMAC_PORT_HSS_BAND_GAP_CTRL 0x1c2c
43898
43899#define S_BGCTL 0
43900#define M_BGCTL 0x1fU
43901#define V_BGCTL(x) ((x) << S_BGCTL)
43902#define G_BGCTL(x) (((x) >> S_BGCTL) & M_BGCTL)
43903
43904#define A_XGMAC_PORT_HSS_LOFREQ_OVR 0x1c30
43905
43906#define S_LFREQ2 3
43907#define V_LFREQ2(x) ((x) << S_LFREQ2)
43908#define F_LFREQ2 V_LFREQ2(1U)
43909
43910#define S_LFREQ1 2
43911#define V_LFREQ1(x) ((x) << S_LFREQ1)
43912#define F_LFREQ1 V_LFREQ1(1U)
43913
43914#define S_LFREQO 1
43915#define V_LFREQO(x) ((x) << S_LFREQO)
43916#define F_LFREQO V_LFREQO(1U)
43917
43918#define S_LFSEL 0
43919#define V_LFSEL(x) ((x) << S_LFSEL)
43920#define F_LFSEL V_LFSEL(1U)
43921
43922#define A_XGMAC_PORT_HSS_VOLTAGE_BOOST_CTRL 0x1c38
43923
43924#define S_PFVAL 2
43925#define V_PFVAL(x) ((x) << S_PFVAL)
43926#define F_PFVAL V_PFVAL(1U)
43927
43928#define S_PFEN 1
43929#define V_PFEN(x) ((x) << S_PFEN)
43930#define F_PFEN V_PFEN(1U)
43931
43932#define S_VBADJ 0
43933#define V_VBADJ(x) ((x) << S_VBADJ)
43934#define F_VBADJ V_VBADJ(1U)
43935
43936#define A_XGMAC_PORT_HSS_TX_MODE_CFG 0x1c80
43937#define A_XGMAC_PORT_HSS_TXTEST_CTRL 0x1c84
43938#define A_XGMAC_PORT_HSS_TX_COEFF_CTRL 0x1c88
43939#define A_XGMAC_PORT_HSS_TX_DRIVER_MODE 0x1c8c
43940#define A_XGMAC_PORT_HSS_TX_DRIVER_OVR_CTRL 0x1c90
43941#define A_XGMAC_PORT_HSS_TX_TDM_BIASGEN_STANDBY_TIMER 0x1c94
43942#define A_XGMAC_PORT_HSS_TX_TDM_BIASGEN_PWRON_TIMER 0x1c98
43943#define A_XGMAC_PORT_HSS_TX_TAP0_COEFF 0x1ca0
43944#define A_XGMAC_PORT_HSS_TX_TAP1_COEFF 0x1ca4
43945#define A_XGMAC_PORT_HSS_TX_TAP2_COEFF 0x1ca8
43946#define A_XGMAC_PORT_HSS_TX_PWR 0x1cb0
43947#define A_XGMAC_PORT_HSS_TX_POLARITY 0x1cb4
43948#define A_XGMAC_PORT_HSS_TX_8023AP_AE_CMD 0x1cb8
43949#define A_XGMAC_PORT_HSS_TX_8023AP_AE_STATUS 0x1cbc
43950#define A_XGMAC_PORT_HSS_TX_TAP0_IDAC_OVR 0x1cc0
43951#define A_XGMAC_PORT_HSS_TX_TAP1_IDAC_OVR 0x1cc4
43952#define A_XGMAC_PORT_HSS_TX_TAP2_IDAC_OVR 0x1cc8
43953#define A_XGMAC_PORT_HSS_TX_PWR_DAC_OVR 0x1cd0
43954#define A_XGMAC_PORT_HSS_TX_PWR_DAC 0x1cd4
43955#define A_XGMAC_PORT_HSS_TX_TAP0_IDAC_APP 0x1ce0
43956#define A_XGMAC_PORT_HSS_TX_TAP1_IDAC_APP 0x1ce4
43957#define A_XGMAC_PORT_HSS_TX_TAP2_IDAC_APP 0x1ce8
43958#define A_XGMAC_PORT_HSS_TX_SEG_DIS_APP 0x1cf0
43959#define A_XGMAC_PORT_HSS_TX_EXT_ADDR_DATA 0x1cf8
43960#define A_XGMAC_PORT_HSS_TX_EXT_ADDR 0x1cfc
43961#define A_XGMAC_PORT_HSS_RX_CFG_MODE 0x1d00
43962#define A_XGMAC_PORT_HSS_RXTEST_CTRL 0x1d04
43963#define A_XGMAC_PORT_HSS_RX_PH_ROTATOR_CTRL 0x1d08
43964#define A_XGMAC_PORT_HSS_RX_PH_ROTATOR_OFFSET_CTRL 0x1d0c
43965#define A_XGMAC_PORT_HSS_RX_PH_ROTATOR_POSITION1 0x1d10
43966#define A_XGMAC_PORT_HSS_RX_PH_ROTATOR_POSITION2 0x1d14
43967#define A_XGMAC_PORT_HSS_RX_PH_ROTATOR_STATIC_PH_OFFSET 0x1d18
43968#define A_XGMAC_PORT_HSS_RX_SIGDET_CTRL 0x1d1c
43969#define A_XGMAC_PORT_HSS_RX_DFE_CTRL 0x1d20
43970#define A_XGMAC_PORT_HSS_RX_DFE_DATA_EDGE_SAMPLE 0x1d24
43971#define A_XGMAC_PORT_HSS_RX_DFE_AMP_SAMPLE 0x1d28
43972#define A_XGMAC_PORT_HSS_RX_VGA_CTRL1 0x1d2c
43973#define A_XGMAC_PORT_HSS_RX_VGA_CTRL2 0x1d30
43974#define A_XGMAC_PORT_HSS_RX_VGA_CTRL3 0x1d34
43975#define A_XGMAC_PORT_HSS_RX_DFE_D00_D01_OFFSET 0x1d38
43976#define A_XGMAC_PORT_HSS_RX_DFE_D10_D11_OFFSET 0x1d3c
43977#define A_XGMAC_PORT_HSS_RX_DFE_E0_E1_OFFSET 0x1d40
43978#define A_XGMAC_PORT_HSS_RX_DACA_OFFSET 0x1d44
43979#define A_XGMAC_PORT_HSS_RX_DACAP_DAC_AN_OFFSET 0x1d48
43980#define A_XGMAC_PORT_HSS_RX_DACA_MIN 0x1d4c
43981#define A_XGMAC_PORT_HSS_RX_ADAC_CTRL 0x1d50
43982#define A_XGMAC_PORT_HSS_RX_DIGITAL_EYE_CTRL 0x1d54
43983#define A_XGMAC_PORT_HSS_RX_DIGITAL_EYE_METRICS 0x1d58
43984#define A_XGMAC_PORT_HSS_RX_DFE_H1 0x1d5c
43985#define A_XGMAC_PORT_HSS_RX_DFE_H2 0x1d60
43986#define A_XGMAC_PORT_HSS_RX_DFE_H3 0x1d64
43987#define A_XGMAC_PORT_HSS_RX_DFE_H4 0x1d68
43988#define A_XGMAC_PORT_HSS_RX_DFE_H5 0x1d6c
43989#define A_XGMAC_PORT_HSS_RX_DAC_DPC 0x1d70
43990#define A_XGMAC_PORT_HSS_RX_DDC 0x1d74
43991#define A_XGMAC_PORT_HSS_RX_INTERNAL_STATUS 0x1d78
43992#define A_XGMAC_PORT_HSS_RX_DFE_FUNC_CTRL 0x1d7c
43993#define A_XGMAC_PORT_HSS_TXRX_CFG_MODE 0x1e00
43994#define A_XGMAC_PORT_HSS_TXRXTEST_CTRL 0x1e04
43995
43996/* registers for module UP */
43997#define UP_BASE_ADDR 0x0
43998
43999#define A_UP_IBQ_CONFIG 0x0
44000
44001#define S_IBQGEN2 2
44002#define M_IBQGEN2 0x3fffffffU
44003#define V_IBQGEN2(x) ((x) << S_IBQGEN2)
44004#define G_IBQGEN2(x) (((x) >> S_IBQGEN2) & M_IBQGEN2)
44005
44006#define S_IBQBUSY 1
44007#define V_IBQBUSY(x) ((x) << S_IBQBUSY)
44008#define F_IBQBUSY V_IBQBUSY(1U)
44009
44010#define S_IBQEN 0
44011#define V_IBQEN(x) ((x) << S_IBQEN)
44012#define F_IBQEN V_IBQEN(1U)
44013
44014#define A_UP_OBQ_CONFIG 0x4
44015
44016#define S_OBQGEN2 2
44017#define M_OBQGEN2 0x3fffffffU
44018#define V_OBQGEN2(x) ((x) << S_OBQGEN2)
44019#define G_OBQGEN2(x) (((x) >> S_OBQGEN2) & M_OBQGEN2)
44020
44021#define S_OBQBUSY 1
44022#define V_OBQBUSY(x) ((x) << S_OBQBUSY)
44023#define F_OBQBUSY V_OBQBUSY(1U)
44024
44025#define S_OBQEN 0
44026#define V_OBQEN(x) ((x) << S_OBQEN)
44027#define F_OBQEN V_OBQEN(1U)
44028
44029#define A_UP_IBQ_GEN 0x8
44030
44031#define S_IBQGEN0 22
44032#define M_IBQGEN0 0x3ffU
44033#define V_IBQGEN0(x) ((x) << S_IBQGEN0)
44034#define G_IBQGEN0(x) (((x) >> S_IBQGEN0) & M_IBQGEN0)
44035
44036#define S_IBQTSCHCHNLRDY 18
44037#define M_IBQTSCHCHNLRDY 0xfU
44038#define V_IBQTSCHCHNLRDY(x) ((x) << S_IBQTSCHCHNLRDY)
44039#define G_IBQTSCHCHNLRDY(x) (((x) >> S_IBQTSCHCHNLRDY) & M_IBQTSCHCHNLRDY)
44040
44041#define S_IBQMBVFSTATUS 17
44042#define V_IBQMBVFSTATUS(x) ((x) << S_IBQMBVFSTATUS)
44043#define F_IBQMBVFSTATUS V_IBQMBVFSTATUS(1U)
44044
44045#define S_IBQMBSTATUS 16
44046#define V_IBQMBSTATUS(x) ((x) << S_IBQMBSTATUS)
44047#define F_IBQMBSTATUS V_IBQMBSTATUS(1U)
44048
44049#define S_IBQGEN1 6
44050#define M_IBQGEN1 0x3ffU
44051#define V_IBQGEN1(x) ((x) << S_IBQGEN1)
44052#define G_IBQGEN1(x) (((x) >> S_IBQGEN1) & M_IBQGEN1)
44053
44054#define S_IBQEMPTY 0
44055#define M_IBQEMPTY 0x3fU
44056#define V_IBQEMPTY(x) ((x) << S_IBQEMPTY)
44057#define G_IBQEMPTY(x) (((x) >> S_IBQEMPTY) & M_IBQEMPTY)
44058
44059#define A_UP_OBQ_GEN 0xc
44060
44061#define S_OBQGEN 6
44062#define M_OBQGEN 0x3ffffffU
44063#define V_OBQGEN(x) ((x) << S_OBQGEN)
44064#define G_OBQGEN(x) (((x) >> S_OBQGEN) & M_OBQGEN)
44065
44066#define S_OBQFULL 0
44067#define M_OBQFULL 0x3fU
44068#define V_OBQFULL(x) ((x) << S_OBQFULL)
44069#define G_OBQFULL(x) (((x) >> S_OBQFULL) & M_OBQFULL)
44070
44071#define S_T5_OBQGEN 8
44072#define M_T5_OBQGEN 0xffffffU
44073#define V_T5_OBQGEN(x) ((x) << S_T5_OBQGEN)
44074#define G_T5_OBQGEN(x) (((x) >> S_T5_OBQGEN) & M_T5_OBQGEN)
44075
44076#define S_T5_OBQFULL 0
44077#define M_T5_OBQFULL 0xffU
44078#define V_T5_OBQFULL(x) ((x) << S_T5_OBQFULL)
44079#define G_T5_OBQFULL(x) (((x) >> S_T5_OBQFULL) & M_T5_OBQFULL)
44080
44081#define A_UP_IBQ_0_RDADDR 0x10
44082
44083#define S_QUEID 13
44084#define M_QUEID 0x7ffffU
44085#define V_QUEID(x) ((x) << S_QUEID)
44086#define G_QUEID(x) (((x) >> S_QUEID) & M_QUEID)
44087
44088#define S_IBQRDADDR 0
44089#define M_IBQRDADDR 0x1fffU
44090#define V_IBQRDADDR(x) ((x) << S_IBQRDADDR)
44091#define G_IBQRDADDR(x) (((x) >> S_IBQRDADDR) & M_IBQRDADDR)
44092
44093#define A_UP_IBQ_0_WRADDR 0x14
44094
44095#define S_IBQWRADDR 0
44096#define M_IBQWRADDR 0x1fffU
44097#define V_IBQWRADDR(x) ((x) << S_IBQWRADDR)
44098#define G_IBQWRADDR(x) (((x) >> S_IBQWRADDR) & M_IBQWRADDR)
44099
44100#define A_UP_IBQ_0_STATUS 0x18
44101
44102#define S_QUEERRFRAME 31
44103#define V_QUEERRFRAME(x) ((x) << S_QUEERRFRAME)
44104#define F_QUEERRFRAME V_QUEERRFRAME(1U)
44105
44106#define S_QUEREMFLITS 0
44107#define M_QUEREMFLITS 0x7ffU
44108#define V_QUEREMFLITS(x) ((x) << S_QUEREMFLITS)
44109#define G_QUEREMFLITS(x) (((x) >> S_QUEREMFLITS) & M_QUEREMFLITS)
44110
44111#define A_UP_IBQ_0_PKTCNT 0x1c
44112
44113#define S_QUEEOPCNT 16
44114#define M_QUEEOPCNT 0xfffU
44115#define V_QUEEOPCNT(x) ((x) << S_QUEEOPCNT)
44116#define G_QUEEOPCNT(x) (((x) >> S_QUEEOPCNT) & M_QUEEOPCNT)
44117
44118#define S_QUESOPCNT 0
44119#define M_QUESOPCNT 0xfffU
44120#define V_QUESOPCNT(x) ((x) << S_QUESOPCNT)
44121#define G_QUESOPCNT(x) (((x) >> S_QUESOPCNT) & M_QUESOPCNT)
44122
44123#define A_UP_IBQ_1_RDADDR 0x20
44124#define A_UP_IBQ_1_WRADDR 0x24
44125#define A_UP_IBQ_1_STATUS 0x28
44126#define A_UP_IBQ_1_PKTCNT 0x2c
44127#define A_UP_IBQ_2_RDADDR 0x30
44128#define A_UP_IBQ_2_WRADDR 0x34
44129#define A_UP_IBQ_2_STATUS 0x38
44130#define A_UP_IBQ_2_PKTCNT 0x3c
44131#define A_UP_IBQ_3_RDADDR 0x40
44132#define A_UP_IBQ_3_WRADDR 0x44
44133#define A_UP_IBQ_3_STATUS 0x48
44134#define A_UP_IBQ_3_PKTCNT 0x4c
44135#define A_UP_IBQ_4_RDADDR 0x50
44136#define A_UP_IBQ_4_WRADDR 0x54
44137#define A_UP_IBQ_4_STATUS 0x58
44138#define A_UP_IBQ_4_PKTCNT 0x5c
44139#define A_UP_IBQ_5_RDADDR 0x60
44140#define A_UP_IBQ_5_WRADDR 0x64
44141#define A_UP_IBQ_5_STATUS 0x68
44142#define A_UP_IBQ_5_PKTCNT 0x6c
44143#define A_UP_OBQ_0_RDADDR 0x70
44144
44145#define S_OBQID 15
44146#define M_OBQID 0x1ffffU
44147#define V_OBQID(x) ((x) << S_OBQID)
44148#define G_OBQID(x) (((x) >> S_OBQID) & M_OBQID)
44149
44150#define S_QUERDADDR 0
44151#define M_QUERDADDR 0x7fffU
44152#define V_QUERDADDR(x) ((x) << S_QUERDADDR)
44153#define G_QUERDADDR(x) (((x) >> S_QUERDADDR) & M_QUERDADDR)
44154
44155#define A_UP_OBQ_0_WRADDR 0x74
44156
44157#define S_QUEWRADDR 0
44158#define M_QUEWRADDR 0x7fffU
44159#define V_QUEWRADDR(x) ((x) << S_QUEWRADDR)
44160#define G_QUEWRADDR(x) (((x) >> S_QUEWRADDR) & M_QUEWRADDR)
44161
44162#define A_UP_OBQ_0_STATUS 0x78
44163#define A_UP_OBQ_0_PKTCNT 0x7c
44164#define A_UP_OBQ_1_RDADDR 0x80
44165#define A_UP_OBQ_1_WRADDR 0x84
44166#define A_UP_OBQ_1_STATUS 0x88
44167#define A_UP_OBQ_1_PKTCNT 0x8c
44168#define A_UP_OBQ_2_RDADDR 0x90
44169#define A_UP_OBQ_2_WRADDR 0x94
44170#define A_UP_OBQ_2_STATUS 0x98
44171#define A_UP_OBQ_2_PKTCNT 0x9c
44172#define A_UP_OBQ_3_RDADDR 0xa0
44173#define A_UP_OBQ_3_WRADDR 0xa4
44174#define A_UP_OBQ_3_STATUS 0xa8
44175#define A_UP_OBQ_3_PKTCNT 0xac
44176#define A_UP_OBQ_4_RDADDR 0xb0
44177#define A_UP_OBQ_4_WRADDR 0xb4
44178#define A_UP_OBQ_4_STATUS 0xb8
44179#define A_UP_OBQ_4_PKTCNT 0xbc
44180#define A_UP_OBQ_5_RDADDR 0xc0
44181#define A_UP_OBQ_5_WRADDR 0xc4
44182#define A_UP_OBQ_5_STATUS 0xc8
44183#define A_UP_OBQ_5_PKTCNT 0xcc
44184#define A_UP_IBQ_0_CONFIG 0xd0
44185
44186#define S_QUESIZE 26
44187#define M_QUESIZE 0x3fU
44188#define V_QUESIZE(x) ((x) << S_QUESIZE)
44189#define G_QUESIZE(x) (((x) >> S_QUESIZE) & M_QUESIZE)
44190
44191#define S_QUEBASE 8
44192#define M_QUEBASE 0x3fU
44193#define V_QUEBASE(x) ((x) << S_QUEBASE)
44194#define G_QUEBASE(x) (((x) >> S_QUEBASE) & M_QUEBASE)
44195
44196#define S_QUEDBG8BEN 7
44197#define V_QUEDBG8BEN(x) ((x) << S_QUEDBG8BEN)
44198#define F_QUEDBG8BEN V_QUEDBG8BEN(1U)
44199
44200#define S_QUEBAREADDR 0
44201#define V_QUEBAREADDR(x) ((x) << S_QUEBAREADDR)
44202#define F_QUEBAREADDR V_QUEBAREADDR(1U)
44203
44204#define S_QUE1KEN 6
44205#define V_QUE1KEN(x) ((x) << S_QUE1KEN)
44206#define F_QUE1KEN V_QUE1KEN(1U)
44207
44208#define A_UP_IBQ_0_REALADDR 0xd4
44209
44210#define S_QUERDADDRWRAP 31
44211#define V_QUERDADDRWRAP(x) ((x) << S_QUERDADDRWRAP)
44212#define F_QUERDADDRWRAP V_QUERDADDRWRAP(1U)
44213
44214#define S_QUEWRADDRWRAP 30
44215#define V_QUEWRADDRWRAP(x) ((x) << S_QUEWRADDRWRAP)
44216#define F_QUEWRADDRWRAP V_QUEWRADDRWRAP(1U)
44217
44218#define S_QUEMEMADDR 3
44219#define M_QUEMEMADDR 0x7ffU
44220#define V_QUEMEMADDR(x) ((x) << S_QUEMEMADDR)
44221#define G_QUEMEMADDR(x) (((x) >> S_QUEMEMADDR) & M_QUEMEMADDR)
44222
44223#define A_UP_IBQ_1_CONFIG 0xd8
44224#define A_UP_IBQ_1_REALADDR 0xdc
44225#define A_UP_IBQ_2_CONFIG 0xe0
44226#define A_UP_IBQ_2_REALADDR 0xe4
44227#define A_UP_IBQ_3_CONFIG 0xe8
44228#define A_UP_IBQ_3_REALADDR 0xec
44229#define A_UP_IBQ_4_CONFIG 0xf0
44230#define A_UP_IBQ_4_REALADDR 0xf4
44231#define A_UP_IBQ_5_CONFIG 0xf8
44232#define A_UP_IBQ_5_REALADDR 0xfc
44233#define A_UP_OBQ_0_CONFIG 0x100
44234#define A_UP_OBQ_0_REALADDR 0x104
44235#define A_UP_OBQ_1_CONFIG 0x108
44236#define A_UP_OBQ_1_REALADDR 0x10c
44237#define A_UP_OBQ_2_CONFIG 0x110
44238#define A_UP_OBQ_2_REALADDR 0x114
44239#define A_UP_OBQ_3_CONFIG 0x118
44240#define A_UP_OBQ_3_REALADDR 0x11c
44241#define A_UP_OBQ_4_CONFIG 0x120
44242#define A_UP_OBQ_4_REALADDR 0x124
44243#define A_UP_OBQ_5_CONFIG 0x128
44244#define A_UP_OBQ_5_REALADDR 0x12c
44245#define A_UP_MAILBOX_STATUS 0x130
44246
44247#define S_MBGEN0 20
44248#define M_MBGEN0 0xfffU
44249#define V_MBGEN0(x) ((x) << S_MBGEN0)
44250#define G_MBGEN0(x) (((x) >> S_MBGEN0) & M_MBGEN0)
44251
44252#define S_GENTIMERTRIGGER 16
44253#define M_GENTIMERTRIGGER 0xfU
44254#define V_GENTIMERTRIGGER(x) ((x) << S_GENTIMERTRIGGER)
44255#define G_GENTIMERTRIGGER(x) (((x) >> S_GENTIMERTRIGGER) & M_GENTIMERTRIGGER)
44256
44257#define S_MBGEN1 8
44258#define M_MBGEN1 0xffU
44259#define V_MBGEN1(x) ((x) << S_MBGEN1)
44260#define G_MBGEN1(x) (((x) >> S_MBGEN1) & M_MBGEN1)
44261
44262#define S_MBPFINT 0
44263#define M_MBPFINT 0xffU
44264#define V_MBPFINT(x) ((x) << S_MBPFINT)
44265#define G_MBPFINT(x) (((x) >> S_MBPFINT) & M_MBPFINT)
44266
44267#define A_UP_UP_DBG_LA_CFG 0x140
44268
44269#define S_UPDBGLACAPTBUB 31
44270#define V_UPDBGLACAPTBUB(x) ((x) << S_UPDBGLACAPTBUB)
44271#define F_UPDBGLACAPTBUB V_UPDBGLACAPTBUB(1U)
44272
44273#define S_UPDBGLACAPTPCONLY 30
44274#define V_UPDBGLACAPTPCONLY(x) ((x) << S_UPDBGLACAPTPCONLY)
44275#define F_UPDBGLACAPTPCONLY V_UPDBGLACAPTPCONLY(1U)
44276
44277#define S_UPDBGLAMASKSTOP 29
44278#define V_UPDBGLAMASKSTOP(x) ((x) << S_UPDBGLAMASKSTOP)
44279#define F_UPDBGLAMASKSTOP V_UPDBGLAMASKSTOP(1U)
44280
44281#define S_UPDBGLAMASKTRIG 28
44282#define V_UPDBGLAMASKTRIG(x) ((x) << S_UPDBGLAMASKTRIG)
44283#define F_UPDBGLAMASKTRIG V_UPDBGLAMASKTRIG(1U)
44284
44285#define S_UPDBGLAWRPTR 16
44286#define M_UPDBGLAWRPTR 0xfffU
44287#define V_UPDBGLAWRPTR(x) ((x) << S_UPDBGLAWRPTR)
44288#define G_UPDBGLAWRPTR(x) (((x) >> S_UPDBGLAWRPTR) & M_UPDBGLAWRPTR)
44289
44290#define S_UPDBGLARDPTR 2
44291#define M_UPDBGLARDPTR 0xfffU
44292#define V_UPDBGLARDPTR(x) ((x) << S_UPDBGLARDPTR)
44293#define G_UPDBGLARDPTR(x) (((x) >> S_UPDBGLARDPTR) & M_UPDBGLARDPTR)
44294
44295#define S_UPDBGLARDEN 1
44296#define V_UPDBGLARDEN(x) ((x) << S_UPDBGLARDEN)
44297#define F_UPDBGLARDEN V_UPDBGLARDEN(1U)
44298
44299#define S_UPDBGLAEN 0
44300#define V_UPDBGLAEN(x) ((x) << S_UPDBGLAEN)
44301#define F_UPDBGLAEN V_UPDBGLAEN(1U)
44302
44303#define S_UPDBGLABUSY 14
44304#define V_UPDBGLABUSY(x) ((x) << S_UPDBGLABUSY)
44305#define F_UPDBGLABUSY V_UPDBGLABUSY(1U)
44306
44307#define A_UP_UP_DBG_LA_DATA 0x144
44308#define A_UP_PIO_MST_CONFIG 0x148
44309
44310#define S_FLSRC 24
44311#define M_FLSRC 0x7U
44312#define V_FLSRC(x) ((x) << S_FLSRC)
44313#define G_FLSRC(x) (((x) >> S_FLSRC) & M_FLSRC)
44314
44315#define S_SEPROT 23
44316#define V_SEPROT(x) ((x) << S_SEPROT)
44317#define F_SEPROT V_SEPROT(1U)
44318
44319#define S_SESRC 20
44320#define M_SESRC 0x7U
44321#define V_SESRC(x) ((x) << S_SESRC)
44322#define G_SESRC(x) (((x) >> S_SESRC) & M_SESRC)
44323
44324#define S_UPRGN 19
44325#define V_UPRGN(x) ((x) << S_UPRGN)
44326#define F_UPRGN V_UPRGN(1U)
44327
44328#define S_UPPF 16
44329#define M_UPPF 0x7U
44330#define V_UPPF(x) ((x) << S_UPPF)
44331#define G_UPPF(x) (((x) >> S_UPPF) & M_UPPF)
44332
44333#define S_UPRID 0
44334#define M_UPRID 0xffffU
44335#define V_UPRID(x) ((x) << S_UPRID)
44336#define G_UPRID(x) (((x) >> S_UPRID) & M_UPRID)
44337
44338#define S_REQVFVLD 27
44339#define V_REQVFVLD(x) ((x) << S_REQVFVLD)
44340#define F_REQVFVLD V_REQVFVLD(1U)
44341
44342#define S_T5_UPRID 0
44343#define M_T5_UPRID 0xffU
44344#define V_T5_UPRID(x) ((x) << S_T5_UPRID)
44345#define G_T5_UPRID(x) (((x) >> S_T5_UPRID) & M_T5_UPRID)
44346
44347#define S_T6_UPRID 0
44348#define M_T6_UPRID 0x1ffU
44349#define V_T6_UPRID(x) ((x) << S_T6_UPRID)
44350#define G_T6_UPRID(x) (((x) >> S_T6_UPRID) & M_T6_UPRID)
44351
44352#define A_UP_UP_SELF_CONTROL 0x14c
44353
44354#define S_UPSELFRESET 0
44355#define V_UPSELFRESET(x) ((x) << S_UPSELFRESET)
44356#define F_UPSELFRESET V_UPSELFRESET(1U)
44357
44358#define A_UP_MAILBOX_PF0_CTL 0x180
44359#define A_UP_MAILBOX_PF1_CTL 0x190
44360#define A_UP_MAILBOX_PF2_CTL 0x1a0
44361#define A_UP_MAILBOX_PF3_CTL 0x1b0
44362#define A_UP_MAILBOX_PF4_CTL 0x1c0
44363#define A_UP_MAILBOX_PF5_CTL 0x1d0
44364#define A_UP_MAILBOX_PF6_CTL 0x1e0
44365#define A_UP_MAILBOX_PF7_CTL 0x1f0
44366#define A_UP_TSCH_CHNLN_CLASS_RDY 0x200
44367
44368#define S_ECO_15444_SGE_DB_BUSY 31
44369#define V_ECO_15444_SGE_DB_BUSY(x) ((x) << S_ECO_15444_SGE_DB_BUSY)
44370#define F_ECO_15444_SGE_DB_BUSY V_ECO_15444_SGE_DB_BUSY(1U)
44371
44372#define S_ECO_15444_PL_INTF_BUSY 30
44373#define V_ECO_15444_PL_INTF_BUSY(x) ((x) << S_ECO_15444_PL_INTF_BUSY)
44374#define F_ECO_15444_PL_INTF_BUSY V_ECO_15444_PL_INTF_BUSY(1U)
44375
44376#define S_TSCHCHNLCRDY 0
44377#define M_TSCHCHNLCRDY 0x3fffffffU
44378#define V_TSCHCHNLCRDY(x) ((x) << S_TSCHCHNLCRDY)
44379#define G_TSCHCHNLCRDY(x) (((x) >> S_TSCHCHNLCRDY) & M_TSCHCHNLCRDY)
44380
44381#define A_UP_TSCH_CHNLN_CLASS_WATCH_RDY 0x204
44382
44383#define S_TSCHWRRLIMIT 16
44384#define M_TSCHWRRLIMIT 0xffffU
44385#define V_TSCHWRRLIMIT(x) ((x) << S_TSCHWRRLIMIT)
44386#define G_TSCHWRRLIMIT(x) (((x) >> S_TSCHWRRLIMIT) & M_TSCHWRRLIMIT)
44387
44388#define S_TSCHCHNLCWRDY 0
44389#define M_TSCHCHNLCWRDY 0xffffU
44390#define V_TSCHCHNLCWRDY(x) ((x) << S_TSCHCHNLCWRDY)
44391#define G_TSCHCHNLCWRDY(x) (((x) >> S_TSCHCHNLCWRDY) & M_TSCHCHNLCWRDY)
44392
44393#define A_UP_TSCH_CHNLN_CLASS_WATCH_LIST 0x208
44394
44395#define S_TSCHWRRRELOAD 16
44396#define M_TSCHWRRRELOAD 0xffffU
44397#define V_TSCHWRRRELOAD(x) ((x) << S_TSCHWRRRELOAD)
44398#define G_TSCHWRRRELOAD(x) (((x) >> S_TSCHWRRRELOAD) & M_TSCHWRRRELOAD)
44399
44400#define S_TSCHCHNLCWATCH 0
44401#define M_TSCHCHNLCWATCH 0xffffU
44402#define V_TSCHCHNLCWATCH(x) ((x) << S_TSCHCHNLCWATCH)
44403#define G_TSCHCHNLCWATCH(x) (((x) >> S_TSCHCHNLCWATCH) & M_TSCHCHNLCWATCH)
44404
44405#define A_UP_TSCH_CHNLN_CLASS_TAKE 0x20c
44406
44407#define S_TSCHCHNLCNUM 24
44408#define M_TSCHCHNLCNUM 0x1fU
44409#define V_TSCHCHNLCNUM(x) ((x) << S_TSCHCHNLCNUM)
44410#define G_TSCHCHNLCNUM(x) (((x) >> S_TSCHCHNLCNUM) & M_TSCHCHNLCNUM)
44411
44412#define S_TSCHCHNLCCNT 0
44413#define M_TSCHCHNLCCNT 0xffffffU
44414#define V_TSCHCHNLCCNT(x) ((x) << S_TSCHCHNLCCNT)
44415#define G_TSCHCHNLCCNT(x) (((x) >> S_TSCHCHNLCCNT) & M_TSCHCHNLCCNT)
44416
44417#define S_TSCHCHNLCHDIS 31
44418#define V_TSCHCHNLCHDIS(x) ((x) << S_TSCHCHNLCHDIS)
44419#define F_TSCHCHNLCHDIS V_TSCHCHNLCHDIS(1U)
44420
44421#define S_TSCHCHNLWDIS 30
44422#define V_TSCHCHNLWDIS(x) ((x) << S_TSCHCHNLWDIS)
44423#define F_TSCHCHNLWDIS V_TSCHCHNLWDIS(1U)
44424
44425#define S_TSCHCHNLCLDIS 29
44426#define V_TSCHCHNLCLDIS(x) ((x) << S_TSCHCHNLCLDIS)
44427#define F_TSCHCHNLCLDIS V_TSCHCHNLCLDIS(1U)
44428
44429#define A_UP_UPLADBGPCCHKDATA_0 0x240
44430#define A_UP_UPLADBGPCCHKMASK_0 0x244
44431#define A_UP_UPLADBGPCCHKDATA_1 0x250
44432#define A_UP_UPLADBGPCCHKMASK_1 0x254
44433#define A_UP_UPLADBGPCCHKDATA_2 0x260
44434#define A_UP_UPLADBGPCCHKMASK_2 0x264
44435#define A_UP_UPLADBGPCCHKDATA_3 0x270
44436#define A_UP_UPLADBGPCCHKMASK_3 0x274
44437#define A_UP_IBQ_0_SHADOW_RDADDR 0x280
44438#define A_UP_IBQ_0_SHADOW_WRADDR 0x284
44439#define A_UP_IBQ_0_SHADOW_STATUS 0x288
44440#define A_UP_IBQ_0_SHADOW_PKTCNT 0x28c
44441#define A_UP_IBQ_1_SHADOW_RDADDR 0x290
44442#define A_UP_IBQ_1_SHADOW_WRADDR 0x294
44443#define A_UP_IBQ_1_SHADOW_STATUS 0x298
44444#define A_UP_IBQ_1_SHADOW_PKTCNT 0x29c
44445#define A_UP_IBQ_2_SHADOW_RDADDR 0x2a0
44446#define A_UP_IBQ_2_SHADOW_WRADDR 0x2a4
44447#define A_UP_IBQ_2_SHADOW_STATUS 0x2a8
44448#define A_UP_IBQ_2_SHADOW_PKTCNT 0x2ac
44449#define A_UP_IBQ_3_SHADOW_RDADDR 0x2b0
44450#define A_UP_IBQ_3_SHADOW_WRADDR 0x2b4
44451#define A_UP_IBQ_3_SHADOW_STATUS 0x2b8
44452#define A_UP_IBQ_3_SHADOW_PKTCNT 0x2bc
44453#define A_UP_IBQ_4_SHADOW_RDADDR 0x2c0
44454#define A_UP_IBQ_4_SHADOW_WRADDR 0x2c4
44455#define A_UP_IBQ_4_SHADOW_STATUS 0x2c8
44456#define A_UP_IBQ_4_SHADOW_PKTCNT 0x2cc
44457#define A_UP_IBQ_5_SHADOW_RDADDR 0x2d0
44458#define A_UP_IBQ_5_SHADOW_WRADDR 0x2d4
44459#define A_UP_IBQ_5_SHADOW_STATUS 0x2d8
44460#define A_UP_IBQ_5_SHADOW_PKTCNT 0x2dc
44461#define A_UP_OBQ_0_SHADOW_RDADDR 0x2e0
44462#define A_UP_OBQ_0_SHADOW_WRADDR 0x2e4
44463#define A_UP_OBQ_0_SHADOW_STATUS 0x2e8
44464#define A_UP_OBQ_0_SHADOW_PKTCNT 0x2ec
44465#define A_UP_OBQ_1_SHADOW_RDADDR 0x2f0
44466#define A_UP_OBQ_1_SHADOW_WRADDR 0x2f4
44467#define A_UP_OBQ_1_SHADOW_STATUS 0x2f8
44468#define A_UP_OBQ_1_SHADOW_PKTCNT 0x2fc
44469#define A_UP_OBQ_2_SHADOW_RDADDR 0x300
44470#define A_UP_OBQ_2_SHADOW_WRADDR 0x304
44471#define A_UP_OBQ_2_SHADOW_STATUS 0x308
44472#define A_UP_OBQ_2_SHADOW_PKTCNT 0x30c
44473#define A_UP_OBQ_3_SHADOW_RDADDR 0x310
44474#define A_UP_OBQ_3_SHADOW_WRADDR 0x314
44475#define A_UP_OBQ_3_SHADOW_STATUS 0x318
44476#define A_UP_OBQ_3_SHADOW_PKTCNT 0x31c
44477#define A_UP_OBQ_4_SHADOW_RDADDR 0x320
44478#define A_UP_OBQ_4_SHADOW_WRADDR 0x324
44479#define A_UP_OBQ_4_SHADOW_STATUS 0x328
44480#define A_UP_OBQ_4_SHADOW_PKTCNT 0x32c
44481#define A_UP_OBQ_5_SHADOW_RDADDR 0x330
44482#define A_UP_OBQ_5_SHADOW_WRADDR 0x334
44483#define A_UP_OBQ_5_SHADOW_STATUS 0x338
44484#define A_UP_OBQ_5_SHADOW_PKTCNT 0x33c
44485#define A_UP_OBQ_6_SHADOW_RDADDR 0x340
44486#define A_UP_OBQ_6_SHADOW_WRADDR 0x344
44487#define A_UP_OBQ_6_SHADOW_STATUS 0x348
44488#define A_UP_OBQ_6_SHADOW_PKTCNT 0x34c
44489#define A_UP_OBQ_7_SHADOW_RDADDR 0x350
44490#define A_UP_OBQ_7_SHADOW_WRADDR 0x354
44491#define A_UP_OBQ_7_SHADOW_STATUS 0x358
44492#define A_UP_OBQ_7_SHADOW_PKTCNT 0x35c
44493#define A_UP_IBQ_0_SHADOW_CONFIG 0x360
44494#define A_UP_IBQ_0_SHADOW_REALADDR 0x364
44495#define A_UP_IBQ_1_SHADOW_CONFIG 0x368
44496#define A_UP_IBQ_1_SHADOW_REALADDR 0x36c
44497#define A_UP_IBQ_2_SHADOW_CONFIG 0x370
44498#define A_UP_IBQ_2_SHADOW_REALADDR 0x374
44499#define A_UP_IBQ_3_SHADOW_CONFIG 0x378
44500#define A_UP_IBQ_3_SHADOW_REALADDR 0x37c
44501#define A_UP_IBQ_4_SHADOW_CONFIG 0x380
44502#define A_UP_IBQ_4_SHADOW_REALADDR 0x384
44503#define A_UP_IBQ_5_SHADOW_CONFIG 0x388
44504#define A_UP_IBQ_5_SHADOW_REALADDR 0x38c
44505#define A_UP_OBQ_0_SHADOW_CONFIG 0x390
44506#define A_UP_OBQ_0_SHADOW_REALADDR 0x394
44507#define A_UP_OBQ_1_SHADOW_CONFIG 0x398
44508#define A_UP_OBQ_1_SHADOW_REALADDR 0x39c
44509#define A_UP_OBQ_2_SHADOW_CONFIG 0x3a0
44510#define A_UP_OBQ_2_SHADOW_REALADDR 0x3a4
44511#define A_UP_OBQ_3_SHADOW_CONFIG 0x3a8
44512#define A_UP_OBQ_3_SHADOW_REALADDR 0x3ac
44513#define A_UP_OBQ_4_SHADOW_CONFIG 0x3b0
44514#define A_UP_OBQ_4_SHADOW_REALADDR 0x3b4
44515#define A_UP_OBQ_5_SHADOW_CONFIG 0x3b8
44516#define A_UP_OBQ_5_SHADOW_REALADDR 0x3bc
44517#define A_UP_OBQ_6_SHADOW_CONFIG 0x3c0
44518#define A_UP_OBQ_6_SHADOW_REALADDR 0x3c4
44519#define A_UP_OBQ_7_SHADOW_CONFIG 0x3c8
44520#define A_UP_OBQ_7_SHADOW_REALADDR 0x3cc
44521
44522/* registers for module CIM_CTL */
44523#define CIM_CTL_BASE_ADDR 0x0
44524
44525#define A_CIM_CTL_CONFIG 0x0
44526
44527#define S_AUTOPREFLOC 17
44528#define M_AUTOPREFLOC 0x1fU
44529#define V_AUTOPREFLOC(x) ((x) << S_AUTOPREFLOC)
44530#define G_AUTOPREFLOC(x) (((x) >> S_AUTOPREFLOC) & M_AUTOPREFLOC)
44531
44532#define S_AUTOPREFEN 16
44533#define V_AUTOPREFEN(x) ((x) << S_AUTOPREFEN)
44534#define F_AUTOPREFEN V_AUTOPREFEN(1U)
44535
44536#define S_DISMATIMEOUT 15
44537#define V_DISMATIMEOUT(x) ((x) << S_DISMATIMEOUT)
44538#define F_DISMATIMEOUT V_DISMATIMEOUT(1U)
44539
44540#define S_PIFMULTICMD 8
44541#define V_PIFMULTICMD(x) ((x) << S_PIFMULTICMD)
44542#define F_PIFMULTICMD V_PIFMULTICMD(1U)
44543
44544#define S_UPSELFRESETTOUT 7
44545#define V_UPSELFRESETTOUT(x) ((x) << S_UPSELFRESETTOUT)
44546#define F_UPSELFRESETTOUT V_UPSELFRESETTOUT(1U)
44547
44548#define S_PLSWAPDISWR 6
44549#define V_PLSWAPDISWR(x) ((x) << S_PLSWAPDISWR)
44550#define F_PLSWAPDISWR V_PLSWAPDISWR(1U)
44551
44552#define S_PLSWAPDISRD 5
44553#define V_PLSWAPDISRD(x) ((x) << S_PLSWAPDISRD)
44554#define F_PLSWAPDISRD V_PLSWAPDISRD(1U)
44555
44556#define S_PREFEN 0
44557#define V_PREFEN(x) ((x) << S_PREFEN)
44558#define F_PREFEN V_PREFEN(1U)
44559
44560#define S_DISSLOWTIMEOUT 14
44561#define V_DISSLOWTIMEOUT(x) ((x) << S_DISSLOWTIMEOUT)
44562#define F_DISSLOWTIMEOUT V_DISSLOWTIMEOUT(1U)
44563
44564#define S_INTLRSPEN 9
44565#define V_INTLRSPEN(x) ((x) << S_INTLRSPEN)
44566#define F_INTLRSPEN V_INTLRSPEN(1U)
44567
44568#define A_CIM_CTL_PREFADDR 0x4
44569#define A_CIM_CTL_ALLOCADDR 0x8
44570#define A_CIM_CTL_INVLDTADDR 0xc
44571#define A_CIM_CTL_STATIC_PREFADDR0 0x10
44572#define A_CIM_CTL_STATIC_PREFADDR1 0x14
44573#define A_CIM_CTL_STATIC_PREFADDR2 0x18
44574#define A_CIM_CTL_STATIC_PREFADDR3 0x1c
44575#define A_CIM_CTL_STATIC_PREFADDR4 0x20
44576#define A_CIM_CTL_STATIC_PREFADDR5 0x24
44577#define A_CIM_CTL_STATIC_PREFADDR6 0x28
44578#define A_CIM_CTL_STATIC_PREFADDR7 0x2c
44579#define A_CIM_CTL_STATIC_PREFADDR8 0x30
44580#define A_CIM_CTL_STATIC_PREFADDR9 0x34
44581#define A_CIM_CTL_STATIC_PREFADDR10 0x38
44582#define A_CIM_CTL_STATIC_PREFADDR11 0x3c
44583#define A_CIM_CTL_STATIC_PREFADDR12 0x40
44584#define A_CIM_CTL_STATIC_PREFADDR13 0x44
44585#define A_CIM_CTL_STATIC_PREFADDR14 0x48
44586#define A_CIM_CTL_STATIC_PREFADDR15 0x4c
44587#define A_CIM_CTL_STATIC_ALLOCADDR0 0x50
44588#define A_CIM_CTL_STATIC_ALLOCADDR1 0x54
44589#define A_CIM_CTL_STATIC_ALLOCADDR2 0x58
44590#define A_CIM_CTL_STATIC_ALLOCADDR3 0x5c
44591#define A_CIM_CTL_STATIC_ALLOCADDR4 0x60
44592#define A_CIM_CTL_STATIC_ALLOCADDR5 0x64
44593#define A_CIM_CTL_STATIC_ALLOCADDR6 0x68
44594#define A_CIM_CTL_STATIC_ALLOCADDR7 0x6c
44595#define A_CIM_CTL_STATIC_ALLOCADDR8 0x70
44596#define A_CIM_CTL_STATIC_ALLOCADDR9 0x74
44597#define A_CIM_CTL_STATIC_ALLOCADDR10 0x78
44598#define A_CIM_CTL_STATIC_ALLOCADDR11 0x7c
44599#define A_CIM_CTL_STATIC_ALLOCADDR12 0x80
44600#define A_CIM_CTL_STATIC_ALLOCADDR13 0x84
44601#define A_CIM_CTL_STATIC_ALLOCADDR14 0x88
44602#define A_CIM_CTL_STATIC_ALLOCADDR15 0x8c
44603#define A_CIM_CTL_FIFO_CNT 0x90
44604
44605#define S_CTLFIFOCNT 0
44606#define M_CTLFIFOCNT 0xfU
44607#define V_CTLFIFOCNT(x) ((x) << S_CTLFIFOCNT)
44608#define G_CTLFIFOCNT(x) (((x) >> S_CTLFIFOCNT) & M_CTLFIFOCNT)
44609
44610#define A_CIM_CTL_GLB_TIMER 0x94
44611#define A_CIM_CTL_TIMER0 0x98
44612#define A_CIM_CTL_TIMER1 0x9c
44613#define A_CIM_CTL_GEN0 0xa0
44614#define A_CIM_CTL_GEN1 0xa4
44615#define A_CIM_CTL_GEN2 0xa8
44616#define A_CIM_CTL_GEN3 0xac
44617#define A_CIM_CTL_GLB_TIMER_TICK 0xb0
44618#define A_CIM_CTL_GEN_TIMER0_CTL 0xb4
44619
44620#define S_GENTIMERRUN 7
44621#define V_GENTIMERRUN(x) ((x) << S_GENTIMERRUN)
44622#define F_GENTIMERRUN V_GENTIMERRUN(1U)
44623
44624#define S_GENTIMERTRIG 6
44625#define V_GENTIMERTRIG(x) ((x) << S_GENTIMERTRIG)
44626#define F_GENTIMERTRIG V_GENTIMERTRIG(1U)
44627
44628#define S_GENTIMERACT 4
44629#define M_GENTIMERACT 0x3U
44630#define V_GENTIMERACT(x) ((x) << S_GENTIMERACT)
44631#define G_GENTIMERACT(x) (((x) >> S_GENTIMERACT) & M_GENTIMERACT)
44632
44633#define S_GENTIMERCFG 2
44634#define M_GENTIMERCFG 0x3U
44635#define V_GENTIMERCFG(x) ((x) << S_GENTIMERCFG)
44636#define G_GENTIMERCFG(x) (((x) >> S_GENTIMERCFG) & M_GENTIMERCFG)
44637
44638#define S_GENTIMERSTOP 1
44639#define V_GENTIMERSTOP(x) ((x) << S_GENTIMERSTOP)
44640#define F_GENTIMERSTOP V_GENTIMERSTOP(1U)
44641
44642#define S_GENTIMERSTRT 0
44643#define V_GENTIMERSTRT(x) ((x) << S_GENTIMERSTRT)
44644#define F_GENTIMERSTRT V_GENTIMERSTRT(1U)
44645
44646#define A_CIM_CTL_GEN_TIMER0 0xb8
44647#define A_CIM_CTL_GEN_TIMER1_CTL 0xbc
44648#define A_CIM_CTL_GEN_TIMER1 0xc0
44649#define A_CIM_CTL_GEN_TIMER2_CTL 0xc4
44650#define A_CIM_CTL_GEN_TIMER2 0xc8
44651#define A_CIM_CTL_GEN_TIMER3_CTL 0xcc
44652#define A_CIM_CTL_GEN_TIMER3 0xd0
44653#define A_CIM_CTL_MAILBOX_VF_STATUS 0xe0
44654#define A_CIM_CTL_MAILBOX_VFN_CTL 0x100
44655#define A_CIM_CTL_TSCH_CHNLN_CTL 0x900
44656
44657#define S_TSCHNLEN 31
44658#define V_TSCHNLEN(x) ((x) << S_TSCHNLEN)
44659#define F_TSCHNLEN V_TSCHNLEN(1U)
44660
44661#define S_TSCHNRESET 30
44662#define V_TSCHNRESET(x) ((x) << S_TSCHNRESET)
44663#define F_TSCHNRESET V_TSCHNRESET(1U)
44664
44665#define S_T6_MIN_MAX_EN 29
44666#define V_T6_MIN_MAX_EN(x) ((x) << S_T6_MIN_MAX_EN)
44667#define F_T6_MIN_MAX_EN V_T6_MIN_MAX_EN(1U)
44668
44669#define A_CIM_CTL_TSCH_CHNLN_TICK 0x904
44670
44671#define S_TSCHNLTICK 0
44672#define M_TSCHNLTICK 0xffffU
44673#define V_TSCHNLTICK(x) ((x) << S_TSCHNLTICK)
44674#define G_TSCHNLTICK(x) (((x) >> S_TSCHNLTICK) & M_TSCHNLTICK)
44675
44676#define A_CIM_CTL_TSCH_CHNLN_CLASS_RATECTL 0x904
44677
44678#define S_TSC15RATECTL 15
44679#define V_TSC15RATECTL(x) ((x) << S_TSC15RATECTL)
44680#define F_TSC15RATECTL V_TSC15RATECTL(1U)
44681
44682#define S_TSC14RATECTL 14
44683#define V_TSC14RATECTL(x) ((x) << S_TSC14RATECTL)
44684#define F_TSC14RATECTL V_TSC14RATECTL(1U)
44685
44686#define S_TSC13RATECTL 13
44687#define V_TSC13RATECTL(x) ((x) << S_TSC13RATECTL)
44688#define F_TSC13RATECTL V_TSC13RATECTL(1U)
44689
44690#define S_TSC12RATECTL 12
44691#define V_TSC12RATECTL(x) ((x) << S_TSC12RATECTL)
44692#define F_TSC12RATECTL V_TSC12RATECTL(1U)
44693
44694#define S_TSC11RATECTL 11
44695#define V_TSC11RATECTL(x) ((x) << S_TSC11RATECTL)
44696#define F_TSC11RATECTL V_TSC11RATECTL(1U)
44697
44698#define S_TSC10RATECTL 10
44699#define V_TSC10RATECTL(x) ((x) << S_TSC10RATECTL)
44700#define F_TSC10RATECTL V_TSC10RATECTL(1U)
44701
44702#define S_TSC9RATECTL 9
44703#define V_TSC9RATECTL(x) ((x) << S_TSC9RATECTL)
44704#define F_TSC9RATECTL V_TSC9RATECTL(1U)
44705
44706#define S_TSC8RATECTL 8
44707#define V_TSC8RATECTL(x) ((x) << S_TSC8RATECTL)
44708#define F_TSC8RATECTL V_TSC8RATECTL(1U)
44709
44710#define S_TSC7RATECTL 7
44711#define V_TSC7RATECTL(x) ((x) << S_TSC7RATECTL)
44712#define F_TSC7RATECTL V_TSC7RATECTL(1U)
44713
44714#define S_TSC6RATECTL 6
44715#define V_TSC6RATECTL(x) ((x) << S_TSC6RATECTL)
44716#define F_TSC6RATECTL V_TSC6RATECTL(1U)
44717
44718#define S_TSC5RATECTL 5
44719#define V_TSC5RATECTL(x) ((x) << S_TSC5RATECTL)
44720#define F_TSC5RATECTL V_TSC5RATECTL(1U)
44721
44722#define S_TSC4RATECTL 4
44723#define V_TSC4RATECTL(x) ((x) << S_TSC4RATECTL)
44724#define F_TSC4RATECTL V_TSC4RATECTL(1U)
44725
44726#define S_TSC3RATECTL 3
44727#define V_TSC3RATECTL(x) ((x) << S_TSC3RATECTL)
44728#define F_TSC3RATECTL V_TSC3RATECTL(1U)
44729
44730#define S_TSC2RATECTL 2
44731#define V_TSC2RATECTL(x) ((x) << S_TSC2RATECTL)
44732#define F_TSC2RATECTL V_TSC2RATECTL(1U)
44733
44734#define S_TSC1RATECTL 1
44735#define V_TSC1RATECTL(x) ((x) << S_TSC1RATECTL)
44736#define F_TSC1RATECTL V_TSC1RATECTL(1U)
44737
44738#define S_TSC0RATECTL 0
44739#define V_TSC0RATECTL(x) ((x) << S_TSC0RATECTL)
44740#define F_TSC0RATECTL V_TSC0RATECTL(1U)
44741
44742#define A_CIM_CTL_TSCH_CHNLN_CLASS_ENABLE_A 0x908
44743
44744#define S_TSC15WRREN 31
44745#define V_TSC15WRREN(x) ((x) << S_TSC15WRREN)
44746#define F_TSC15WRREN V_TSC15WRREN(1U)
44747
44748#define S_TSC15RATEEN 30
44749#define V_TSC15RATEEN(x) ((x) << S_TSC15RATEEN)
44750#define F_TSC15RATEEN V_TSC15RATEEN(1U)
44751
44752#define S_TSC14WRREN 29
44753#define V_TSC14WRREN(x) ((x) << S_TSC14WRREN)
44754#define F_TSC14WRREN V_TSC14WRREN(1U)
44755
44756#define S_TSC14RATEEN 28
44757#define V_TSC14RATEEN(x) ((x) << S_TSC14RATEEN)
44758#define F_TSC14RATEEN V_TSC14RATEEN(1U)
44759
44760#define S_TSC13WRREN 27
44761#define V_TSC13WRREN(x) ((x) << S_TSC13WRREN)
44762#define F_TSC13WRREN V_TSC13WRREN(1U)
44763
44764#define S_TSC13RATEEN 26
44765#define V_TSC13RATEEN(x) ((x) << S_TSC13RATEEN)
44766#define F_TSC13RATEEN V_TSC13RATEEN(1U)
44767
44768#define S_TSC12WRREN 25
44769#define V_TSC12WRREN(x) ((x) << S_TSC12WRREN)
44770#define F_TSC12WRREN V_TSC12WRREN(1U)
44771
44772#define S_TSC12RATEEN 24
44773#define V_TSC12RATEEN(x) ((x) << S_TSC12RATEEN)
44774#define F_TSC12RATEEN V_TSC12RATEEN(1U)
44775
44776#define S_TSC11WRREN 23
44777#define V_TSC11WRREN(x) ((x) << S_TSC11WRREN)
44778#define F_TSC11WRREN V_TSC11WRREN(1U)
44779
44780#define S_TSC11RATEEN 22
44781#define V_TSC11RATEEN(x) ((x) << S_TSC11RATEEN)
44782#define F_TSC11RATEEN V_TSC11RATEEN(1U)
44783
44784#define S_TSC10WRREN 21
44785#define V_TSC10WRREN(x) ((x) << S_TSC10WRREN)
44786#define F_TSC10WRREN V_TSC10WRREN(1U)
44787
44788#define S_TSC10RATEEN 20
44789#define V_TSC10RATEEN(x) ((x) << S_TSC10RATEEN)
44790#define F_TSC10RATEEN V_TSC10RATEEN(1U)
44791
44792#define S_TSC9WRREN 19
44793#define V_TSC9WRREN(x) ((x) << S_TSC9WRREN)
44794#define F_TSC9WRREN V_TSC9WRREN(1U)
44795
44796#define S_TSC9RATEEN 18
44797#define V_TSC9RATEEN(x) ((x) << S_TSC9RATEEN)
44798#define F_TSC9RATEEN V_TSC9RATEEN(1U)
44799
44800#define S_TSC8WRREN 17
44801#define V_TSC8WRREN(x) ((x) << S_TSC8WRREN)
44802#define F_TSC8WRREN V_TSC8WRREN(1U)
44803
44804#define S_TSC8RATEEN 16
44805#define V_TSC8RATEEN(x) ((x) << S_TSC8RATEEN)
44806#define F_TSC8RATEEN V_TSC8RATEEN(1U)
44807
44808#define S_TSC7WRREN 15
44809#define V_TSC7WRREN(x) ((x) << S_TSC7WRREN)
44810#define F_TSC7WRREN V_TSC7WRREN(1U)
44811
44812#define S_TSC7RATEEN 14
44813#define V_TSC7RATEEN(x) ((x) << S_TSC7RATEEN)
44814#define F_TSC7RATEEN V_TSC7RATEEN(1U)
44815
44816#define S_TSC6WRREN 13
44817#define V_TSC6WRREN(x) ((x) << S_TSC6WRREN)
44818#define F_TSC6WRREN V_TSC6WRREN(1U)
44819
44820#define S_TSC6RATEEN 12
44821#define V_TSC6RATEEN(x) ((x) << S_TSC6RATEEN)
44822#define F_TSC6RATEEN V_TSC6RATEEN(1U)
44823
44824#define S_TSC5WRREN 11
44825#define V_TSC5WRREN(x) ((x) << S_TSC5WRREN)
44826#define F_TSC5WRREN V_TSC5WRREN(1U)
44827
44828#define S_TSC5RATEEN 10
44829#define V_TSC5RATEEN(x) ((x) << S_TSC5RATEEN)
44830#define F_TSC5RATEEN V_TSC5RATEEN(1U)
44831
44832#define S_TSC4WRREN 9
44833#define V_TSC4WRREN(x) ((x) << S_TSC4WRREN)
44834#define F_TSC4WRREN V_TSC4WRREN(1U)
44835
44836#define S_TSC4RATEEN 8
44837#define V_TSC4RATEEN(x) ((x) << S_TSC4RATEEN)
44838#define F_TSC4RATEEN V_TSC4RATEEN(1U)
44839
44840#define S_TSC3WRREN 7
44841#define V_TSC3WRREN(x) ((x) << S_TSC3WRREN)
44842#define F_TSC3WRREN V_TSC3WRREN(1U)
44843
44844#define S_TSC3RATEEN 6
44845#define V_TSC3RATEEN(x) ((x) << S_TSC3RATEEN)
44846#define F_TSC3RATEEN V_TSC3RATEEN(1U)
44847
44848#define S_TSC2WRREN 5
44849#define V_TSC2WRREN(x) ((x) << S_TSC2WRREN)
44850#define F_TSC2WRREN V_TSC2WRREN(1U)
44851
44852#define S_TSC2RATEEN 4
44853#define V_TSC2RATEEN(x) ((x) << S_TSC2RATEEN)
44854#define F_TSC2RATEEN V_TSC2RATEEN(1U)
44855
44856#define S_TSC1WRREN 3
44857#define V_TSC1WRREN(x) ((x) << S_TSC1WRREN)
44858#define F_TSC1WRREN V_TSC1WRREN(1U)
44859
44860#define S_TSC1RATEEN 2
44861#define V_TSC1RATEEN(x) ((x) << S_TSC1RATEEN)
44862#define F_TSC1RATEEN V_TSC1RATEEN(1U)
44863
44864#define S_TSC0WRREN 1
44865#define V_TSC0WRREN(x) ((x) << S_TSC0WRREN)
44866#define F_TSC0WRREN V_TSC0WRREN(1U)
44867
44868#define S_TSC0RATEEN 0
44869#define V_TSC0RATEEN(x) ((x) << S_TSC0RATEEN)
44870#define F_TSC0RATEEN V_TSC0RATEEN(1U)
44871
44872#define A_CIM_CTL_TSCH_MIN_MAX_EN 0x90c
44873
44874#define S_MIN_MAX_EN 0
44875#define V_MIN_MAX_EN(x) ((x) << S_MIN_MAX_EN)
44876#define F_MIN_MAX_EN V_MIN_MAX_EN(1U)
44877
44878#define A_CIM_CTL_TSCH_CHNLN_RATE_LIMITER 0x910
44879
44880#define S_TSCHNLRATENEG 31
44881#define V_TSCHNLRATENEG(x) ((x) << S_TSCHNLRATENEG)
44882#define F_TSCHNLRATENEG V_TSCHNLRATENEG(1U)
44883
44884#define S_TSCHNLRATEL 0
44885#define M_TSCHNLRATEL 0x7fffffffU
44886#define V_TSCHNLRATEL(x) ((x) << S_TSCHNLRATEL)
44887#define G_TSCHNLRATEL(x) (((x) >> S_TSCHNLRATEL) & M_TSCHNLRATEL)
44888
44889#define S_TSCHNLRATEPROT 30
44890#define V_TSCHNLRATEPROT(x) ((x) << S_TSCHNLRATEPROT)
44891#define F_TSCHNLRATEPROT V_TSCHNLRATEPROT(1U)
44892
44893#define S_T6_TSCHNLRATEL 0
44894#define M_T6_TSCHNLRATEL 0x3fffffffU
44895#define V_T6_TSCHNLRATEL(x) ((x) << S_T6_TSCHNLRATEL)
44896#define G_T6_TSCHNLRATEL(x) (((x) >> S_T6_TSCHNLRATEL) & M_T6_TSCHNLRATEL)
44897
44898#define A_CIM_CTL_TSCH_CHNLN_RATE_PROPERTIES 0x914
44899
44900#define S_TSCHNLRMAX 16
44901#define M_TSCHNLRMAX 0xffffU
44902#define V_TSCHNLRMAX(x) ((x) << S_TSCHNLRMAX)
44903#define G_TSCHNLRMAX(x) (((x) >> S_TSCHNLRMAX) & M_TSCHNLRMAX)
44904
44905#define S_TSCHNLRINCR 0
44906#define M_TSCHNLRINCR 0xffffU
44907#define V_TSCHNLRINCR(x) ((x) << S_TSCHNLRINCR)
44908#define G_TSCHNLRINCR(x) (((x) >> S_TSCHNLRINCR) & M_TSCHNLRINCR)
44909
44910#define S_TSCHNLRTSEL 14
44911#define M_TSCHNLRTSEL 0x3U
44912#define V_TSCHNLRTSEL(x) ((x) << S_TSCHNLRTSEL)
44913#define G_TSCHNLRTSEL(x) (((x) >> S_TSCHNLRTSEL) & M_TSCHNLRTSEL)
44914
44915#define S_T6_TSCHNLRINCR 0
44916#define M_T6_TSCHNLRINCR 0x3fffU
44917#define V_T6_TSCHNLRINCR(x) ((x) << S_T6_TSCHNLRINCR)
44918#define G_T6_TSCHNLRINCR(x) (((x) >> S_T6_TSCHNLRINCR) & M_T6_TSCHNLRINCR)
44919
44920#define A_CIM_CTL_TSCH_CHNLN_WRR 0x918
44921#define A_CIM_CTL_TSCH_CHNLN_WEIGHT 0x91c
44922
44923#define S_TSCHNLWEIGHT 0
44924#define M_TSCHNLWEIGHT 0x3fffffU
44925#define V_TSCHNLWEIGHT(x) ((x) << S_TSCHNLWEIGHT)
44926#define G_TSCHNLWEIGHT(x) (((x) >> S_TSCHNLWEIGHT) & M_TSCHNLWEIGHT)
44927
44928#define A_CIM_CTL_TSCH_CHNLN_CLASSM_RATE_LIMITER 0x920
44929
44930#define S_TSCCLRATENEG 31
44931#define V_TSCCLRATENEG(x) ((x) << S_TSCCLRATENEG)
44932#define F_TSCCLRATENEG V_TSCCLRATENEG(1U)
44933
44934#define S_TSCCLRATEL 0
44935#define M_TSCCLRATEL 0xffffffU
44936#define V_TSCCLRATEL(x) ((x) << S_TSCCLRATEL)
44937#define G_TSCCLRATEL(x) (((x) >> S_TSCCLRATEL) & M_TSCCLRATEL)
44938
44939#define S_TSCCLRATEPROT 30
44940#define V_TSCCLRATEPROT(x) ((x) << S_TSCCLRATEPROT)
44941#define F_TSCCLRATEPROT V_TSCCLRATEPROT(1U)
44942
44943#define A_CIM_CTL_TSCH_CHNLN_CLASSM_RATE_PROPERTIES 0x924
44944
44945#define S_TSCCLRMAX 16
44946#define M_TSCCLRMAX 0xffffU
44947#define V_TSCCLRMAX(x) ((x) << S_TSCCLRMAX)
44948#define G_TSCCLRMAX(x) (((x) >> S_TSCCLRMAX) & M_TSCCLRMAX)
44949
44950#define S_TSCCLRINCR 0
44951#define M_TSCCLRINCR 0xffffU
44952#define V_TSCCLRINCR(x) ((x) << S_TSCCLRINCR)
44953#define G_TSCCLRINCR(x) (((x) >> S_TSCCLRINCR) & M_TSCCLRINCR)
44954
44955#define S_TSCCLRTSEL 14
44956#define M_TSCCLRTSEL 0x3U
44957#define V_TSCCLRTSEL(x) ((x) << S_TSCCLRTSEL)
44958#define G_TSCCLRTSEL(x) (((x) >> S_TSCCLRTSEL) & M_TSCCLRTSEL)
44959
44960#define S_T6_TSCCLRINCR 0
44961#define M_T6_TSCCLRINCR 0x3fffU
44962#define V_T6_TSCCLRINCR(x) ((x) << S_T6_TSCCLRINCR)
44963#define G_T6_TSCCLRINCR(x) (((x) >> S_T6_TSCCLRINCR) & M_T6_TSCCLRINCR)
44964
44965#define A_CIM_CTL_TSCH_CHNLN_CLASSM_WRR 0x928
44966
44967#define S_TSCCLWRRNEG 31
44968#define V_TSCCLWRRNEG(x) ((x) << S_TSCCLWRRNEG)
44969#define F_TSCCLWRRNEG V_TSCCLWRRNEG(1U)
44970
44971#define S_TSCCLWRR 0
44972#define M_TSCCLWRR 0x3ffffffU
44973#define V_TSCCLWRR(x) ((x) << S_TSCCLWRR)
44974#define G_TSCCLWRR(x) (((x) >> S_TSCCLWRR) & M_TSCCLWRR)
44975
44976#define S_TSCCLWRRPROT 30
44977#define V_TSCCLWRRPROT(x) ((x) << S_TSCCLWRRPROT)
44978#define F_TSCCLWRRPROT V_TSCCLWRRPROT(1U)
44979
44980#define A_CIM_CTL_TSCH_CHNLN_CLASSM_WEIGHT 0x92c
44981
44982#define S_TSCCLWEIGHT 0
44983#define M_TSCCLWEIGHT 0xffffU
44984#define V_TSCCLWEIGHT(x) ((x) << S_TSCCLWEIGHT)
44985#define G_TSCCLWEIGHT(x) (((x) >> S_TSCCLWEIGHT) & M_TSCCLWEIGHT)
44986
44987#define S_PAUSEVECSEL 28
44988#define M_PAUSEVECSEL 0x3U
44989#define V_PAUSEVECSEL(x) ((x) << S_PAUSEVECSEL)
44990#define G_PAUSEVECSEL(x) (((x) >> S_PAUSEVECSEL) & M_PAUSEVECSEL)
44991
44992#define S_MPSPAUSEMASK 20
44993#define M_MPSPAUSEMASK 0xffU
44994#define V_MPSPAUSEMASK(x) ((x) << S_MPSPAUSEMASK)
44995#define G_MPSPAUSEMASK(x) (((x) >> S_MPSPAUSEMASK) & M_MPSPAUSEMASK)
44996
44997#define A_CIM_CTL_TSCH_TICK0 0xd80
44998#define A_CIM_CTL_MAILBOX_PF0_CTL 0xd84
44999#define A_CIM_CTL_TSCH_TICK1 0xd84
45000#define A_CIM_CTL_MAILBOX_PF1_CTL 0xd88
45001#define A_CIM_CTL_TSCH_TICK2 0xd88
45002#define A_CIM_CTL_MAILBOX_PF2_CTL 0xd8c
45003#define A_CIM_CTL_TSCH_TICK3 0xd8c
45004#define A_CIM_CTL_MAILBOX_PF3_CTL 0xd90
45005#define A_T6_CIM_CTL_MAILBOX_PF0_CTL 0xd90
45006#define A_CIM_CTL_MAILBOX_PF4_CTL 0xd94
45007#define A_T6_CIM_CTL_MAILBOX_PF1_CTL 0xd94
45008#define A_CIM_CTL_MAILBOX_PF5_CTL 0xd98
45009#define A_T6_CIM_CTL_MAILBOX_PF2_CTL 0xd98
45010#define A_CIM_CTL_MAILBOX_PF6_CTL 0xd9c
45011#define A_T6_CIM_CTL_MAILBOX_PF3_CTL 0xd9c
45012#define A_CIM_CTL_MAILBOX_PF7_CTL 0xda0
45013#define A_T6_CIM_CTL_MAILBOX_PF4_CTL 0xda0
45014#define A_CIM_CTL_MAILBOX_CTL_OWNER_COPY 0xda4
45015
45016#define S_PF7_OWNER_PL 15
45017#define V_PF7_OWNER_PL(x) ((x) << S_PF7_OWNER_PL)
45018#define F_PF7_OWNER_PL V_PF7_OWNER_PL(1U)
45019
45020#define S_PF6_OWNER_PL 14
45021#define V_PF6_OWNER_PL(x) ((x) << S_PF6_OWNER_PL)
45022#define F_PF6_OWNER_PL V_PF6_OWNER_PL(1U)
45023
45024#define S_PF5_OWNER_PL 13
45025#define V_PF5_OWNER_PL(x) ((x) << S_PF5_OWNER_PL)
45026#define F_PF5_OWNER_PL V_PF5_OWNER_PL(1U)
45027
45028#define S_PF4_OWNER_PL 12
45029#define V_PF4_OWNER_PL(x) ((x) << S_PF4_OWNER_PL)
45030#define F_PF4_OWNER_PL V_PF4_OWNER_PL(1U)
45031
45032#define S_PF3_OWNER_PL 11
45033#define V_PF3_OWNER_PL(x) ((x) << S_PF3_OWNER_PL)
45034#define F_PF3_OWNER_PL V_PF3_OWNER_PL(1U)
45035
45036#define S_PF2_OWNER_PL 10
45037#define V_PF2_OWNER_PL(x) ((x) << S_PF2_OWNER_PL)
45038#define F_PF2_OWNER_PL V_PF2_OWNER_PL(1U)
45039
45040#define S_PF1_OWNER_PL 9
45041#define V_PF1_OWNER_PL(x) ((x) << S_PF1_OWNER_PL)
45042#define F_PF1_OWNER_PL V_PF1_OWNER_PL(1U)
45043
45044#define S_PF0_OWNER_PL 8
45045#define V_PF0_OWNER_PL(x) ((x) << S_PF0_OWNER_PL)
45046#define F_PF0_OWNER_PL V_PF0_OWNER_PL(1U)
45047
45048#define S_PF7_OWNER_UP 7
45049#define V_PF7_OWNER_UP(x) ((x) << S_PF7_OWNER_UP)
45050#define F_PF7_OWNER_UP V_PF7_OWNER_UP(1U)
45051
45052#define S_PF6_OWNER_UP 6
45053#define V_PF6_OWNER_UP(x) ((x) << S_PF6_OWNER_UP)
45054#define F_PF6_OWNER_UP V_PF6_OWNER_UP(1U)
45055
45056#define S_PF5_OWNER_UP 5
45057#define V_PF5_OWNER_UP(x) ((x) << S_PF5_OWNER_UP)
45058#define F_PF5_OWNER_UP V_PF5_OWNER_UP(1U)
45059
45060#define S_PF4_OWNER_UP 4
45061#define V_PF4_OWNER_UP(x) ((x) << S_PF4_OWNER_UP)
45062#define F_PF4_OWNER_UP V_PF4_OWNER_UP(1U)
45063
45064#define S_PF3_OWNER_UP 3
45065#define V_PF3_OWNER_UP(x) ((x) << S_PF3_OWNER_UP)
45066#define F_PF3_OWNER_UP V_PF3_OWNER_UP(1U)
45067
45068#define S_PF2_OWNER_UP 2
45069#define V_PF2_OWNER_UP(x) ((x) << S_PF2_OWNER_UP)
45070#define F_PF2_OWNER_UP V_PF2_OWNER_UP(1U)
45071
45072#define S_PF1_OWNER_UP 1
45073#define V_PF1_OWNER_UP(x) ((x) << S_PF1_OWNER_UP)
45074#define F_PF1_OWNER_UP V_PF1_OWNER_UP(1U)
45075
45076#define S_PF0_OWNER_UP 0
45077#define V_PF0_OWNER_UP(x) ((x) << S_PF0_OWNER_UP)
45078#define F_PF0_OWNER_UP V_PF0_OWNER_UP(1U)
45079
45080#define A_T6_CIM_CTL_MAILBOX_PF5_CTL 0xda4
45081#define A_CIM_CTL_PIO_MST_CONFIG 0xda8
45082
45083#define S_T5_CTLRID 0
45084#define M_T5_CTLRID 0xffU
45085#define V_T5_CTLRID(x) ((x) << S_T5_CTLRID)
45086#define G_T5_CTLRID(x) (((x) >> S_T5_CTLRID) & M_T5_CTLRID)
45087
45088#define A_T6_CIM_CTL_MAILBOX_PF6_CTL 0xda8
45089#define A_T6_CIM_CTL_MAILBOX_PF7_CTL 0xdac
45090#define A_T6_CIM_CTL_MAILBOX_CTL_OWNER_COPY 0xdb0
45091#define A_T6_CIM_CTL_PIO_MST_CONFIG 0xdb4
45092
45093#define S_T6_UPRID 0
45094#define M_T6_UPRID 0x1ffU
45095#define V_T6_UPRID(x) ((x) << S_T6_UPRID)
45096#define G_T6_UPRID(x) (((x) >> S_T6_UPRID) & M_T6_UPRID)
45097
45098#define A_CIM_CTL_ULP_OBQ0_PAUSE_MASK 0xe00
45099#define A_CIM_CTL_ULP_OBQ1_PAUSE_MASK 0xe04
45100#define A_CIM_CTL_ULP_OBQ2_PAUSE_MASK 0xe08
45101#define A_CIM_CTL_ULP_OBQ3_PAUSE_MASK 0xe0c
45102#define A_CIM_CTL_ULP_OBQ_CONFIG 0xe10
45103
45104#define S_CH1_PRIO_EN 1
45105#define V_CH1_PRIO_EN(x) ((x) << S_CH1_PRIO_EN)
45106#define F_CH1_PRIO_EN V_CH1_PRIO_EN(1U)
45107
45108#define S_CH0_PRIO_EN 0
45109#define V_CH0_PRIO_EN(x) ((x) << S_CH0_PRIO_EN)
45110#define F_CH0_PRIO_EN V_CH0_PRIO_EN(1U)
45111
45112#define A_CIM_CTL_PIF_TIMEOUT 0xe40
45113
45114#define S_SLOW_TIMEOUT 16
45115#define M_SLOW_TIMEOUT 0xffffU
45116#define V_SLOW_TIMEOUT(x) ((x) << S_SLOW_TIMEOUT)
45117#define G_SLOW_TIMEOUT(x) (((x) >> S_SLOW_TIMEOUT) & M_SLOW_TIMEOUT)
45118
45119#define S_MA_TIMEOUT 0
45120#define M_MA_TIMEOUT 0xffffU
45121#define V_MA_TIMEOUT(x) ((x) << S_MA_TIMEOUT)
45122#define G_MA_TIMEOUT(x) (((x) >> S_MA_TIMEOUT) & M_MA_TIMEOUT)
45123
45124/* registers for module MAC */
45125#define MAC_BASE_ADDR 0x0
45126
45127#define A_MAC_PORT_CFG 0x800
45128
45129#define S_MAC_CLK_SEL 29
45130#define M_MAC_CLK_SEL 0x7U
45131#define V_MAC_CLK_SEL(x) ((x) << S_MAC_CLK_SEL)
45132#define G_MAC_CLK_SEL(x) (((x) >> S_MAC_CLK_SEL) & M_MAC_CLK_SEL)
45133
45134#define S_SMUXTXSEL 9
45135#define V_SMUXTXSEL(x) ((x) << S_SMUXTXSEL)
45136#define F_SMUXTXSEL V_SMUXTXSEL(1U)
45137
45138#define S_SMUXRXSEL 8
45139#define V_SMUXRXSEL(x) ((x) << S_SMUXRXSEL)
45140#define F_SMUXRXSEL V_SMUXRXSEL(1U)
45141
45142#define S_PORTSPEED 4
45143#define M_PORTSPEED 0x3U
45144#define V_PORTSPEED(x) ((x) << S_PORTSPEED)
45145#define G_PORTSPEED(x) (((x) >> S_PORTSPEED) & M_PORTSPEED)
45146
45147#define S_ENA_ERR_RSP 28
45148#define V_ENA_ERR_RSP(x) ((x) << S_ENA_ERR_RSP)
45149#define F_ENA_ERR_RSP V_ENA_ERR_RSP(1U)
45150
45151#define S_DEBUG_CLR 25
45152#define V_DEBUG_CLR(x) ((x) << S_DEBUG_CLR)
45153#define F_DEBUG_CLR V_DEBUG_CLR(1U)
45154
45155#define S_PLL_SEL 23
45156#define V_PLL_SEL(x) ((x) << S_PLL_SEL)
45157#define F_PLL_SEL V_PLL_SEL(1U)
45158
45159#define S_PORT_MAP 20
45160#define M_PORT_MAP 0x7U
45161#define V_PORT_MAP(x) ((x) << S_PORT_MAP)
45162#define G_PORT_MAP(x) (((x) >> S_PORT_MAP) & M_PORT_MAP)
45163
45164#define S_AEC_PAT_DATA 15
45165#define V_AEC_PAT_DATA(x) ((x) << S_AEC_PAT_DATA)
45166#define F_AEC_PAT_DATA V_AEC_PAT_DATA(1U)
45167
45168#define S_MACCLK_SEL 13
45169#define V_MACCLK_SEL(x) ((x) << S_MACCLK_SEL)
45170#define F_MACCLK_SEL V_MACCLK_SEL(1U)
45171
45172#define S_XGMII_SEL 12
45173#define V_XGMII_SEL(x) ((x) << S_XGMII_SEL)
45174#define F_XGMII_SEL V_XGMII_SEL(1U)
45175
45176#define S_DEBUG_PORT_SEL 10
45177#define M_DEBUG_PORT_SEL 0x3U
45178#define V_DEBUG_PORT_SEL(x) ((x) << S_DEBUG_PORT_SEL)
45179#define G_DEBUG_PORT_SEL(x) (((x) >> S_DEBUG_PORT_SEL) & M_DEBUG_PORT_SEL)
45180
45181#define S_ENABLE_25G 7
45182#define V_ENABLE_25G(x) ((x) << S_ENABLE_25G)
45183#define F_ENABLE_25G V_ENABLE_25G(1U)
45184
45185#define S_ENABLE_50G 6
45186#define V_ENABLE_50G(x) ((x) << S_ENABLE_50G)
45187#define F_ENABLE_50G V_ENABLE_50G(1U)
45188
45189#define S_DEBUG_TX_RX_SEL 1
45190#define V_DEBUG_TX_RX_SEL(x) ((x) << S_DEBUG_TX_RX_SEL)
45191#define F_DEBUG_TX_RX_SEL V_DEBUG_TX_RX_SEL(1U)
45192
45193#define A_MAC_PORT_RESET_CTRL 0x804
45194
45195#define S_TWGDSK_HSSC16B 31
45196#define V_TWGDSK_HSSC16B(x) ((x) << S_TWGDSK_HSSC16B)
45197#define F_TWGDSK_HSSC16B V_TWGDSK_HSSC16B(1U)
45198
45199#define S_EEE_RESET 30
45200#define V_EEE_RESET(x) ((x) << S_EEE_RESET)
45201#define F_EEE_RESET V_EEE_RESET(1U)
45202
45203#define S_PTP_TIMER 29
45204#define V_PTP_TIMER(x) ((x) << S_PTP_TIMER)
45205#define F_PTP_TIMER V_PTP_TIMER(1U)
45206
45207#define S_MTIPREFRESET 28
45208#define V_MTIPREFRESET(x) ((x) << S_MTIPREFRESET)
45209#define F_MTIPREFRESET V_MTIPREFRESET(1U)
45210
45211#define S_MTIPTXFFRESET 27
45212#define V_MTIPTXFFRESET(x) ((x) << S_MTIPTXFFRESET)
45213#define F_MTIPTXFFRESET V_MTIPTXFFRESET(1U)
45214
45215#define S_MTIPRXFFRESET 26
45216#define V_MTIPRXFFRESET(x) ((x) << S_MTIPRXFFRESET)
45217#define F_MTIPRXFFRESET V_MTIPRXFFRESET(1U)
45218
45219#define S_MTIPREGRESET 25
45220#define V_MTIPREGRESET(x) ((x) << S_MTIPREGRESET)
45221#define F_MTIPREGRESET V_MTIPREGRESET(1U)
45222
45223#define S_AEC3RESET 23
45224#define V_AEC3RESET(x) ((x) << S_AEC3RESET)
45225#define F_AEC3RESET V_AEC3RESET(1U)
45226
45227#define S_AEC2RESET 22
45228#define V_AEC2RESET(x) ((x) << S_AEC2RESET)
45229#define F_AEC2RESET V_AEC2RESET(1U)
45230
45231#define S_AEC1RESET 21
45232#define V_AEC1RESET(x) ((x) << S_AEC1RESET)
45233#define F_AEC1RESET V_AEC1RESET(1U)
45234
45235#define S_AEC0RESET 20
45236#define V_AEC0RESET(x) ((x) << S_AEC0RESET)
45237#define F_AEC0RESET V_AEC0RESET(1U)
45238
45239#define S_AET3RESET 19
45240#define V_AET3RESET(x) ((x) << S_AET3RESET)
45241#define F_AET3RESET V_AET3RESET(1U)
45242
45243#define S_AET2RESET 18
45244#define V_AET2RESET(x) ((x) << S_AET2RESET)
45245#define F_AET2RESET V_AET2RESET(1U)
45246
45247#define S_AET1RESET 17
45248#define V_AET1RESET(x) ((x) << S_AET1RESET)
45249#define F_AET1RESET V_AET1RESET(1U)
45250
45251#define S_AET0RESET 16
45252#define V_AET0RESET(x) ((x) << S_AET0RESET)
45253#define F_AET0RESET V_AET0RESET(1U)
45254
45255#define S_TXIF_RESET 12
45256#define V_TXIF_RESET(x) ((x) << S_TXIF_RESET)
45257#define F_TXIF_RESET V_TXIF_RESET(1U)
45258
45259#define S_RXIF_RESET 11
45260#define V_RXIF_RESET(x) ((x) << S_RXIF_RESET)
45261#define F_RXIF_RESET V_RXIF_RESET(1U)
45262
45263#define S_MTIPSD3TXRST 9
45264#define V_MTIPSD3TXRST(x) ((x) << S_MTIPSD3TXRST)
45265#define F_MTIPSD3TXRST V_MTIPSD3TXRST(1U)
45266
45267#define S_MTIPSD2TXRST 8
45268#define V_MTIPSD2TXRST(x) ((x) << S_MTIPSD2TXRST)
45269#define F_MTIPSD2TXRST V_MTIPSD2TXRST(1U)
45270
45271#define S_MTIPSD1TXRST 7
45272#define V_MTIPSD1TXRST(x) ((x) << S_MTIPSD1TXRST)
45273#define F_MTIPSD1TXRST V_MTIPSD1TXRST(1U)
45274
45275#define S_MTIPSD0TXRST 6
45276#define V_MTIPSD0TXRST(x) ((x) << S_MTIPSD0TXRST)
45277#define F_MTIPSD0TXRST V_MTIPSD0TXRST(1U)
45278
45279#define S_MTIPSD3RXRST 5
45280#define V_MTIPSD3RXRST(x) ((x) << S_MTIPSD3RXRST)
45281#define F_MTIPSD3RXRST V_MTIPSD3RXRST(1U)
45282
45283#define S_MTIPSD2RXRST 4
45284#define V_MTIPSD2RXRST(x) ((x) << S_MTIPSD2RXRST)
45285#define F_MTIPSD2RXRST V_MTIPSD2RXRST(1U)
45286
45287#define S_MTIPSD1RXRST 3
45288#define V_MTIPSD1RXRST(x) ((x) << S_MTIPSD1RXRST)
45289#define F_MTIPSD1RXRST V_MTIPSD1RXRST(1U)
45290
45291#define S_MTIPSD0RXRST 1
45292#define V_MTIPSD0RXRST(x) ((x) << S_MTIPSD0RXRST)
45293#define F_MTIPSD0RXRST V_MTIPSD0RXRST(1U)
45294
45295#define S_MAC100G40G_RESET 27
45296#define V_MAC100G40G_RESET(x) ((x) << S_MAC100G40G_RESET)
45297#define F_MAC100G40G_RESET V_MAC100G40G_RESET(1U)
45298
45299#define S_MAC10G1G_RESET 26
45300#define V_MAC10G1G_RESET(x) ((x) << S_MAC10G1G_RESET)
45301#define F_MAC10G1G_RESET V_MAC10G1G_RESET(1U)
45302
45303#define S_PCS1G_RESET 24
45304#define V_PCS1G_RESET(x) ((x) << S_PCS1G_RESET)
45305#define F_PCS1G_RESET V_PCS1G_RESET(1U)
45306
45307#define S_PCS10G_RESET 15
45308#define V_PCS10G_RESET(x) ((x) << S_PCS10G_RESET)
45309#define F_PCS10G_RESET V_PCS10G_RESET(1U)
45310
45311#define S_PCS40G_RESET 14
45312#define V_PCS40G_RESET(x) ((x) << S_PCS40G_RESET)
45313#define F_PCS40G_RESET V_PCS40G_RESET(1U)
45314
45315#define S_PCS100G_RESET 13
45316#define V_PCS100G_RESET(x) ((x) << S_PCS100G_RESET)
45317#define F_PCS100G_RESET V_PCS100G_RESET(1U)
45318
45319#define A_MAC_PORT_LED_CFG 0x808
45320
45321#define S_LED1_CFG1 14
45322#define M_LED1_CFG1 0x3U
45323#define V_LED1_CFG1(x) ((x) << S_LED1_CFG1)
45324#define G_LED1_CFG1(x) (((x) >> S_LED1_CFG1) & M_LED1_CFG1)
45325
45326#define S_LED0_CFG1 12
45327#define M_LED0_CFG1 0x3U
45328#define V_LED0_CFG1(x) ((x) << S_LED0_CFG1)
45329#define G_LED0_CFG1(x) (((x) >> S_LED0_CFG1) & M_LED0_CFG1)
45330
45331#define S_LED1_TLO 11
45332#define V_LED1_TLO(x) ((x) << S_LED1_TLO)
45333#define F_LED1_TLO V_LED1_TLO(1U)
45334
45335#define S_LED1_THI 10
45336#define V_LED1_THI(x) ((x) << S_LED1_THI)
45337#define F_LED1_THI V_LED1_THI(1U)
45338
45339#define S_LED0_TLO 9
45340#define V_LED0_TLO(x) ((x) << S_LED0_TLO)
45341#define F_LED0_TLO V_LED0_TLO(1U)
45342
45343#define S_LED0_THI 8
45344#define V_LED0_THI(x) ((x) << S_LED0_THI)
45345#define F_LED0_THI V_LED0_THI(1U)
45346
45347#define A_MAC_PORT_LED_COUNTHI 0x80c
45348#define A_MAC_PORT_LED_COUNTLO 0x810
45349#define A_MAC_PORT_CFG3 0x814
45350
45351#define S_T5_FPGA_PTP_PORT 26
45352#define M_T5_FPGA_PTP_PORT 0x3U
45353#define V_T5_FPGA_PTP_PORT(x) ((x) << S_T5_FPGA_PTP_PORT)
45354#define G_T5_FPGA_PTP_PORT(x) (((x) >> S_T5_FPGA_PTP_PORT) & M_T5_FPGA_PTP_PORT)
45355
45356#define S_FCSDISCTRL 25
45357#define V_FCSDISCTRL(x) ((x) << S_FCSDISCTRL)
45358#define F_FCSDISCTRL V_FCSDISCTRL(1U)
45359
45360#define S_SIGDETCTRL 24
45361#define V_SIGDETCTRL(x) ((x) << S_SIGDETCTRL)
45362#define F_SIGDETCTRL V_SIGDETCTRL(1U)
45363
45364#define S_TX_LANE 23
45365#define V_TX_LANE(x) ((x) << S_TX_LANE)
45366#define F_TX_LANE V_TX_LANE(1U)
45367
45368#define S_RX_LANE 22
45369#define V_RX_LANE(x) ((x) << S_RX_LANE)
45370#define F_RX_LANE V_RX_LANE(1U)
45371
45372#define S_SE_CLR 21
45373#define V_SE_CLR(x) ((x) << S_SE_CLR)
45374#define F_SE_CLR V_SE_CLR(1U)
45375
45376#define S_AN_ENA 17
45377#define M_AN_ENA 0xfU
45378#define V_AN_ENA(x) ((x) << S_AN_ENA)
45379#define G_AN_ENA(x) (((x) >> S_AN_ENA) & M_AN_ENA)
45380
45381#define S_SD_RX_CLK_ENA 13
45382#define M_SD_RX_CLK_ENA 0xfU
45383#define V_SD_RX_CLK_ENA(x) ((x) << S_SD_RX_CLK_ENA)
45384#define G_SD_RX_CLK_ENA(x) (((x) >> S_SD_RX_CLK_ENA) & M_SD_RX_CLK_ENA)
45385
45386#define S_SD_TX_CLK_ENA 9
45387#define M_SD_TX_CLK_ENA 0xfU
45388#define V_SD_TX_CLK_ENA(x) ((x) << S_SD_TX_CLK_ENA)
45389#define G_SD_TX_CLK_ENA(x) (((x) >> S_SD_TX_CLK_ENA) & M_SD_TX_CLK_ENA)
45390
45391#define S_SGMIISEL 8
45392#define V_SGMIISEL(x) ((x) << S_SGMIISEL)
45393#define F_SGMIISEL V_SGMIISEL(1U)
45394
45395#define S_HSSPLLSEL 4
45396#define M_HSSPLLSEL 0xfU
45397#define V_HSSPLLSEL(x) ((x) << S_HSSPLLSEL)
45398#define G_HSSPLLSEL(x) (((x) >> S_HSSPLLSEL) & M_HSSPLLSEL)
45399
45400#define S_HSSC16C20SEL 0
45401#define M_HSSC16C20SEL 0xfU
45402#define V_HSSC16C20SEL(x) ((x) << S_HSSC16C20SEL)
45403#define G_HSSC16C20SEL(x) (((x) >> S_HSSC16C20SEL) & M_HSSC16C20SEL)
45404
45405#define S_REF_CLK_SEL 30
45406#define M_REF_CLK_SEL 0x3U
45407#define V_REF_CLK_SEL(x) ((x) << S_REF_CLK_SEL)
45408#define G_REF_CLK_SEL(x) (((x) >> S_REF_CLK_SEL) & M_REF_CLK_SEL)
45409
45410#define S_SGMII_SD_SIG_DET 29
45411#define V_SGMII_SD_SIG_DET(x) ((x) << S_SGMII_SD_SIG_DET)
45412#define F_SGMII_SD_SIG_DET V_SGMII_SD_SIG_DET(1U)
45413
45414#define S_SGMII_SGPCS_ENA 28
45415#define V_SGMII_SGPCS_ENA(x) ((x) << S_SGMII_SGPCS_ENA)
45416#define F_SGMII_SGPCS_ENA V_SGMII_SGPCS_ENA(1U)
45417
45418#define S_MAC_FPGA_PTP_PORT 26
45419#define M_MAC_FPGA_PTP_PORT 0x3U
45420#define V_MAC_FPGA_PTP_PORT(x) ((x) << S_MAC_FPGA_PTP_PORT)
45421#define G_MAC_FPGA_PTP_PORT(x) (((x) >> S_MAC_FPGA_PTP_PORT) & M_MAC_FPGA_PTP_PORT)
45422
45423#define A_MAC_PORT_CFG2 0x818
45424
45425#define S_T5_AEC_PMA_TX_READY 4
45426#define M_T5_AEC_PMA_TX_READY 0xfU
45427#define V_T5_AEC_PMA_TX_READY(x) ((x) << S_T5_AEC_PMA_TX_READY)
45428#define G_T5_AEC_PMA_TX_READY(x) (((x) >> S_T5_AEC_PMA_TX_READY) & M_T5_AEC_PMA_TX_READY)
45429
45430#define S_T5_AEC_PMA_RX_READY 0
45431#define M_T5_AEC_PMA_RX_READY 0xfU
45432#define V_T5_AEC_PMA_RX_READY(x) ((x) << S_T5_AEC_PMA_RX_READY)
45433#define G_T5_AEC_PMA_RX_READY(x) (((x) >> S_T5_AEC_PMA_RX_READY) & M_T5_AEC_PMA_RX_READY)
45434
45435#define S_AN_DATA_CTL 19
45436#define V_AN_DATA_CTL(x) ((x) << S_AN_DATA_CTL)
45437#define F_AN_DATA_CTL V_AN_DATA_CTL(1U)
45438
45439#define A_MAC_PORT_PKT_COUNT 0x81c
45440#define A_MAC_PORT_CFG4 0x820
45441
45442#define S_AEC3_RX_WIDTH 14
45443#define M_AEC3_RX_WIDTH 0x3U
45444#define V_AEC3_RX_WIDTH(x) ((x) << S_AEC3_RX_WIDTH)
45445#define G_AEC3_RX_WIDTH(x) (((x) >> S_AEC3_RX_WIDTH) & M_AEC3_RX_WIDTH)
45446
45447#define S_AEC2_RX_WIDTH 12
45448#define M_AEC2_RX_WIDTH 0x3U
45449#define V_AEC2_RX_WIDTH(x) ((x) << S_AEC2_RX_WIDTH)
45450#define G_AEC2_RX_WIDTH(x) (((x) >> S_AEC2_RX_WIDTH) & M_AEC2_RX_WIDTH)
45451
45452#define S_AEC1_RX_WIDTH 10
45453#define M_AEC1_RX_WIDTH 0x3U
45454#define V_AEC1_RX_WIDTH(x) ((x) << S_AEC1_RX_WIDTH)
45455#define G_AEC1_RX_WIDTH(x) (((x) >> S_AEC1_RX_WIDTH) & M_AEC1_RX_WIDTH)
45456
45457#define S_AEC0_RX_WIDTH 8
45458#define M_AEC0_RX_WIDTH 0x3U
45459#define V_AEC0_RX_WIDTH(x) ((x) << S_AEC0_RX_WIDTH)
45460#define G_AEC0_RX_WIDTH(x) (((x) >> S_AEC0_RX_WIDTH) & M_AEC0_RX_WIDTH)
45461
45462#define S_AEC3_TX_WIDTH 6
45463#define M_AEC3_TX_WIDTH 0x3U
45464#define V_AEC3_TX_WIDTH(x) ((x) << S_AEC3_TX_WIDTH)
45465#define G_AEC3_TX_WIDTH(x) (((x) >> S_AEC3_TX_WIDTH) & M_AEC3_TX_WIDTH)
45466
45467#define S_AEC2_TX_WIDTH 4
45468#define M_AEC2_TX_WIDTH 0x3U
45469#define V_AEC2_TX_WIDTH(x) ((x) << S_AEC2_TX_WIDTH)
45470#define G_AEC2_TX_WIDTH(x) (((x) >> S_AEC2_TX_WIDTH) & M_AEC2_TX_WIDTH)
45471
45472#define S_AEC1_TX_WIDTH 2
45473#define M_AEC1_TX_WIDTH 0x3U
45474#define V_AEC1_TX_WIDTH(x) ((x) << S_AEC1_TX_WIDTH)
45475#define G_AEC1_TX_WIDTH(x) (((x) >> S_AEC1_TX_WIDTH) & M_AEC1_TX_WIDTH)
45476
45477#define S_AEC0_TX_WIDTH 0
45478#define M_AEC0_TX_WIDTH 0x3U
45479#define V_AEC0_TX_WIDTH(x) ((x) << S_AEC0_TX_WIDTH)
45480#define G_AEC0_TX_WIDTH(x) (((x) >> S_AEC0_TX_WIDTH) & M_AEC0_TX_WIDTH)
45481
45482#define A_MAC_PORT_MAGIC_MACID_LO 0x824
45483#define A_MAC_PORT_MAGIC_MACID_HI 0x828
45484#define A_MAC_PORT_MTIP_RESET_CTRL 0x82c
45485
45486#define S_AN_RESET_SD_TX_CLK 31
45487#define V_AN_RESET_SD_TX_CLK(x) ((x) << S_AN_RESET_SD_TX_CLK)
45488#define F_AN_RESET_SD_TX_CLK V_AN_RESET_SD_TX_CLK(1U)
45489
45490#define S_AN_RESET_SD_RX_CLK 30
45491#define V_AN_RESET_SD_RX_CLK(x) ((x) << S_AN_RESET_SD_RX_CLK)
45492#define F_AN_RESET_SD_RX_CLK V_AN_RESET_SD_RX_CLK(1U)
45493
45494#define S_SGMII_RESET_TX_CLK 29
45495#define V_SGMII_RESET_TX_CLK(x) ((x) << S_SGMII_RESET_TX_CLK)
45496#define F_SGMII_RESET_TX_CLK V_SGMII_RESET_TX_CLK(1U)
45497
45498#define S_SGMII_RESET_RX_CLK 28
45499#define V_SGMII_RESET_RX_CLK(x) ((x) << S_SGMII_RESET_RX_CLK)
45500#define F_SGMII_RESET_RX_CLK V_SGMII_RESET_RX_CLK(1U)
45501
45502#define S_SGMII_RESET_REF_CLK 27
45503#define V_SGMII_RESET_REF_CLK(x) ((x) << S_SGMII_RESET_REF_CLK)
45504#define F_SGMII_RESET_REF_CLK V_SGMII_RESET_REF_CLK(1U)
45505
45506#define S_PCS10G_RESET_XFI_RXCLK 26
45507#define V_PCS10G_RESET_XFI_RXCLK(x) ((x) << S_PCS10G_RESET_XFI_RXCLK)
45508#define F_PCS10G_RESET_XFI_RXCLK V_PCS10G_RESET_XFI_RXCLK(1U)
45509
45510#define S_PCS10G_RESET_XFI_TXCLK 25
45511#define V_PCS10G_RESET_XFI_TXCLK(x) ((x) << S_PCS10G_RESET_XFI_TXCLK)
45512#define F_PCS10G_RESET_XFI_TXCLK V_PCS10G_RESET_XFI_TXCLK(1U)
45513
45514#define S_PCS10G_RESET_SD_TX_CLK 24
45515#define V_PCS10G_RESET_SD_TX_CLK(x) ((x) << S_PCS10G_RESET_SD_TX_CLK)
45516#define F_PCS10G_RESET_SD_TX_CLK V_PCS10G_RESET_SD_TX_CLK(1U)
45517
45518#define S_PCS10G_RESET_SD_RX_CLK 23
45519#define V_PCS10G_RESET_SD_RX_CLK(x) ((x) << S_PCS10G_RESET_SD_RX_CLK)
45520#define F_PCS10G_RESET_SD_RX_CLK V_PCS10G_RESET_SD_RX_CLK(1U)
45521
45522#define S_PCS40G_RESET_RXCLK 22
45523#define V_PCS40G_RESET_RXCLK(x) ((x) << S_PCS40G_RESET_RXCLK)
45524#define F_PCS40G_RESET_RXCLK V_PCS40G_RESET_RXCLK(1U)
45525
45526#define S_PCS40G_RESET_SD_TX_CLK 21
45527#define V_PCS40G_RESET_SD_TX_CLK(x) ((x) << S_PCS40G_RESET_SD_TX_CLK)
45528#define F_PCS40G_RESET_SD_TX_CLK V_PCS40G_RESET_SD_TX_CLK(1U)
45529
45530#define S_PCS40G_RESET_SD0_RX_CLK 20
45531#define V_PCS40G_RESET_SD0_RX_CLK(x) ((x) << S_PCS40G_RESET_SD0_RX_CLK)
45532#define F_PCS40G_RESET_SD0_RX_CLK V_PCS40G_RESET_SD0_RX_CLK(1U)
45533
45534#define S_PCS40G_RESET_SD1_RX_CLK 19
45535#define V_PCS40G_RESET_SD1_RX_CLK(x) ((x) << S_PCS40G_RESET_SD1_RX_CLK)
45536#define F_PCS40G_RESET_SD1_RX_CLK V_PCS40G_RESET_SD1_RX_CLK(1U)
45537
45538#define S_PCS40G_RESET_SD2_RX_CLK 18
45539#define V_PCS40G_RESET_SD2_RX_CLK(x) ((x) << S_PCS40G_RESET_SD2_RX_CLK)
45540#define F_PCS40G_RESET_SD2_RX_CLK V_PCS40G_RESET_SD2_RX_CLK(1U)
45541
45542#define S_PCS40G_RESET_SD3_RX_CLK 17
45543#define V_PCS40G_RESET_SD3_RX_CLK(x) ((x) << S_PCS40G_RESET_SD3_RX_CLK)
45544#define F_PCS40G_RESET_SD3_RX_CLK V_PCS40G_RESET_SD3_RX_CLK(1U)
45545
45546#define S_PCS100G_RESET_CGMII_RXCLK 16
45547#define V_PCS100G_RESET_CGMII_RXCLK(x) ((x) << S_PCS100G_RESET_CGMII_RXCLK)
45548#define F_PCS100G_RESET_CGMII_RXCLK V_PCS100G_RESET_CGMII_RXCLK(1U)
45549
45550#define S_PCS100G_RESET_CGMII_TXCLK 15
45551#define V_PCS100G_RESET_CGMII_TXCLK(x) ((x) << S_PCS100G_RESET_CGMII_TXCLK)
45552#define F_PCS100G_RESET_CGMII_TXCLK V_PCS100G_RESET_CGMII_TXCLK(1U)
45553
45554#define S_PCS100G_RESET_TX_CLK 14
45555#define V_PCS100G_RESET_TX_CLK(x) ((x) << S_PCS100G_RESET_TX_CLK)
45556#define F_PCS100G_RESET_TX_CLK V_PCS100G_RESET_TX_CLK(1U)
45557
45558#define S_PCS100G_RESET_SD0_RX_CLK 13
45559#define V_PCS100G_RESET_SD0_RX_CLK(x) ((x) << S_PCS100G_RESET_SD0_RX_CLK)
45560#define F_PCS100G_RESET_SD0_RX_CLK V_PCS100G_RESET_SD0_RX_CLK(1U)
45561
45562#define S_PCS100G_RESET_SD1_RX_CLK 12
45563#define V_PCS100G_RESET_SD1_RX_CLK(x) ((x) << S_PCS100G_RESET_SD1_RX_CLK)
45564#define F_PCS100G_RESET_SD1_RX_CLK V_PCS100G_RESET_SD1_RX_CLK(1U)
45565
45566#define S_PCS100G_RESET_SD2_RX_CLK 11
45567#define V_PCS100G_RESET_SD2_RX_CLK(x) ((x) << S_PCS100G_RESET_SD2_RX_CLK)
45568#define F_PCS100G_RESET_SD2_RX_CLK V_PCS100G_RESET_SD2_RX_CLK(1U)
45569
45570#define S_PCS100G_RESET_SD3_RX_CLK 10
45571#define V_PCS100G_RESET_SD3_RX_CLK(x) ((x) << S_PCS100G_RESET_SD3_RX_CLK)
45572#define F_PCS100G_RESET_SD3_RX_CLK V_PCS100G_RESET_SD3_RX_CLK(1U)
45573
45574#define S_MAC40G100G_RESET_TXCLK 9
45575#define V_MAC40G100G_RESET_TXCLK(x) ((x) << S_MAC40G100G_RESET_TXCLK)
45576#define F_MAC40G100G_RESET_TXCLK V_MAC40G100G_RESET_TXCLK(1U)
45577
45578#define S_MAC40G100G_RESET_RXCLK 8
45579#define V_MAC40G100G_RESET_RXCLK(x) ((x) << S_MAC40G100G_RESET_RXCLK)
45580#define F_MAC40G100G_RESET_RXCLK V_MAC40G100G_RESET_RXCLK(1U)
45581
45582#define S_MAC40G100G_RESET_FF_TX_CLK 7
45583#define V_MAC40G100G_RESET_FF_TX_CLK(x) ((x) << S_MAC40G100G_RESET_FF_TX_CLK)
45584#define F_MAC40G100G_RESET_FF_TX_CLK V_MAC40G100G_RESET_FF_TX_CLK(1U)
45585
45586#define S_MAC40G100G_RESET_FF_RX_CLK 6
45587#define V_MAC40G100G_RESET_FF_RX_CLK(x) ((x) << S_MAC40G100G_RESET_FF_RX_CLK)
45588#define F_MAC40G100G_RESET_FF_RX_CLK V_MAC40G100G_RESET_FF_RX_CLK(1U)
45589
45590#define S_MAC40G100G_RESET_TS_CLK 5
45591#define V_MAC40G100G_RESET_TS_CLK(x) ((x) << S_MAC40G100G_RESET_TS_CLK)
45592#define F_MAC40G100G_RESET_TS_CLK V_MAC40G100G_RESET_TS_CLK(1U)
45593
45594#define S_MAC1G10G_RESET_RXCLK 4
45595#define V_MAC1G10G_RESET_RXCLK(x) ((x) << S_MAC1G10G_RESET_RXCLK)
45596#define F_MAC1G10G_RESET_RXCLK V_MAC1G10G_RESET_RXCLK(1U)
45597
45598#define S_MAC1G10G_RESET_TXCLK 3
45599#define V_MAC1G10G_RESET_TXCLK(x) ((x) << S_MAC1G10G_RESET_TXCLK)
45600#define F_MAC1G10G_RESET_TXCLK V_MAC1G10G_RESET_TXCLK(1U)
45601
45602#define S_MAC1G10G_RESET_FF_RX_CLK 2
45603#define V_MAC1G10G_RESET_FF_RX_CLK(x) ((x) << S_MAC1G10G_RESET_FF_RX_CLK)
45604#define F_MAC1G10G_RESET_FF_RX_CLK V_MAC1G10G_RESET_FF_RX_CLK(1U)
45605
45606#define S_MAC1G10G_RESET_FF_TX_CLK 1
45607#define V_MAC1G10G_RESET_FF_TX_CLK(x) ((x) << S_MAC1G10G_RESET_FF_TX_CLK)
45608#define F_MAC1G10G_RESET_FF_TX_CLK V_MAC1G10G_RESET_FF_TX_CLK(1U)
45609
45610#define S_XGMII_CLK_RESET 0
45611#define V_XGMII_CLK_RESET(x) ((x) << S_XGMII_CLK_RESET)
45612#define F_XGMII_CLK_RESET V_XGMII_CLK_RESET(1U)
45613
45614#define A_MAC_PORT_MTIP_GATE_CTRL 0x830
45615
45616#define S_AN_GATE_SD_TX_CLK 31
45617#define V_AN_GATE_SD_TX_CLK(x) ((x) << S_AN_GATE_SD_TX_CLK)
45618#define F_AN_GATE_SD_TX_CLK V_AN_GATE_SD_TX_CLK(1U)
45619
45620#define S_AN_GATE_SD_RX_CLK 30
45621#define V_AN_GATE_SD_RX_CLK(x) ((x) << S_AN_GATE_SD_RX_CLK)
45622#define F_AN_GATE_SD_RX_CLK V_AN_GATE_SD_RX_CLK(1U)
45623
45624#define S_SGMII_GATE_TX_CLK 29
45625#define V_SGMII_GATE_TX_CLK(x) ((x) << S_SGMII_GATE_TX_CLK)
45626#define F_SGMII_GATE_TX_CLK V_SGMII_GATE_TX_CLK(1U)
45627
45628#define S_SGMII_GATE_RX_CLK 28
45629#define V_SGMII_GATE_RX_CLK(x) ((x) << S_SGMII_GATE_RX_CLK)
45630#define F_SGMII_GATE_RX_CLK V_SGMII_GATE_RX_CLK(1U)
45631
45632#define S_SGMII_GATE_REF_CLK 27
45633#define V_SGMII_GATE_REF_CLK(x) ((x) << S_SGMII_GATE_REF_CLK)
45634#define F_SGMII_GATE_REF_CLK V_SGMII_GATE_REF_CLK(1U)
45635
45636#define S_PCS10G_GATE_XFI_RXCLK 26
45637#define V_PCS10G_GATE_XFI_RXCLK(x) ((x) << S_PCS10G_GATE_XFI_RXCLK)
45638#define F_PCS10G_GATE_XFI_RXCLK V_PCS10G_GATE_XFI_RXCLK(1U)
45639
45640#define S_PCS10G_GATE_XFI_TXCLK 25
45641#define V_PCS10G_GATE_XFI_TXCLK(x) ((x) << S_PCS10G_GATE_XFI_TXCLK)
45642#define F_PCS10G_GATE_XFI_TXCLK V_PCS10G_GATE_XFI_TXCLK(1U)
45643
45644#define S_PCS10G_GATE_SD_TX_CLK 24
45645#define V_PCS10G_GATE_SD_TX_CLK(x) ((x) << S_PCS10G_GATE_SD_TX_CLK)
45646#define F_PCS10G_GATE_SD_TX_CLK V_PCS10G_GATE_SD_TX_CLK(1U)
45647
45648#define S_PCS10G_GATE_SD_RX_CLK 23
45649#define V_PCS10G_GATE_SD_RX_CLK(x) ((x) << S_PCS10G_GATE_SD_RX_CLK)
45650#define F_PCS10G_GATE_SD_RX_CLK V_PCS10G_GATE_SD_RX_CLK(1U)
45651
45652#define S_PCS40G_GATE_RXCLK 22
45653#define V_PCS40G_GATE_RXCLK(x) ((x) << S_PCS40G_GATE_RXCLK)
45654#define F_PCS40G_GATE_RXCLK V_PCS40G_GATE_RXCLK(1U)
45655
45656#define S_PCS40G_GATE_SD_TX_CLK 21
45657#define V_PCS40G_GATE_SD_TX_CLK(x) ((x) << S_PCS40G_GATE_SD_TX_CLK)
45658#define F_PCS40G_GATE_SD_TX_CLK V_PCS40G_GATE_SD_TX_CLK(1U)
45659
45660#define S_PCS40G_GATE_SD_RX_CLK 20
45661#define V_PCS40G_GATE_SD_RX_CLK(x) ((x) << S_PCS40G_GATE_SD_RX_CLK)
45662#define F_PCS40G_GATE_SD_RX_CLK V_PCS40G_GATE_SD_RX_CLK(1U)
45663
45664#define S_PCS100G_GATE_CGMII_RXCLK 19
45665#define V_PCS100G_GATE_CGMII_RXCLK(x) ((x) << S_PCS100G_GATE_CGMII_RXCLK)
45666#define F_PCS100G_GATE_CGMII_RXCLK V_PCS100G_GATE_CGMII_RXCLK(1U)
45667
45668#define S_PCS100G_GATE_CGMII_TXCLK 18
45669#define V_PCS100G_GATE_CGMII_TXCLK(x) ((x) << S_PCS100G_GATE_CGMII_TXCLK)
45670#define F_PCS100G_GATE_CGMII_TXCLK V_PCS100G_GATE_CGMII_TXCLK(1U)
45671
45672#define S_PCS100G_GATE_TX_CLK 17
45673#define V_PCS100G_GATE_TX_CLK(x) ((x) << S_PCS100G_GATE_TX_CLK)
45674#define F_PCS100G_GATE_TX_CLK V_PCS100G_GATE_TX_CLK(1U)
45675
45676#define S_PCS100G_GATE_SD_RX_CLK 16
45677#define V_PCS100G_GATE_SD_RX_CLK(x) ((x) << S_PCS100G_GATE_SD_RX_CLK)
45678#define F_PCS100G_GATE_SD_RX_CLK V_PCS100G_GATE_SD_RX_CLK(1U)
45679
45680#define S_MAC40G100G_GATE_TXCLK 15
45681#define V_MAC40G100G_GATE_TXCLK(x) ((x) << S_MAC40G100G_GATE_TXCLK)
45682#define F_MAC40G100G_GATE_TXCLK V_MAC40G100G_GATE_TXCLK(1U)
45683
45684#define S_MAC40G100G_GATE_RXCLK 14
45685#define V_MAC40G100G_GATE_RXCLK(x) ((x) << S_MAC40G100G_GATE_RXCLK)
45686#define F_MAC40G100G_GATE_RXCLK V_MAC40G100G_GATE_RXCLK(1U)
45687
45688#define S_MAC40G100G_GATE_FF_TX_CLK 13
45689#define V_MAC40G100G_GATE_FF_TX_CLK(x) ((x) << S_MAC40G100G_GATE_FF_TX_CLK)
45690#define F_MAC40G100G_GATE_FF_TX_CLK V_MAC40G100G_GATE_FF_TX_CLK(1U)
45691
45692#define S_MAC40G100G_GATE_FF_RX_CLK 12
45693#define V_MAC40G100G_GATE_FF_RX_CLK(x) ((x) << S_MAC40G100G_GATE_FF_RX_CLK)
45694#define F_MAC40G100G_GATE_FF_RX_CLK V_MAC40G100G_GATE_FF_RX_CLK(1U)
45695
45696#define S_MAC40G100G_TS_CLK 11
45697#define V_MAC40G100G_TS_CLK(x) ((x) << S_MAC40G100G_TS_CLK)
45698#define F_MAC40G100G_TS_CLK V_MAC40G100G_TS_CLK(1U)
45699
45700#define S_MAC1G10G_GATE_RXCLK 10
45701#define V_MAC1G10G_GATE_RXCLK(x) ((x) << S_MAC1G10G_GATE_RXCLK)
45702#define F_MAC1G10G_GATE_RXCLK V_MAC1G10G_GATE_RXCLK(1U)
45703
45704#define S_MAC1G10G_GATE_TXCLK 9
45705#define V_MAC1G10G_GATE_TXCLK(x) ((x) << S_MAC1G10G_GATE_TXCLK)
45706#define F_MAC1G10G_GATE_TXCLK V_MAC1G10G_GATE_TXCLK(1U)
45707
45708#define S_MAC1G10G_GATE_FF_RX_CLK 8
45709#define V_MAC1G10G_GATE_FF_RX_CLK(x) ((x) << S_MAC1G10G_GATE_FF_RX_CLK)
45710#define F_MAC1G10G_GATE_FF_RX_CLK V_MAC1G10G_GATE_FF_RX_CLK(1U)
45711
45712#define S_MAC1G10G_GATE_FF_TX_CLK 7
45713#define V_MAC1G10G_GATE_FF_TX_CLK(x) ((x) << S_MAC1G10G_GATE_FF_TX_CLK)
45714#define F_MAC1G10G_GATE_FF_TX_CLK V_MAC1G10G_GATE_FF_TX_CLK(1U)
45715
45716#define S_AEC_RX 6
45717#define V_AEC_RX(x) ((x) << S_AEC_RX)
45718#define F_AEC_RX V_AEC_RX(1U)
45719
45720#define S_AEC_TX 5
45721#define V_AEC_TX(x) ((x) << S_AEC_TX)
45722#define F_AEC_TX V_AEC_TX(1U)
45723
45724#define S_PCS100G_CLK_ENABLE 4
45725#define V_PCS100G_CLK_ENABLE(x) ((x) << S_PCS100G_CLK_ENABLE)
45726#define F_PCS100G_CLK_ENABLE V_PCS100G_CLK_ENABLE(1U)
45727
45728#define S_PCS40G_CLK_ENABLE 3
45729#define V_PCS40G_CLK_ENABLE(x) ((x) << S_PCS40G_CLK_ENABLE)
45730#define F_PCS40G_CLK_ENABLE V_PCS40G_CLK_ENABLE(1U)
45731
45732#define S_PCS10G_CLK_ENABLE 2
45733#define V_PCS10G_CLK_ENABLE(x) ((x) << S_PCS10G_CLK_ENABLE)
45734#define F_PCS10G_CLK_ENABLE V_PCS10G_CLK_ENABLE(1U)
45735
45736#define S_PCS1G_CLK_ENABLE 1
45737#define V_PCS1G_CLK_ENABLE(x) ((x) << S_PCS1G_CLK_ENABLE)
45738#define F_PCS1G_CLK_ENABLE V_PCS1G_CLK_ENABLE(1U)
45739
45740#define S_AN_CLK_ENABLE 0
45741#define V_AN_CLK_ENABLE(x) ((x) << S_AN_CLK_ENABLE)
45742#define F_AN_CLK_ENABLE V_AN_CLK_ENABLE(1U)
45743
45744#define A_MAC_PORT_LINK_STATUS 0x834
45745
45746#define S_AN_DONE 6
45747#define V_AN_DONE(x) ((x) << S_AN_DONE)
45748#define F_AN_DONE V_AN_DONE(1U)
45749
45750#define S_ALIGN_DONE 5
45751#define V_ALIGN_DONE(x) ((x) << S_ALIGN_DONE)
45752#define F_ALIGN_DONE V_ALIGN_DONE(1U)
45753
45754#define S_BLOCK_LOCK 4
45755#define V_BLOCK_LOCK(x) ((x) << S_BLOCK_LOCK)
45756#define F_BLOCK_LOCK V_BLOCK_LOCK(1U)
45757
45758#define S_HI_BER_ST 7
45759#define V_HI_BER_ST(x) ((x) << S_HI_BER_ST)
45760#define F_HI_BER_ST V_HI_BER_ST(1U)
45761
45762#define S_AN_DONE_ST 6
45763#define V_AN_DONE_ST(x) ((x) << S_AN_DONE_ST)
45764#define F_AN_DONE_ST V_AN_DONE_ST(1U)
45765
45766#define A_MAC_PORT_AEC_ADD_CTL_STAT_0 0x838
45767
45768#define S_AEC_SYS_LANE_TYPE_3 11
45769#define V_AEC_SYS_LANE_TYPE_3(x) ((x) << S_AEC_SYS_LANE_TYPE_3)
45770#define F_AEC_SYS_LANE_TYPE_3 V_AEC_SYS_LANE_TYPE_3(1U)
45771
45772#define S_AEC_SYS_LANE_TYPE_2 10
45773#define V_AEC_SYS_LANE_TYPE_2(x) ((x) << S_AEC_SYS_LANE_TYPE_2)
45774#define F_AEC_SYS_LANE_TYPE_2 V_AEC_SYS_LANE_TYPE_2(1U)
45775
45776#define S_AEC_SYS_LANE_TYPE_1 9
45777#define V_AEC_SYS_LANE_TYPE_1(x) ((x) << S_AEC_SYS_LANE_TYPE_1)
45778#define F_AEC_SYS_LANE_TYPE_1 V_AEC_SYS_LANE_TYPE_1(1U)
45779
45780#define S_AEC_SYS_LANE_TYPE_0 8
45781#define V_AEC_SYS_LANE_TYPE_0(x) ((x) << S_AEC_SYS_LANE_TYPE_0)
45782#define F_AEC_SYS_LANE_TYPE_0 V_AEC_SYS_LANE_TYPE_0(1U)
45783
45784#define S_AEC_SYS_LANE_SELECT_3 6
45785#define M_AEC_SYS_LANE_SELECT_3 0x3U
45786#define V_AEC_SYS_LANE_SELECT_3(x) ((x) << S_AEC_SYS_LANE_SELECT_3)
45787#define G_AEC_SYS_LANE_SELECT_3(x) (((x) >> S_AEC_SYS_LANE_SELECT_3) & M_AEC_SYS_LANE_SELECT_3)
45788
45789#define S_AEC_SYS_LANE_SELECT_2 4
45790#define M_AEC_SYS_LANE_SELECT_2 0x3U
45791#define V_AEC_SYS_LANE_SELECT_2(x) ((x) << S_AEC_SYS_LANE_SELECT_2)
45792#define G_AEC_SYS_LANE_SELECT_2(x) (((x) >> S_AEC_SYS_LANE_SELECT_2) & M_AEC_SYS_LANE_SELECT_2)
45793
45794#define S_AEC_SYS_LANE_SELECT_1 2
45795#define M_AEC_SYS_LANE_SELECT_1 0x3U
45796#define V_AEC_SYS_LANE_SELECT_1(x) ((x) << S_AEC_SYS_LANE_SELECT_1)
45797#define G_AEC_SYS_LANE_SELECT_1(x) (((x) >> S_AEC_SYS_LANE_SELECT_1) & M_AEC_SYS_LANE_SELECT_1)
45798
45799#define S_AEC_SYS_LANE_SELECT_O 0
45800#define M_AEC_SYS_LANE_SELECT_O 0x3U
45801#define V_AEC_SYS_LANE_SELECT_O(x) ((x) << S_AEC_SYS_LANE_SELECT_O)
45802#define G_AEC_SYS_LANE_SELECT_O(x) (((x) >> S_AEC_SYS_LANE_SELECT_O) & M_AEC_SYS_LANE_SELECT_O)
45803
45804#define A_MAC_PORT_AEC_ADD_CTL_STAT_1 0x83c
45805
45806#define S_AEC_RX_UNKNOWN_LANE_3 11
45807#define V_AEC_RX_UNKNOWN_LANE_3(x) ((x) << S_AEC_RX_UNKNOWN_LANE_3)
45808#define F_AEC_RX_UNKNOWN_LANE_3 V_AEC_RX_UNKNOWN_LANE_3(1U)
45809
45810#define S_AEC_RX_UNKNOWN_LANE_2 10
45811#define V_AEC_RX_UNKNOWN_LANE_2(x) ((x) << S_AEC_RX_UNKNOWN_LANE_2)
45812#define F_AEC_RX_UNKNOWN_LANE_2 V_AEC_RX_UNKNOWN_LANE_2(1U)
45813
45814#define S_AEC_RX_UNKNOWN_LANE_1 9
45815#define V_AEC_RX_UNKNOWN_LANE_1(x) ((x) << S_AEC_RX_UNKNOWN_LANE_1)
45816#define F_AEC_RX_UNKNOWN_LANE_1 V_AEC_RX_UNKNOWN_LANE_1(1U)
45817
45818#define S_AEC_RX_UNKNOWN_LANE_0 8
45819#define V_AEC_RX_UNKNOWN_LANE_0(x) ((x) << S_AEC_RX_UNKNOWN_LANE_0)
45820#define F_AEC_RX_UNKNOWN_LANE_0 V_AEC_RX_UNKNOWN_LANE_0(1U)
45821
45822#define S_AEC_RX_LANE_ID_3 6
45823#define M_AEC_RX_LANE_ID_3 0x3U
45824#define V_AEC_RX_LANE_ID_3(x) ((x) << S_AEC_RX_LANE_ID_3)
45825#define G_AEC_RX_LANE_ID_3(x) (((x) >> S_AEC_RX_LANE_ID_3) & M_AEC_RX_LANE_ID_3)
45826
45827#define S_AEC_RX_LANE_ID_2 4
45828#define M_AEC_RX_LANE_ID_2 0x3U
45829#define V_AEC_RX_LANE_ID_2(x) ((x) << S_AEC_RX_LANE_ID_2)
45830#define G_AEC_RX_LANE_ID_2(x) (((x) >> S_AEC_RX_LANE_ID_2) & M_AEC_RX_LANE_ID_2)
45831
45832#define S_AEC_RX_LANE_ID_1 2
45833#define M_AEC_RX_LANE_ID_1 0x3U
45834#define V_AEC_RX_LANE_ID_1(x) ((x) << S_AEC_RX_LANE_ID_1)
45835#define G_AEC_RX_LANE_ID_1(x) (((x) >> S_AEC_RX_LANE_ID_1) & M_AEC_RX_LANE_ID_1)
45836
45837#define S_AEC_RX_LANE_ID_O 0
45838#define M_AEC_RX_LANE_ID_O 0x3U
45839#define V_AEC_RX_LANE_ID_O(x) ((x) << S_AEC_RX_LANE_ID_O)
45840#define G_AEC_RX_LANE_ID_O(x) (((x) >> S_AEC_RX_LANE_ID_O) & M_AEC_RX_LANE_ID_O)
45841
45842#define A_MAC_PORT_AEC_XGMII_TIMER_LO_40G 0x840
45843
45844#define S_XGMII_CLK_IN_1MS_LO_40G 0
45845#define M_XGMII_CLK_IN_1MS_LO_40G 0xffffU
45846#define V_XGMII_CLK_IN_1MS_LO_40G(x) ((x) << S_XGMII_CLK_IN_1MS_LO_40G)
45847#define G_XGMII_CLK_IN_1MS_LO_40G(x) (((x) >> S_XGMII_CLK_IN_1MS_LO_40G) & M_XGMII_CLK_IN_1MS_LO_40G)
45848
45849#define A_MAC_PORT_AEC_XGMII_TIMER_HI_40G 0x844
45850
45851#define S_XGMII_CLK_IN_1MS_HI_40G 0
45852#define M_XGMII_CLK_IN_1MS_HI_40G 0xfU
45853#define V_XGMII_CLK_IN_1MS_HI_40G(x) ((x) << S_XGMII_CLK_IN_1MS_HI_40G)
45854#define G_XGMII_CLK_IN_1MS_HI_40G(x) (((x) >> S_XGMII_CLK_IN_1MS_HI_40G) & M_XGMII_CLK_IN_1MS_HI_40G)
45855
45856#define A_MAC_PORT_AEC_XGMII_TIMER_LO_100G 0x848
45857
45858#define S_XGMII_CLK_IN_1MS_LO_100G 0
45859#define M_XGMII_CLK_IN_1MS_LO_100G 0xffffU
45860#define V_XGMII_CLK_IN_1MS_LO_100G(x) ((x) << S_XGMII_CLK_IN_1MS_LO_100G)
45861#define G_XGMII_CLK_IN_1MS_LO_100G(x) (((x) >> S_XGMII_CLK_IN_1MS_LO_100G) & M_XGMII_CLK_IN_1MS_LO_100G)
45862
45863#define A_MAC_PORT_AEC_XGMII_TIMER_HI_100G 0x84c
45864
45865#define S_XGMII_CLK_IN_1MS_HI_100G 0
45866#define M_XGMII_CLK_IN_1MS_HI_100G 0xfU
45867#define V_XGMII_CLK_IN_1MS_HI_100G(x) ((x) << S_XGMII_CLK_IN_1MS_HI_100G)
45868#define G_XGMII_CLK_IN_1MS_HI_100G(x) (((x) >> S_XGMII_CLK_IN_1MS_HI_100G) & M_XGMII_CLK_IN_1MS_HI_100G)
45869
45870#define A_MAC_PORT_AEC_DEBUG_LO_0 0x850
45871
45872#define S_CTL_FSM_CUR_STATE 28
45873#define M_CTL_FSM_CUR_STATE 0x7U
45874#define V_CTL_FSM_CUR_STATE(x) ((x) << S_CTL_FSM_CUR_STATE)
45875#define G_CTL_FSM_CUR_STATE(x) (((x) >> S_CTL_FSM_CUR_STATE) & M_CTL_FSM_CUR_STATE)
45876
45877#define S_CIN_FSM_CUR_STATE 26
45878#define M_CIN_FSM_CUR_STATE 0x3U
45879#define V_CIN_FSM_CUR_STATE(x) ((x) << S_CIN_FSM_CUR_STATE)
45880#define G_CIN_FSM_CUR_STATE(x) (((x) >> S_CIN_FSM_CUR_STATE) & M_CIN_FSM_CUR_STATE)
45881
45882#define S_CRI_FSM_CUR_STATE 23
45883#define M_CRI_FSM_CUR_STATE 0x7U
45884#define V_CRI_FSM_CUR_STATE(x) ((x) << S_CRI_FSM_CUR_STATE)
45885#define G_CRI_FSM_CUR_STATE(x) (((x) >> S_CRI_FSM_CUR_STATE) & M_CRI_FSM_CUR_STATE)
45886
45887#define S_CU_C3_ACK_VALUE 21
45888#define M_CU_C3_ACK_VALUE 0x3U
45889#define V_CU_C3_ACK_VALUE(x) ((x) << S_CU_C3_ACK_VALUE)
45890#define G_CU_C3_ACK_VALUE(x) (((x) >> S_CU_C3_ACK_VALUE) & M_CU_C3_ACK_VALUE)
45891
45892#define S_CU_C2_ACK_VALUE 19
45893#define M_CU_C2_ACK_VALUE 0x3U
45894#define V_CU_C2_ACK_VALUE(x) ((x) << S_CU_C2_ACK_VALUE)
45895#define G_CU_C2_ACK_VALUE(x) (((x) >> S_CU_C2_ACK_VALUE) & M_CU_C2_ACK_VALUE)
45896
45897#define S_CU_C1_ACK_VALUE 17
45898#define M_CU_C1_ACK_VALUE 0x3U
45899#define V_CU_C1_ACK_VALUE(x) ((x) << S_CU_C1_ACK_VALUE)
45900#define G_CU_C1_ACK_VALUE(x) (((x) >> S_CU_C1_ACK_VALUE) & M_CU_C1_ACK_VALUE)
45901
45902#define S_CU_C0_ACK_VALUE 15
45903#define M_CU_C0_ACK_VALUE 0x3U
45904#define V_CU_C0_ACK_VALUE(x) ((x) << S_CU_C0_ACK_VALUE)
45905#define G_CU_C0_ACK_VALUE(x) (((x) >> S_CU_C0_ACK_VALUE) & M_CU_C0_ACK_VALUE)
45906
45907#define S_CX_INIT 13
45908#define V_CX_INIT(x) ((x) << S_CX_INIT)
45909#define F_CX_INIT V_CX_INIT(1U)
45910
45911#define S_CX_PRESET 12
45912#define V_CX_PRESET(x) ((x) << S_CX_PRESET)
45913#define F_CX_PRESET V_CX_PRESET(1U)
45914
45915#define S_CUF_C3_UPDATE 9
45916#define M_CUF_C3_UPDATE 0x3U
45917#define V_CUF_C3_UPDATE(x) ((x) << S_CUF_C3_UPDATE)
45918#define G_CUF_C3_UPDATE(x) (((x) >> S_CUF_C3_UPDATE) & M_CUF_C3_UPDATE)
45919
45920#define S_CUF_C2_UPDATE 7
45921#define M_CUF_C2_UPDATE 0x3U
45922#define V_CUF_C2_UPDATE(x) ((x) << S_CUF_C2_UPDATE)
45923#define G_CUF_C2_UPDATE(x) (((x) >> S_CUF_C2_UPDATE) & M_CUF_C2_UPDATE)
45924
45925#define S_CUF_C1_UPDATE 5
45926#define M_CUF_C1_UPDATE 0x3U
45927#define V_CUF_C1_UPDATE(x) ((x) << S_CUF_C1_UPDATE)
45928#define G_CUF_C1_UPDATE(x) (((x) >> S_CUF_C1_UPDATE) & M_CUF_C1_UPDATE)
45929
45930#define S_CUF_C0_UPDATE 3
45931#define M_CUF_C0_UPDATE 0x3U
45932#define V_CUF_C0_UPDATE(x) ((x) << S_CUF_C0_UPDATE)
45933#define G_CUF_C0_UPDATE(x) (((x) >> S_CUF_C0_UPDATE) & M_CUF_C0_UPDATE)
45934
45935#define S_REG_FPH_ATTR_TXUPDAT_VALID 2
45936#define V_REG_FPH_ATTR_TXUPDAT_VALID(x) ((x) << S_REG_FPH_ATTR_TXUPDAT_VALID)
45937#define F_REG_FPH_ATTR_TXUPDAT_VALID V_REG_FPH_ATTR_TXUPDAT_VALID(1U)
45938
45939#define S_REG_FPH_ATTR_TXSTAT_VALID 1
45940#define V_REG_FPH_ATTR_TXSTAT_VALID(x) ((x) << S_REG_FPH_ATTR_TXSTAT_VALID)
45941#define F_REG_FPH_ATTR_TXSTAT_VALID V_REG_FPH_ATTR_TXSTAT_VALID(1U)
45942
45943#define S_REG_MAN_DEC_REQ 0
45944#define V_REG_MAN_DEC_REQ(x) ((x) << S_REG_MAN_DEC_REQ)
45945#define F_REG_MAN_DEC_REQ V_REG_MAN_DEC_REQ(1U)
45946
45947#define A_MAC_PORT_AEC_DEBUG_HI_0 0x854
45948
45949#define S_FC_LSNA_ 12
45950#define V_FC_LSNA_(x) ((x) << S_FC_LSNA_)
45951#define F_FC_LSNA_ V_FC_LSNA_(1U)
45952
45953#define S_CUF_C0_FSM_DEBUG 9
45954#define M_CUF_C0_FSM_DEBUG 0x7U
45955#define V_CUF_C0_FSM_DEBUG(x) ((x) << S_CUF_C0_FSM_DEBUG)
45956#define G_CUF_C0_FSM_DEBUG(x) (((x) >> S_CUF_C0_FSM_DEBUG) & M_CUF_C0_FSM_DEBUG)
45957
45958#define S_CUF_C1_FSM_DEBUG 6
45959#define M_CUF_C1_FSM_DEBUG 0x7U
45960#define V_CUF_C1_FSM_DEBUG(x) ((x) << S_CUF_C1_FSM_DEBUG)
45961#define G_CUF_C1_FSM_DEBUG(x) (((x) >> S_CUF_C1_FSM_DEBUG) & M_CUF_C1_FSM_DEBUG)
45962
45963#define S_CUF_C2_FSM_DEBUG 3
45964#define M_CUF_C2_FSM_DEBUG 0x7U
45965#define V_CUF_C2_FSM_DEBUG(x) ((x) << S_CUF_C2_FSM_DEBUG)
45966#define G_CUF_C2_FSM_DEBUG(x) (((x) >> S_CUF_C2_FSM_DEBUG) & M_CUF_C2_FSM_DEBUG)
45967
45968#define S_LCK_FSM_CUR_STATE 0
45969#define M_LCK_FSM_CUR_STATE 0x7U
45970#define V_LCK_FSM_CUR_STATE(x) ((x) << S_LCK_FSM_CUR_STATE)
45971#define G_LCK_FSM_CUR_STATE(x) (((x) >> S_LCK_FSM_CUR_STATE) & M_LCK_FSM_CUR_STATE)
45972
45973#define A_MAC_PORT_AEC_DEBUG_LO_1 0x858
45974#define A_MAC_PORT_AEC_DEBUG_HI_1 0x85c
45975#define A_MAC_PORT_AEC_DEBUG_LO_2 0x860
45976#define A_MAC_PORT_AEC_DEBUG_HI_2 0x864
45977#define A_MAC_PORT_AEC_DEBUG_LO_3 0x868
45978#define A_MAC_PORT_AEC_DEBUG_HI_3 0x86c
45979#define A_MAC_PORT_MAC_DEBUG_RO 0x870
45980
45981#define S_MAC40G100G_TX_UNDERFLOW 13
45982#define V_MAC40G100G_TX_UNDERFLOW(x) ((x) << S_MAC40G100G_TX_UNDERFLOW)
45983#define F_MAC40G100G_TX_UNDERFLOW V_MAC40G100G_TX_UNDERFLOW(1U)
45984
45985#define S_MAC1G10G_MAGIC_IND 12
45986#define V_MAC1G10G_MAGIC_IND(x) ((x) << S_MAC1G10G_MAGIC_IND)
45987#define F_MAC1G10G_MAGIC_IND V_MAC1G10G_MAGIC_IND(1U)
45988
45989#define S_MAC1G10G_FF_RX_EMPTY 11
45990#define V_MAC1G10G_FF_RX_EMPTY(x) ((x) << S_MAC1G10G_FF_RX_EMPTY)
45991#define F_MAC1G10G_FF_RX_EMPTY V_MAC1G10G_FF_RX_EMPTY(1U)
45992
45993#define S_MAC1G10G_FF_TX_OVR_ERR 10
45994#define V_MAC1G10G_FF_TX_OVR_ERR(x) ((x) << S_MAC1G10G_FF_TX_OVR_ERR)
45995#define F_MAC1G10G_FF_TX_OVR_ERR V_MAC1G10G_FF_TX_OVR_ERR(1U)
45996
45997#define S_MAC1G10G_IF_MODE_ENA 8
45998#define M_MAC1G10G_IF_MODE_ENA 0x3U
45999#define V_MAC1G10G_IF_MODE_ENA(x) ((x) << S_MAC1G10G_IF_MODE_ENA)
46000#define G_MAC1G10G_IF_MODE_ENA(x) (((x) >> S_MAC1G10G_IF_MODE_ENA) & M_MAC1G10G_IF_MODE_ENA)
46001
46002#define S_MAC1G10G_MII_ENA_10 7
46003#define V_MAC1G10G_MII_ENA_10(x) ((x) << S_MAC1G10G_MII_ENA_10)
46004#define F_MAC1G10G_MII_ENA_10 V_MAC1G10G_MII_ENA_10(1U)
46005
46006#define S_MAC1G10G_PAUSE_ON 6
46007#define V_MAC1G10G_PAUSE_ON(x) ((x) << S_MAC1G10G_PAUSE_ON)
46008#define F_MAC1G10G_PAUSE_ON V_MAC1G10G_PAUSE_ON(1U)
46009
46010#define S_MAC1G10G_PFC_MODE 5
46011#define V_MAC1G10G_PFC_MODE(x) ((x) << S_MAC1G10G_PFC_MODE)
46012#define F_MAC1G10G_PFC_MODE V_MAC1G10G_PFC_MODE(1U)
46013
46014#define S_MAC1G10G_RX_SFD_O 4
46015#define V_MAC1G10G_RX_SFD_O(x) ((x) << S_MAC1G10G_RX_SFD_O)
46016#define F_MAC1G10G_RX_SFD_O V_MAC1G10G_RX_SFD_O(1U)
46017
46018#define S_MAC1G10G_TX_EMPTY 3
46019#define V_MAC1G10G_TX_EMPTY(x) ((x) << S_MAC1G10G_TX_EMPTY)
46020#define F_MAC1G10G_TX_EMPTY V_MAC1G10G_TX_EMPTY(1U)
46021
46022#define S_MAC1G10G_TX_SFD_O 2
46023#define V_MAC1G10G_TX_SFD_O(x) ((x) << S_MAC1G10G_TX_SFD_O)
46024#define F_MAC1G10G_TX_SFD_O V_MAC1G10G_TX_SFD_O(1U)
46025
46026#define S_MAC1G10G_TX_TS_FRM_OUT 1
46027#define V_MAC1G10G_TX_TS_FRM_OUT(x) ((x) << S_MAC1G10G_TX_TS_FRM_OUT)
46028#define F_MAC1G10G_TX_TS_FRM_OUT V_MAC1G10G_TX_TS_FRM_OUT(1U)
46029
46030#define S_MAC1G10G_TX_UNDERFLOW 0
46031#define V_MAC1G10G_TX_UNDERFLOW(x) ((x) << S_MAC1G10G_TX_UNDERFLOW)
46032#define F_MAC1G10G_TX_UNDERFLOW V_MAC1G10G_TX_UNDERFLOW(1U)
46033
46034#define A_MAC_PORT_MAC_CTRL_RW 0x874
46035
46036#define S_MAC40G100G_FF_TX_PFC_XOFF 17
46037#define M_MAC40G100G_FF_TX_PFC_XOFF 0xffU
46038#define V_MAC40G100G_FF_TX_PFC_XOFF(x) ((x) << S_MAC40G100G_FF_TX_PFC_XOFF)
46039#define G_MAC40G100G_FF_TX_PFC_XOFF(x) (((x) >> S_MAC40G100G_FF_TX_PFC_XOFF) & M_MAC40G100G_FF_TX_PFC_XOFF)
46040
46041#define S_MAC40G100G_TX_LOC_FAULT 16
46042#define V_MAC40G100G_TX_LOC_FAULT(x) ((x) << S_MAC40G100G_TX_LOC_FAULT)
46043#define F_MAC40G100G_TX_LOC_FAULT V_MAC40G100G_TX_LOC_FAULT(1U)
46044
46045#define S_MAC40G100G_TX_REM_FAULT 15
46046#define V_MAC40G100G_TX_REM_FAULT(x) ((x) << S_MAC40G100G_TX_REM_FAULT)
46047#define F_MAC40G100G_TX_REM_FAULT V_MAC40G100G_TX_REM_FAULT(1U)
46048
46049#define S_MAC40G_LOOP_BCK 14
46050#define V_MAC40G_LOOP_BCK(x) ((x) << S_MAC40G_LOOP_BCK)
46051#define F_MAC40G_LOOP_BCK V_MAC40G_LOOP_BCK(1U)
46052
46053#define S_MAC1G10G_MAGIC_ENA 13
46054#define V_MAC1G10G_MAGIC_ENA(x) ((x) << S_MAC1G10G_MAGIC_ENA)
46055#define F_MAC1G10G_MAGIC_ENA V_MAC1G10G_MAGIC_ENA(1U)
46056
46057#define S_MAC1G10G_IF_MODE_SET 11
46058#define M_MAC1G10G_IF_MODE_SET 0x3U
46059#define V_MAC1G10G_IF_MODE_SET(x) ((x) << S_MAC1G10G_IF_MODE_SET)
46060#define G_MAC1G10G_IF_MODE_SET(x) (((x) >> S_MAC1G10G_IF_MODE_SET) & M_MAC1G10G_IF_MODE_SET)
46061
46062#define S_MAC1G10G_TX_LOC_FAULT 10
46063#define V_MAC1G10G_TX_LOC_FAULT(x) ((x) << S_MAC1G10G_TX_LOC_FAULT)
46064#define F_MAC1G10G_TX_LOC_FAULT V_MAC1G10G_TX_LOC_FAULT(1U)
46065
46066#define S_MAC1G10G_TX_REM_FAULT 9
46067#define V_MAC1G10G_TX_REM_FAULT(x) ((x) << S_MAC1G10G_TX_REM_FAULT)
46068#define F_MAC1G10G_TX_REM_FAULT V_MAC1G10G_TX_REM_FAULT(1U)
46069
46070#define S_MAC1G10G_XOFF_GEN 1
46071#define M_MAC1G10G_XOFF_GEN 0xffU
46072#define V_MAC1G10G_XOFF_GEN(x) ((x) << S_MAC1G10G_XOFF_GEN)
46073#define G_MAC1G10G_XOFF_GEN(x) (((x) >> S_MAC1G10G_XOFF_GEN) & M_MAC1G10G_XOFF_GEN)
46074
46075#define S_MAC1G_LOOP_BCK 0
46076#define V_MAC1G_LOOP_BCK(x) ((x) << S_MAC1G_LOOP_BCK)
46077#define F_MAC1G_LOOP_BCK V_MAC1G_LOOP_BCK(1U)
46078
46079#define A_MAC_PORT_PCS_DEBUG0_RO 0x878
46080
46081#define S_FPGA_LOCK 26
46082#define M_FPGA_LOCK 0xfU
46083#define V_FPGA_LOCK(x) ((x) << S_FPGA_LOCK)
46084#define G_FPGA_LOCK(x) (((x) >> S_FPGA_LOCK) & M_FPGA_LOCK)
46085
46086#define S_T6_AN_DONE 25
46087#define V_T6_AN_DONE(x) ((x) << S_T6_AN_DONE)
46088#define F_T6_AN_DONE V_T6_AN_DONE(1U)
46089
46090#define S_AN_INT 24
46091#define V_AN_INT(x) ((x) << S_AN_INT)
46092#define F_AN_INT V_AN_INT(1U)
46093
46094#define S_AN_PCS_RX_CLK_ENA 23
46095#define V_AN_PCS_RX_CLK_ENA(x) ((x) << S_AN_PCS_RX_CLK_ENA)
46096#define F_AN_PCS_RX_CLK_ENA V_AN_PCS_RX_CLK_ENA(1U)
46097
46098#define S_AN_PCS_TX_CLK_ENA 22
46099#define V_AN_PCS_TX_CLK_ENA(x) ((x) << S_AN_PCS_TX_CLK_ENA)
46100#define F_AN_PCS_TX_CLK_ENA V_AN_PCS_TX_CLK_ENA(1U)
46101
46102#define S_AN_SELECT 17
46103#define M_AN_SELECT 0x1fU
46104#define V_AN_SELECT(x) ((x) << S_AN_SELECT)
46105#define G_AN_SELECT(x) (((x) >> S_AN_SELECT) & M_AN_SELECT)
46106
46107#define S_AN_PROG 16
46108#define V_AN_PROG(x) ((x) << S_AN_PROG)
46109#define F_AN_PROG V_AN_PROG(1U)
46110
46111#define S_PCS40G_BLOCK_LOCK 12
46112#define M_PCS40G_BLOCK_LOCK 0xfU
46113#define V_PCS40G_BLOCK_LOCK(x) ((x) << S_PCS40G_BLOCK_LOCK)
46114#define G_PCS40G_BLOCK_LOCK(x) (((x) >> S_PCS40G_BLOCK_LOCK) & M_PCS40G_BLOCK_LOCK)
46115
46116#define S_PCS40G_BER_TIMER_DONE 11
46117#define V_PCS40G_BER_TIMER_DONE(x) ((x) << S_PCS40G_BER_TIMER_DONE)
46118#define F_PCS40G_BER_TIMER_DONE V_PCS40G_BER_TIMER_DONE(1U)
46119
46120#define S_PCS10G_FEC_LOCKED 10
46121#define V_PCS10G_FEC_LOCKED(x) ((x) << S_PCS10G_FEC_LOCKED)
46122#define F_PCS10G_FEC_LOCKED V_PCS10G_FEC_LOCKED(1U)
46123
46124#define S_PCS10G_BLOCK_LOCK 9
46125#define V_PCS10G_BLOCK_LOCK(x) ((x) << S_PCS10G_BLOCK_LOCK)
46126#define F_PCS10G_BLOCK_LOCK V_PCS10G_BLOCK_LOCK(1U)
46127
46128#define S_SGMII_GMII_COL 8
46129#define V_SGMII_GMII_COL(x) ((x) << S_SGMII_GMII_COL)
46130#define F_SGMII_GMII_COL V_SGMII_GMII_COL(1U)
46131
46132#define S_SGMII_GMII_CRS 7
46133#define V_SGMII_GMII_CRS(x) ((x) << S_SGMII_GMII_CRS)
46134#define F_SGMII_GMII_CRS V_SGMII_GMII_CRS(1U)
46135
46136#define S_SGMII_SD_LOOPBACK 6
46137#define V_SGMII_SD_LOOPBACK(x) ((x) << S_SGMII_SD_LOOPBACK)
46138#define F_SGMII_SD_LOOPBACK V_SGMII_SD_LOOPBACK(1U)
46139
46140#define S_SGMII_SG_AN_DONE 5
46141#define V_SGMII_SG_AN_DONE(x) ((x) << S_SGMII_SG_AN_DONE)
46142#define F_SGMII_SG_AN_DONE V_SGMII_SG_AN_DONE(1U)
46143
46144#define S_SGMII_SG_HD 4
46145#define V_SGMII_SG_HD(x) ((x) << S_SGMII_SG_HD)
46146#define F_SGMII_SG_HD V_SGMII_SG_HD(1U)
46147
46148#define S_SGMII_SG_PAGE_RX 3
46149#define V_SGMII_SG_PAGE_RX(x) ((x) << S_SGMII_SG_PAGE_RX)
46150#define F_SGMII_SG_PAGE_RX V_SGMII_SG_PAGE_RX(1U)
46151
46152#define S_SGMII_SG_RX_SYNC 2
46153#define V_SGMII_SG_RX_SYNC(x) ((x) << S_SGMII_SG_RX_SYNC)
46154#define F_SGMII_SG_RX_SYNC V_SGMII_SG_RX_SYNC(1U)
46155
46156#define S_SGMII_SG_SPEED 0
46157#define M_SGMII_SG_SPEED 0x3U
46158#define V_SGMII_SG_SPEED(x) ((x) << S_SGMII_SG_SPEED)
46159#define G_SGMII_SG_SPEED(x) (((x) >> S_SGMII_SG_SPEED) & M_SGMII_SG_SPEED)
46160
46161#define A_MAC_PORT_PCS_CTRL_RW 0x87c
46162
46163#define S_TX_LI_FAULT 31
46164#define V_TX_LI_FAULT(x) ((x) << S_TX_LI_FAULT)
46165#define F_TX_LI_FAULT V_TX_LI_FAULT(1U)
46166
46167#define S_T6_PAD 30
46168#define V_T6_PAD(x) ((x) << S_T6_PAD)
46169#define F_T6_PAD V_T6_PAD(1U)
46170
46171#define S_BLK_STB_VAL 22
46172#define M_BLK_STB_VAL 0xffU
46173#define V_BLK_STB_VAL(x) ((x) << S_BLK_STB_VAL)
46174#define G_BLK_STB_VAL(x) (((x) >> S_BLK_STB_VAL) & M_BLK_STB_VAL)
46175
46176#define S_DEBUG_SEL 18
46177#define M_DEBUG_SEL 0xfU
46178#define V_DEBUG_SEL(x) ((x) << S_DEBUG_SEL)
46179#define G_DEBUG_SEL(x) (((x) >> S_DEBUG_SEL) & M_DEBUG_SEL)
46180
46181#define S_SGMII_LOOP 15
46182#define M_SGMII_LOOP 0x7U
46183#define V_SGMII_LOOP(x) ((x) << S_SGMII_LOOP)
46184#define G_SGMII_LOOP(x) (((x) >> S_SGMII_LOOP) & M_SGMII_LOOP)
46185
46186#define S_AN_DIS_TIMER 14
46187#define V_AN_DIS_TIMER(x) ((x) << S_AN_DIS_TIMER)
46188#define F_AN_DIS_TIMER V_AN_DIS_TIMER(1U)
46189
46190#define S_PCS100G_BER_TIMER_SHORT 13
46191#define V_PCS100G_BER_TIMER_SHORT(x) ((x) << S_PCS100G_BER_TIMER_SHORT)
46192#define F_PCS100G_BER_TIMER_SHORT V_PCS100G_BER_TIMER_SHORT(1U)
46193
46194#define S_PCS100G_TX_LANE_THRESH 9
46195#define M_PCS100G_TX_LANE_THRESH 0xfU
46196#define V_PCS100G_TX_LANE_THRESH(x) ((x) << S_PCS100G_TX_LANE_THRESH)
46197#define G_PCS100G_TX_LANE_THRESH(x) (((x) >> S_PCS100G_TX_LANE_THRESH) & M_PCS100G_TX_LANE_THRESH)
46198
46199#define S_PCS100G_VL_INTVL 8
46200#define V_PCS100G_VL_INTVL(x) ((x) << S_PCS100G_VL_INTVL)
46201#define F_PCS100G_VL_INTVL V_PCS100G_VL_INTVL(1U)
46202
46203#define S_SGMII_TX_LANE_CKMULT 4
46204#define M_SGMII_TX_LANE_CKMULT 0x7U
46205#define V_SGMII_TX_LANE_CKMULT(x) ((x) << S_SGMII_TX_LANE_CKMULT)
46206#define G_SGMII_TX_LANE_CKMULT(x) (((x) >> S_SGMII_TX_LANE_CKMULT) & M_SGMII_TX_LANE_CKMULT)
46207
46208#define S_SGMII_TX_LANE_THRESH 0
46209#define M_SGMII_TX_LANE_THRESH 0xfU
46210#define V_SGMII_TX_LANE_THRESH(x) ((x) << S_SGMII_TX_LANE_THRESH)
46211#define G_SGMII_TX_LANE_THRESH(x) (((x) >> S_SGMII_TX_LANE_THRESH) & M_SGMII_TX_LANE_THRESH)
46212
46213#define A_MAC_PORT_PCS_DEBUG1_RO 0x880
46214
46215#define S_PCS100G_ALIGN_LOCK 21
46216#define V_PCS100G_ALIGN_LOCK(x) ((x) << S_PCS100G_ALIGN_LOCK)
46217#define F_PCS100G_ALIGN_LOCK V_PCS100G_ALIGN_LOCK(1U)
46218
46219#define S_PCS100G_BER_TIMER_DONE 20
46220#define V_PCS100G_BER_TIMER_DONE(x) ((x) << S_PCS100G_BER_TIMER_DONE)
46221#define F_PCS100G_BER_TIMER_DONE V_PCS100G_BER_TIMER_DONE(1U)
46222
46223#define S_PCS100G_BLOCK_LOCK 0
46224#define M_PCS100G_BLOCK_LOCK 0xfffffU
46225#define V_PCS100G_BLOCK_LOCK(x) ((x) << S_PCS100G_BLOCK_LOCK)
46226#define G_PCS100G_BLOCK_LOCK(x) (((x) >> S_PCS100G_BLOCK_LOCK) & M_PCS100G_BLOCK_LOCK)
46227
46228#define A_MAC_PORT_PERR_INT_EN_100G 0x884
46229
46230#define S_PERR_RX_FEC100G_DLY 29
46231#define V_PERR_RX_FEC100G_DLY(x) ((x) << S_PERR_RX_FEC100G_DLY)
46232#define F_PERR_RX_FEC100G_DLY V_PERR_RX_FEC100G_DLY(1U)
46233
46234#define S_PERR_RX_FEC100G 28
46235#define V_PERR_RX_FEC100G(x) ((x) << S_PERR_RX_FEC100G)
46236#define F_PERR_RX_FEC100G V_PERR_RX_FEC100G(1U)
46237
46238#define S_PERR_RX3_FEC100G_DK 27
46239#define V_PERR_RX3_FEC100G_DK(x) ((x) << S_PERR_RX3_FEC100G_DK)
46240#define F_PERR_RX3_FEC100G_DK V_PERR_RX3_FEC100G_DK(1U)
46241
46242#define S_PERR_RX2_FEC100G_DK 26
46243#define V_PERR_RX2_FEC100G_DK(x) ((x) << S_PERR_RX2_FEC100G_DK)
46244#define F_PERR_RX2_FEC100G_DK V_PERR_RX2_FEC100G_DK(1U)
46245
46246#define S_PERR_RX1_FEC100G_DK 25
46247#define V_PERR_RX1_FEC100G_DK(x) ((x) << S_PERR_RX1_FEC100G_DK)
46248#define F_PERR_RX1_FEC100G_DK V_PERR_RX1_FEC100G_DK(1U)
46249
46250#define S_PERR_RX0_FEC100G_DK 24
46251#define V_PERR_RX0_FEC100G_DK(x) ((x) << S_PERR_RX0_FEC100G_DK)
46252#define F_PERR_RX0_FEC100G_DK V_PERR_RX0_FEC100G_DK(1U)
46253
46254#define S_PERR_TX3_PCS100G 23
46255#define V_PERR_TX3_PCS100G(x) ((x) << S_PERR_TX3_PCS100G)
46256#define F_PERR_TX3_PCS100G V_PERR_TX3_PCS100G(1U)
46257
46258#define S_PERR_TX2_PCS100G 22
46259#define V_PERR_TX2_PCS100G(x) ((x) << S_PERR_TX2_PCS100G)
46260#define F_PERR_TX2_PCS100G V_PERR_TX2_PCS100G(1U)
46261
46262#define S_PERR_TX1_PCS100G 21
46263#define V_PERR_TX1_PCS100G(x) ((x) << S_PERR_TX1_PCS100G)
46264#define F_PERR_TX1_PCS100G V_PERR_TX1_PCS100G(1U)
46265
46266#define S_PERR_TX0_PCS100G 20
46267#define V_PERR_TX0_PCS100G(x) ((x) << S_PERR_TX0_PCS100G)
46268#define F_PERR_TX0_PCS100G V_PERR_TX0_PCS100G(1U)
46269
46270#define S_PERR_RX19_PCS100G 19
46271#define V_PERR_RX19_PCS100G(x) ((x) << S_PERR_RX19_PCS100G)
46272#define F_PERR_RX19_PCS100G V_PERR_RX19_PCS100G(1U)
46273
46274#define S_PERR_RX18_PCS100G 18
46275#define V_PERR_RX18_PCS100G(x) ((x) << S_PERR_RX18_PCS100G)
46276#define F_PERR_RX18_PCS100G V_PERR_RX18_PCS100G(1U)
46277
46278#define S_PERR_RX17_PCS100G 17
46279#define V_PERR_RX17_PCS100G(x) ((x) << S_PERR_RX17_PCS100G)
46280#define F_PERR_RX17_PCS100G V_PERR_RX17_PCS100G(1U)
46281
46282#define S_PERR_RX16_PCS100G 16
46283#define V_PERR_RX16_PCS100G(x) ((x) << S_PERR_RX16_PCS100G)
46284#define F_PERR_RX16_PCS100G V_PERR_RX16_PCS100G(1U)
46285
46286#define S_PERR_RX15_PCS100G 15
46287#define V_PERR_RX15_PCS100G(x) ((x) << S_PERR_RX15_PCS100G)
46288#define F_PERR_RX15_PCS100G V_PERR_RX15_PCS100G(1U)
46289
46290#define S_PERR_RX14_PCS100G 14
46291#define V_PERR_RX14_PCS100G(x) ((x) << S_PERR_RX14_PCS100G)
46292#define F_PERR_RX14_PCS100G V_PERR_RX14_PCS100G(1U)
46293
46294#define S_PERR_RX13_PCS100G 13
46295#define V_PERR_RX13_PCS100G(x) ((x) << S_PERR_RX13_PCS100G)
46296#define F_PERR_RX13_PCS100G V_PERR_RX13_PCS100G(1U)
46297
46298#define S_PERR_RX12_PCS100G 12
46299#define V_PERR_RX12_PCS100G(x) ((x) << S_PERR_RX12_PCS100G)
46300#define F_PERR_RX12_PCS100G V_PERR_RX12_PCS100G(1U)
46301
46302#define S_PERR_RX11_PCS100G 11
46303#define V_PERR_RX11_PCS100G(x) ((x) << S_PERR_RX11_PCS100G)
46304#define F_PERR_RX11_PCS100G V_PERR_RX11_PCS100G(1U)
46305
46306#define S_PERR_RX10_PCS100G 10
46307#define V_PERR_RX10_PCS100G(x) ((x) << S_PERR_RX10_PCS100G)
46308#define F_PERR_RX10_PCS100G V_PERR_RX10_PCS100G(1U)
46309
46310#define S_PERR_RX9_PCS100G 9
46311#define V_PERR_RX9_PCS100G(x) ((x) << S_PERR_RX9_PCS100G)
46312#define F_PERR_RX9_PCS100G V_PERR_RX9_PCS100G(1U)
46313
46314#define S_PERR_RX8_PCS100G 8
46315#define V_PERR_RX8_PCS100G(x) ((x) << S_PERR_RX8_PCS100G)
46316#define F_PERR_RX8_PCS100G V_PERR_RX8_PCS100G(1U)
46317
46318#define S_PERR_RX7_PCS100G 7
46319#define V_PERR_RX7_PCS100G(x) ((x) << S_PERR_RX7_PCS100G)
46320#define F_PERR_RX7_PCS100G V_PERR_RX7_PCS100G(1U)
46321
46322#define S_PERR_RX6_PCS100G 6
46323#define V_PERR_RX6_PCS100G(x) ((x) << S_PERR_RX6_PCS100G)
46324#define F_PERR_RX6_PCS100G V_PERR_RX6_PCS100G(1U)
46325
46326#define S_PERR_RX5_PCS100G 5
46327#define V_PERR_RX5_PCS100G(x) ((x) << S_PERR_RX5_PCS100G)
46328#define F_PERR_RX5_PCS100G V_PERR_RX5_PCS100G(1U)
46329
46330#define S_PERR_RX4_PCS100G 4
46331#define V_PERR_RX4_PCS100G(x) ((x) << S_PERR_RX4_PCS100G)
46332#define F_PERR_RX4_PCS100G V_PERR_RX4_PCS100G(1U)
46333
46334#define S_PERR_RX3_PCS100G 3
46335#define V_PERR_RX3_PCS100G(x) ((x) << S_PERR_RX3_PCS100G)
46336#define F_PERR_RX3_PCS100G V_PERR_RX3_PCS100G(1U)
46337
46338#define S_PERR_RX2_PCS100G 2
46339#define V_PERR_RX2_PCS100G(x) ((x) << S_PERR_RX2_PCS100G)
46340#define F_PERR_RX2_PCS100G V_PERR_RX2_PCS100G(1U)
46341
46342#define S_PERR_RX1_PCS100G 1
46343#define V_PERR_RX1_PCS100G(x) ((x) << S_PERR_RX1_PCS100G)
46344#define F_PERR_RX1_PCS100G V_PERR_RX1_PCS100G(1U)
46345
46346#define S_PERR_RX0_PCS100G 0
46347#define V_PERR_RX0_PCS100G(x) ((x) << S_PERR_RX0_PCS100G)
46348#define F_PERR_RX0_PCS100G V_PERR_RX0_PCS100G(1U)
46349
46350#define A_MAC_PORT_PERR_INT_CAUSE_100G 0x888
46351#define A_MAC_PORT_PERR_ENABLE_100G 0x88c
46352#define A_MAC_PORT_MAC_STAT_DEBUG 0x890
46353#define A_MAC_PORT_MAC_25G_50G_AM0 0x894
46354#define A_MAC_PORT_MAC_25G_50G_AM1 0x898
46355#define A_MAC_PORT_MAC_25G_50G_AM2 0x89c
46356#define A_MAC_PORT_MAC_25G_50G_AM3 0x8a0
46357#define A_MAC_PORT_MAC_AN_STATE_STATUS 0x8a4
46358#define A_MAC_PORT_EPIO_DATA0 0x8c0
46359#define A_MAC_PORT_EPIO_DATA1 0x8c4
46360#define A_MAC_PORT_EPIO_DATA2 0x8c8
46361#define A_MAC_PORT_EPIO_DATA3 0x8cc
46362#define A_MAC_PORT_EPIO_OP 0x8d0
46363#define A_MAC_PORT_WOL_STATUS 0x8d4
46364#define A_MAC_PORT_INT_EN 0x8d8
46365
46366#define S_TX_TS_AVAIL 29
46367#define V_TX_TS_AVAIL(x) ((x) << S_TX_TS_AVAIL)
46368#define F_TX_TS_AVAIL V_TX_TS_AVAIL(1U)
46369
46370#define S_AN_PAGE_RCVD 2
46371#define V_AN_PAGE_RCVD(x) ((x) << S_AN_PAGE_RCVD)
46372#define F_AN_PAGE_RCVD V_AN_PAGE_RCVD(1U)
46373
46374#define S_PPS 30
46375#define V_PPS(x) ((x) << S_PPS)
46376#define F_PPS V_PPS(1U)
46377
46378#define S_SINGLE_ALARM 28
46379#define V_SINGLE_ALARM(x) ((x) << S_SINGLE_ALARM)
46380#define F_SINGLE_ALARM V_SINGLE_ALARM(1U)
46381
46382#define S_PERIODIC_ALARM 27
46383#define V_PERIODIC_ALARM(x) ((x) << S_PERIODIC_ALARM)
46384#define F_PERIODIC_ALARM V_PERIODIC_ALARM(1U)
46385
46386#define A_MAC_PORT_INT_CAUSE 0x8dc
46387#define A_MAC_PORT_PERR_INT_EN 0x8e0
46388
46389#define S_PERR_PKT_RAM 24
46390#define V_PERR_PKT_RAM(x) ((x) << S_PERR_PKT_RAM)
46391#define F_PERR_PKT_RAM V_PERR_PKT_RAM(1U)
46392
46393#define S_PERR_MASK_RAM 23
46394#define V_PERR_MASK_RAM(x) ((x) << S_PERR_MASK_RAM)
46395#define F_PERR_MASK_RAM V_PERR_MASK_RAM(1U)
46396
46397#define S_PERR_CRC_RAM 22
46398#define V_PERR_CRC_RAM(x) ((x) << S_PERR_CRC_RAM)
46399#define F_PERR_CRC_RAM V_PERR_CRC_RAM(1U)
46400
46401#define S_RX_DFF_SEG0 21
46402#define V_RX_DFF_SEG0(x) ((x) << S_RX_DFF_SEG0)
46403#define F_RX_DFF_SEG0 V_RX_DFF_SEG0(1U)
46404
46405#define S_RX_SFF_SEG0 20
46406#define V_RX_SFF_SEG0(x) ((x) << S_RX_SFF_SEG0)
46407#define F_RX_SFF_SEG0 V_RX_SFF_SEG0(1U)
46408
46409#define S_RX_DFF_MAC10 19
46410#define V_RX_DFF_MAC10(x) ((x) << S_RX_DFF_MAC10)
46411#define F_RX_DFF_MAC10 V_RX_DFF_MAC10(1U)
46412
46413#define S_RX_SFF_MAC10 18
46414#define V_RX_SFF_MAC10(x) ((x) << S_RX_SFF_MAC10)
46415#define F_RX_SFF_MAC10 V_RX_SFF_MAC10(1U)
46416
46417#define S_TX_DFF_SEG0 17
46418#define V_TX_DFF_SEG0(x) ((x) << S_TX_DFF_SEG0)
46419#define F_TX_DFF_SEG0 V_TX_DFF_SEG0(1U)
46420
46421#define S_TX_SFF_SEG0 16
46422#define V_TX_SFF_SEG0(x) ((x) << S_TX_SFF_SEG0)
46423#define F_TX_SFF_SEG0 V_TX_SFF_SEG0(1U)
46424
46425#define S_TX_DFF_MAC10 15
46426#define V_TX_DFF_MAC10(x) ((x) << S_TX_DFF_MAC10)
46427#define F_TX_DFF_MAC10 V_TX_DFF_MAC10(1U)
46428
46429#define S_TX_SFF_MAC10 14
46430#define V_TX_SFF_MAC10(x) ((x) << S_TX_SFF_MAC10)
46431#define F_TX_SFF_MAC10 V_TX_SFF_MAC10(1U)
46432
46433#define S_RX_STATS 13
46434#define V_RX_STATS(x) ((x) << S_RX_STATS)
46435#define F_RX_STATS V_RX_STATS(1U)
46436
46437#define S_TX_STATS 12
46438#define V_TX_STATS(x) ((x) << S_TX_STATS)
46439#define F_TX_STATS V_TX_STATS(1U)
46440
46441#define S_PERR3_RX_MIX 11
46442#define V_PERR3_RX_MIX(x) ((x) << S_PERR3_RX_MIX)
46443#define F_PERR3_RX_MIX V_PERR3_RX_MIX(1U)
46444
46445#define S_PERR3_RX_SD 10
46446#define V_PERR3_RX_SD(x) ((x) << S_PERR3_RX_SD)
46447#define F_PERR3_RX_SD V_PERR3_RX_SD(1U)
46448
46449#define S_PERR3_TX 9
46450#define V_PERR3_TX(x) ((x) << S_PERR3_TX)
46451#define F_PERR3_TX V_PERR3_TX(1U)
46452
46453#define S_PERR2_RX_MIX 8
46454#define V_PERR2_RX_MIX(x) ((x) << S_PERR2_RX_MIX)
46455#define F_PERR2_RX_MIX V_PERR2_RX_MIX(1U)
46456
46457#define S_PERR2_RX_SD 7
46458#define V_PERR2_RX_SD(x) ((x) << S_PERR2_RX_SD)
46459#define F_PERR2_RX_SD V_PERR2_RX_SD(1U)
46460
46461#define S_PERR2_TX 6
46462#define V_PERR2_TX(x) ((x) << S_PERR2_TX)
46463#define F_PERR2_TX V_PERR2_TX(1U)
46464
46465#define S_PERR1_RX_MIX 5
46466#define V_PERR1_RX_MIX(x) ((x) << S_PERR1_RX_MIX)
46467#define F_PERR1_RX_MIX V_PERR1_RX_MIX(1U)
46468
46469#define S_PERR1_RX_SD 4
46470#define V_PERR1_RX_SD(x) ((x) << S_PERR1_RX_SD)
46471#define F_PERR1_RX_SD V_PERR1_RX_SD(1U)
46472
46473#define S_PERR1_TX 3
46474#define V_PERR1_TX(x) ((x) << S_PERR1_TX)
46475#define F_PERR1_TX V_PERR1_TX(1U)
46476
46477#define S_PERR0_RX_MIX 2
46478#define V_PERR0_RX_MIX(x) ((x) << S_PERR0_RX_MIX)
46479#define F_PERR0_RX_MIX V_PERR0_RX_MIX(1U)
46480
46481#define S_PERR0_RX_SD 1
46482#define V_PERR0_RX_SD(x) ((x) << S_PERR0_RX_SD)
46483#define F_PERR0_RX_SD V_PERR0_RX_SD(1U)
46484
46485#define S_PERR0_TX 0
46486#define V_PERR0_TX(x) ((x) << S_PERR0_TX)
46487#define F_PERR0_TX V_PERR0_TX(1U)
46488
46489#define S_T6_PERR_PKT_RAM 31
46490#define V_T6_PERR_PKT_RAM(x) ((x) << S_T6_PERR_PKT_RAM)
46491#define F_T6_PERR_PKT_RAM V_T6_PERR_PKT_RAM(1U)
46492
46493#define S_T6_PERR_MASK_RAM 30
46494#define V_T6_PERR_MASK_RAM(x) ((x) << S_T6_PERR_MASK_RAM)
46495#define F_T6_PERR_MASK_RAM V_T6_PERR_MASK_RAM(1U)
46496
46497#define S_T6_PERR_CRC_RAM 29
46498#define V_T6_PERR_CRC_RAM(x) ((x) << S_T6_PERR_CRC_RAM)
46499#define F_T6_PERR_CRC_RAM V_T6_PERR_CRC_RAM(1U)
46500
46501#define S_RX_MAC40G 28
46502#define V_RX_MAC40G(x) ((x) << S_RX_MAC40G)
46503#define F_RX_MAC40G V_RX_MAC40G(1U)
46504
46505#define S_TX_MAC40G 27
46506#define V_TX_MAC40G(x) ((x) << S_TX_MAC40G)
46507#define F_TX_MAC40G V_TX_MAC40G(1U)
46508
46509#define S_RX_ST_MAC40G 26
46510#define V_RX_ST_MAC40G(x) ((x) << S_RX_ST_MAC40G)
46511#define F_RX_ST_MAC40G V_RX_ST_MAC40G(1U)
46512
46513#define S_TX_ST_MAC40G 25
46514#define V_TX_ST_MAC40G(x) ((x) << S_TX_ST_MAC40G)
46515#define F_TX_ST_MAC40G V_TX_ST_MAC40G(1U)
46516
46517#define S_TX_MAC1G10G 24
46518#define V_TX_MAC1G10G(x) ((x) << S_TX_MAC1G10G)
46519#define F_TX_MAC1G10G V_TX_MAC1G10G(1U)
46520
46521#define S_RX_MAC1G10G 23
46522#define V_RX_MAC1G10G(x) ((x) << S_RX_MAC1G10G)
46523#define F_RX_MAC1G10G V_RX_MAC1G10G(1U)
46524
46525#define S_RX_STATUS_MAC1G10G 22
46526#define V_RX_STATUS_MAC1G10G(x) ((x) << S_RX_STATUS_MAC1G10G)
46527#define F_RX_STATUS_MAC1G10G V_RX_STATUS_MAC1G10G(1U)
46528
46529#define S_RX_ST_MAC1G10G 21
46530#define V_RX_ST_MAC1G10G(x) ((x) << S_RX_ST_MAC1G10G)
46531#define F_RX_ST_MAC1G10G V_RX_ST_MAC1G10G(1U)
46532
46533#define S_TX_ST_MAC1G10G 20
46534#define V_TX_ST_MAC1G10G(x) ((x) << S_TX_ST_MAC1G10G)
46535#define F_TX_ST_MAC1G10G V_TX_ST_MAC1G10G(1U)
46536
46537#define S_PERR_TX0_PCS40G 19
46538#define V_PERR_TX0_PCS40G(x) ((x) << S_PERR_TX0_PCS40G)
46539#define F_PERR_TX0_PCS40G V_PERR_TX0_PCS40G(1U)
46540
46541#define S_PERR_TX1_PCS40G 18
46542#define V_PERR_TX1_PCS40G(x) ((x) << S_PERR_TX1_PCS40G)
46543#define F_PERR_TX1_PCS40G V_PERR_TX1_PCS40G(1U)
46544
46545#define S_PERR_TX2_PCS40G 17
46546#define V_PERR_TX2_PCS40G(x) ((x) << S_PERR_TX2_PCS40G)
46547#define F_PERR_TX2_PCS40G V_PERR_TX2_PCS40G(1U)
46548
46549#define S_PERR_TX3_PCS40G 16
46550#define V_PERR_TX3_PCS40G(x) ((x) << S_PERR_TX3_PCS40G)
46551#define F_PERR_TX3_PCS40G V_PERR_TX3_PCS40G(1U)
46552
46553#define S_PERR_TX0_FEC40G 15
46554#define V_PERR_TX0_FEC40G(x) ((x) << S_PERR_TX0_FEC40G)
46555#define F_PERR_TX0_FEC40G V_PERR_TX0_FEC40G(1U)
46556
46557#define S_PERR_TX1_FEC40G 14
46558#define V_PERR_TX1_FEC40G(x) ((x) << S_PERR_TX1_FEC40G)
46559#define F_PERR_TX1_FEC40G V_PERR_TX1_FEC40G(1U)
46560
46561#define S_PERR_TX2_FEC40G 13
46562#define V_PERR_TX2_FEC40G(x) ((x) << S_PERR_TX2_FEC40G)
46563#define F_PERR_TX2_FEC40G V_PERR_TX2_FEC40G(1U)
46564
46565#define S_PERR_TX3_FEC40G 12
46566#define V_PERR_TX3_FEC40G(x) ((x) << S_PERR_TX3_FEC40G)
46567#define F_PERR_TX3_FEC40G V_PERR_TX3_FEC40G(1U)
46568
46569#define S_PERR_RX0_PCS40G 11
46570#define V_PERR_RX0_PCS40G(x) ((x) << S_PERR_RX0_PCS40G)
46571#define F_PERR_RX0_PCS40G V_PERR_RX0_PCS40G(1U)
46572
46573#define S_PERR_RX1_PCS40G 10
46574#define V_PERR_RX1_PCS40G(x) ((x) << S_PERR_RX1_PCS40G)
46575#define F_PERR_RX1_PCS40G V_PERR_RX1_PCS40G(1U)
46576
46577#define S_PERR_RX2_PCS40G 9
46578#define V_PERR_RX2_PCS40G(x) ((x) << S_PERR_RX2_PCS40G)
46579#define F_PERR_RX2_PCS40G V_PERR_RX2_PCS40G(1U)
46580
46581#define S_PERR_RX3_PCS40G 8
46582#define V_PERR_RX3_PCS40G(x) ((x) << S_PERR_RX3_PCS40G)
46583#define F_PERR_RX3_PCS40G V_PERR_RX3_PCS40G(1U)
46584
46585#define S_PERR_RX0_FEC40G 7
46586#define V_PERR_RX0_FEC40G(x) ((x) << S_PERR_RX0_FEC40G)
46587#define F_PERR_RX0_FEC40G V_PERR_RX0_FEC40G(1U)
46588
46589#define S_PERR_RX1_FEC40G 6
46590#define V_PERR_RX1_FEC40G(x) ((x) << S_PERR_RX1_FEC40G)
46591#define F_PERR_RX1_FEC40G V_PERR_RX1_FEC40G(1U)
46592
46593#define S_PERR_RX2_FEC40G 5
46594#define V_PERR_RX2_FEC40G(x) ((x) << S_PERR_RX2_FEC40G)
46595#define F_PERR_RX2_FEC40G V_PERR_RX2_FEC40G(1U)
46596
46597#define S_PERR_RX3_FEC40G 4
46598#define V_PERR_RX3_FEC40G(x) ((x) << S_PERR_RX3_FEC40G)
46599#define F_PERR_RX3_FEC40G V_PERR_RX3_FEC40G(1U)
46600
46601#define S_PERR_RX_PCS10G_LPBK 3
46602#define V_PERR_RX_PCS10G_LPBK(x) ((x) << S_PERR_RX_PCS10G_LPBK)
46603#define F_PERR_RX_PCS10G_LPBK V_PERR_RX_PCS10G_LPBK(1U)
46604
46605#define S_PERR_RX_PCS10G 2
46606#define V_PERR_RX_PCS10G(x) ((x) << S_PERR_RX_PCS10G)
46607#define F_PERR_RX_PCS10G V_PERR_RX_PCS10G(1U)
46608
46609#define S_PERR_RX_PCS1G 1
46610#define V_PERR_RX_PCS1G(x) ((x) << S_PERR_RX_PCS1G)
46611#define F_PERR_RX_PCS1G V_PERR_RX_PCS1G(1U)
46612
46613#define S_PERR_TX_PCS1G 0
46614#define V_PERR_TX_PCS1G(x) ((x) << S_PERR_TX_PCS1G)
46615#define F_PERR_TX_PCS1G V_PERR_TX_PCS1G(1U)
46616
46617#define A_MAC_PORT_PERR_INT_CAUSE 0x8e4
46618
46619#define S_T6_PERR_PKT_RAM 31
46620#define V_T6_PERR_PKT_RAM(x) ((x) << S_T6_PERR_PKT_RAM)
46621#define F_T6_PERR_PKT_RAM V_T6_PERR_PKT_RAM(1U)
46622
46623#define S_T6_PERR_MASK_RAM 30
46624#define V_T6_PERR_MASK_RAM(x) ((x) << S_T6_PERR_MASK_RAM)
46625#define F_T6_PERR_MASK_RAM V_T6_PERR_MASK_RAM(1U)
46626
46627#define S_T6_PERR_CRC_RAM 29
46628#define V_T6_PERR_CRC_RAM(x) ((x) << S_T6_PERR_CRC_RAM)
46629#define F_T6_PERR_CRC_RAM V_T6_PERR_CRC_RAM(1U)
46630
46631#define A_MAC_PORT_PERR_ENABLE 0x8e8
46632
46633#define S_T6_PERR_PKT_RAM 31
46634#define V_T6_PERR_PKT_RAM(x) ((x) << S_T6_PERR_PKT_RAM)
46635#define F_T6_PERR_PKT_RAM V_T6_PERR_PKT_RAM(1U)
46636
46637#define S_T6_PERR_MASK_RAM 30
46638#define V_T6_PERR_MASK_RAM(x) ((x) << S_T6_PERR_MASK_RAM)
46639#define F_T6_PERR_MASK_RAM V_T6_PERR_MASK_RAM(1U)
46640
46641#define S_T6_PERR_CRC_RAM 29
46642#define V_T6_PERR_CRC_RAM(x) ((x) << S_T6_PERR_CRC_RAM)
46643#define F_T6_PERR_CRC_RAM V_T6_PERR_CRC_RAM(1U)
46644
46645#define A_MAC_PORT_PERR_INJECT 0x8ec
46646
46647#define S_MEMSEL_PERR 1
46648#define M_MEMSEL_PERR 0x3fU
46649#define V_MEMSEL_PERR(x) ((x) << S_MEMSEL_PERR)
46650#define G_MEMSEL_PERR(x) (((x) >> S_MEMSEL_PERR) & M_MEMSEL_PERR)
46651
46652#define A_MAC_PORT_HSS_CFG0 0x8f0
46653
46654#define S_HSSREFCLKVALIDA 20
46655#define V_HSSREFCLKVALIDA(x) ((x) << S_HSSREFCLKVALIDA)
46656#define F_HSSREFCLKVALIDA V_HSSREFCLKVALIDA(1U)
46657
46658#define S_HSSREFCLKVALIDB 19
46659#define V_HSSREFCLKVALIDB(x) ((x) << S_HSSREFCLKVALIDB)
46660#define F_HSSREFCLKVALIDB V_HSSREFCLKVALIDB(1U)
46661
46662#define S_HSSRESYNCA 18
46663#define V_HSSRESYNCA(x) ((x) << S_HSSRESYNCA)
46664#define F_HSSRESYNCA V_HSSRESYNCA(1U)
46665
46666#define S_HSSRESYNCB 16
46667#define V_HSSRESYNCB(x) ((x) << S_HSSRESYNCB)
46668#define F_HSSRESYNCB V_HSSRESYNCB(1U)
46669
46670#define S_HSSRECCALA 15
46671#define V_HSSRECCALA(x) ((x) << S_HSSRECCALA)
46672#define F_HSSRECCALA V_HSSRECCALA(1U)
46673
46674#define S_HSSRECCALB 13
46675#define V_HSSRECCALB(x) ((x) << S_HSSRECCALB)
46676#define F_HSSRECCALB V_HSSRECCALB(1U)
46677
46678#define S_HSSPLLBYPA 12
46679#define V_HSSPLLBYPA(x) ((x) << S_HSSPLLBYPA)
46680#define F_HSSPLLBYPA V_HSSPLLBYPA(1U)
46681
46682#define S_HSSPLLBYPB 11
46683#define V_HSSPLLBYPB(x) ((x) << S_HSSPLLBYPB)
46684#define F_HSSPLLBYPB V_HSSPLLBYPB(1U)
46685
46686#define S_HSSPDWNPLLA 10
46687#define V_HSSPDWNPLLA(x) ((x) << S_HSSPDWNPLLA)
46688#define F_HSSPDWNPLLA V_HSSPDWNPLLA(1U)
46689
46690#define S_HSSPDWNPLLB 9
46691#define V_HSSPDWNPLLB(x) ((x) << S_HSSPDWNPLLB)
46692#define F_HSSPDWNPLLB V_HSSPDWNPLLB(1U)
46693
46694#define S_HSSVCOSELA 8
46695#define V_HSSVCOSELA(x) ((x) << S_HSSVCOSELA)
46696#define F_HSSVCOSELA V_HSSVCOSELA(1U)
46697
46698#define S_HSSVCOSELB 7
46699#define V_HSSVCOSELB(x) ((x) << S_HSSVCOSELB)
46700#define F_HSSVCOSELB V_HSSVCOSELB(1U)
46701
46702#define S_HSSCALCOMP 6
46703#define V_HSSCALCOMP(x) ((x) << S_HSSCALCOMP)
46704#define F_HSSCALCOMP V_HSSCALCOMP(1U)
46705
46706#define S_HSSCALENAB 5
46707#define V_HSSCALENAB(x) ((x) << S_HSSCALENAB)
46708#define F_HSSCALENAB V_HSSCALENAB(1U)
46709
46710#define A_MAC_PORT_HSS_CFG1 0x8f4
46711
46712#define S_RXACONFIGSEL 30
46713#define M_RXACONFIGSEL 0x3U
46714#define V_RXACONFIGSEL(x) ((x) << S_RXACONFIGSEL)
46715#define G_RXACONFIGSEL(x) (((x) >> S_RXACONFIGSEL) & M_RXACONFIGSEL)
46716
46717#define S_RXAQUIET 29
46718#define V_RXAQUIET(x) ((x) << S_RXAQUIET)
46719#define F_RXAQUIET V_RXAQUIET(1U)
46720
46721#define S_RXAREFRESH 28
46722#define V_RXAREFRESH(x) ((x) << S_RXAREFRESH)
46723#define F_RXAREFRESH V_RXAREFRESH(1U)
46724
46725#define S_RXBCONFIGSEL 26
46726#define M_RXBCONFIGSEL 0x3U
46727#define V_RXBCONFIGSEL(x) ((x) << S_RXBCONFIGSEL)
46728#define G_RXBCONFIGSEL(x) (((x) >> S_RXBCONFIGSEL) & M_RXBCONFIGSEL)
46729
46730#define S_RXBQUIET 25
46731#define V_RXBQUIET(x) ((x) << S_RXBQUIET)
46732#define F_RXBQUIET V_RXBQUIET(1U)
46733
46734#define S_RXBREFRESH 24
46735#define V_RXBREFRESH(x) ((x) << S_RXBREFRESH)
46736#define F_RXBREFRESH V_RXBREFRESH(1U)
46737
46738#define S_RXCCONFIGSEL 22
46739#define M_RXCCONFIGSEL 0x3U
46740#define V_RXCCONFIGSEL(x) ((x) << S_RXCCONFIGSEL)
46741#define G_RXCCONFIGSEL(x) (((x) >> S_RXCCONFIGSEL) & M_RXCCONFIGSEL)
46742
46743#define S_RXCQUIET 21
46744#define V_RXCQUIET(x) ((x) << S_RXCQUIET)
46745#define F_RXCQUIET V_RXCQUIET(1U)
46746
46747#define S_RXCREFRESH 20
46748#define V_RXCREFRESH(x) ((x) << S_RXCREFRESH)
46749#define F_RXCREFRESH V_RXCREFRESH(1U)
46750
46751#define S_RXDCONFIGSEL 18
46752#define M_RXDCONFIGSEL 0x3U
46753#define V_RXDCONFIGSEL(x) ((x) << S_RXDCONFIGSEL)
46754#define G_RXDCONFIGSEL(x) (((x) >> S_RXDCONFIGSEL) & M_RXDCONFIGSEL)
46755
46756#define S_RXDQUIET 17
46757#define V_RXDQUIET(x) ((x) << S_RXDQUIET)
46758#define F_RXDQUIET V_RXDQUIET(1U)
46759
46760#define S_RXDREFRESH 16
46761#define V_RXDREFRESH(x) ((x) << S_RXDREFRESH)
46762#define F_RXDREFRESH V_RXDREFRESH(1U)
46763
46764#define S_TXACONFIGSEL 14
46765#define M_TXACONFIGSEL 0x3U
46766#define V_TXACONFIGSEL(x) ((x) << S_TXACONFIGSEL)
46767#define G_TXACONFIGSEL(x) (((x) >> S_TXACONFIGSEL) & M_TXACONFIGSEL)
46768
46769#define S_TXAQUIET 13
46770#define V_TXAQUIET(x) ((x) << S_TXAQUIET)
46771#define F_TXAQUIET V_TXAQUIET(1U)
46772
46773#define S_TXAREFRESH 12
46774#define V_TXAREFRESH(x) ((x) << S_TXAREFRESH)
46775#define F_TXAREFRESH V_TXAREFRESH(1U)
46776
46777#define S_TXBCONFIGSEL 10
46778#define M_TXBCONFIGSEL 0x3U
46779#define V_TXBCONFIGSEL(x) ((x) << S_TXBCONFIGSEL)
46780#define G_TXBCONFIGSEL(x) (((x) >> S_TXBCONFIGSEL) & M_TXBCONFIGSEL)
46781
46782#define S_TXBQUIET 9
46783#define V_TXBQUIET(x) ((x) << S_TXBQUIET)
46784#define F_TXBQUIET V_TXBQUIET(1U)
46785
46786#define S_TXBREFRESH 8
46787#define V_TXBREFRESH(x) ((x) << S_TXBREFRESH)
46788#define F_TXBREFRESH V_TXBREFRESH(1U)
46789
46790#define S_TXCCONFIGSEL 6
46791#define M_TXCCONFIGSEL 0x3U
46792#define V_TXCCONFIGSEL(x) ((x) << S_TXCCONFIGSEL)
46793#define G_TXCCONFIGSEL(x) (((x) >> S_TXCCONFIGSEL) & M_TXCCONFIGSEL)
46794
46795#define S_TXCQUIET 5
46796#define V_TXCQUIET(x) ((x) << S_TXCQUIET)
46797#define F_TXCQUIET V_TXCQUIET(1U)
46798
46799#define S_TXCREFRESH 4
46800#define V_TXCREFRESH(x) ((x) << S_TXCREFRESH)
46801#define F_TXCREFRESH V_TXCREFRESH(1U)
46802
46803#define S_TXDCONFIGSEL 2
46804#define M_TXDCONFIGSEL 0x3U
46805#define V_TXDCONFIGSEL(x) ((x) << S_TXDCONFIGSEL)
46806#define G_TXDCONFIGSEL(x) (((x) >> S_TXDCONFIGSEL) & M_TXDCONFIGSEL)
46807
46808#define S_TXDQUIET 1
46809#define V_TXDQUIET(x) ((x) << S_TXDQUIET)
46810#define F_TXDQUIET V_TXDQUIET(1U)
46811
46812#define S_TXDREFRESH 0
46813#define V_TXDREFRESH(x) ((x) << S_TXDREFRESH)
46814#define F_TXDREFRESH V_TXDREFRESH(1U)
46815
46816#define A_MAC_PORT_HSS_CFG2 0x8f8
46817
46818#define S_RXAASSTCLK 31
46819#define V_RXAASSTCLK(x) ((x) << S_RXAASSTCLK)
46820#define F_RXAASSTCLK V_RXAASSTCLK(1U)
46821
46822#define S_T5RXAPRBSRST 30
46823#define V_T5RXAPRBSRST(x) ((x) << S_T5RXAPRBSRST)
46824#define F_T5RXAPRBSRST V_T5RXAPRBSRST(1U)
46825
46826#define S_RXBASSTCLK 29
46827#define V_RXBASSTCLK(x) ((x) << S_RXBASSTCLK)
46828#define F_RXBASSTCLK V_RXBASSTCLK(1U)
46829
46830#define S_T5RXBPRBSRST 28
46831#define V_T5RXBPRBSRST(x) ((x) << S_T5RXBPRBSRST)
46832#define F_T5RXBPRBSRST V_T5RXBPRBSRST(1U)
46833
46834#define S_RXCASSTCLK 27
46835#define V_RXCASSTCLK(x) ((x) << S_RXCASSTCLK)
46836#define F_RXCASSTCLK V_RXCASSTCLK(1U)
46837
46838#define S_T5RXCPRBSRST 26
46839#define V_T5RXCPRBSRST(x) ((x) << S_T5RXCPRBSRST)
46840#define F_T5RXCPRBSRST V_T5RXCPRBSRST(1U)
46841
46842#define S_RXDASSTCLK 25
46843#define V_RXDASSTCLK(x) ((x) << S_RXDASSTCLK)
46844#define F_RXDASSTCLK V_RXDASSTCLK(1U)
46845
46846#define S_T5RXDPRBSRST 24
46847#define V_T5RXDPRBSRST(x) ((x) << S_T5RXDPRBSRST)
46848#define F_T5RXDPRBSRST V_T5RXDPRBSRST(1U)
46849
46850#define A_MAC_PORT_HSS_CFG3 0x8fc
46851
46852#define S_HSSCALSSTN 25
46853#define M_HSSCALSSTN 0x7U
46854#define V_HSSCALSSTN(x) ((x) << S_HSSCALSSTN)
46855#define G_HSSCALSSTN(x) (((x) >> S_HSSCALSSTN) & M_HSSCALSSTN)
46856
46857#define S_HSSCALSSTP 22
46858#define M_HSSCALSSTP 0x7U
46859#define V_HSSCALSSTP(x) ((x) << S_HSSCALSSTP)
46860#define G_HSSCALSSTP(x) (((x) >> S_HSSCALSSTP) & M_HSSCALSSTP)
46861
46862#define S_HSSVBOOSTDIVB 19
46863#define M_HSSVBOOSTDIVB 0x7U
46864#define V_HSSVBOOSTDIVB(x) ((x) << S_HSSVBOOSTDIVB)
46865#define G_HSSVBOOSTDIVB(x) (((x) >> S_HSSVBOOSTDIVB) & M_HSSVBOOSTDIVB)
46866
46867#define S_HSSVBOOSTDIVA 16
46868#define M_HSSVBOOSTDIVA 0x7U
46869#define V_HSSVBOOSTDIVA(x) ((x) << S_HSSVBOOSTDIVA)
46870#define G_HSSVBOOSTDIVA(x) (((x) >> S_HSSVBOOSTDIVA) & M_HSSVBOOSTDIVA)
46871
46872#define S_HSSPLLCONFIGB 8
46873#define M_HSSPLLCONFIGB 0xffU
46874#define V_HSSPLLCONFIGB(x) ((x) << S_HSSPLLCONFIGB)
46875#define G_HSSPLLCONFIGB(x) (((x) >> S_HSSPLLCONFIGB) & M_HSSPLLCONFIGB)
46876
46877#define S_HSSPLLCONFIGA 0
46878#define M_HSSPLLCONFIGA 0xffU
46879#define V_HSSPLLCONFIGA(x) ((x) << S_HSSPLLCONFIGA)
46880#define G_HSSPLLCONFIGA(x) (((x) >> S_HSSPLLCONFIGA) & M_HSSPLLCONFIGA)
46881
46882#define S_T6_HSSCALSSTN 22
46883#define M_T6_HSSCALSSTN 0x3fU
46884#define V_T6_HSSCALSSTN(x) ((x) << S_T6_HSSCALSSTN)
46885#define G_T6_HSSCALSSTN(x) (((x) >> S_T6_HSSCALSSTN) & M_T6_HSSCALSSTN)
46886
46887#define S_T6_HSSCALSSTP 16
46888#define M_T6_HSSCALSSTP 0x3fU
46889#define V_T6_HSSCALSSTP(x) ((x) << S_T6_HSSCALSSTP)
46890#define G_T6_HSSCALSSTP(x) (((x) >> S_T6_HSSCALSSTP) & M_T6_HSSCALSSTP)
46891
46892#define A_MAC_PORT_HSS_CFG4 0x900
46893
46894#define S_HSSDIVSELA 9
46895#define M_HSSDIVSELA 0x1ffU
46896#define V_HSSDIVSELA(x) ((x) << S_HSSDIVSELA)
46897#define G_HSSDIVSELA(x) (((x) >> S_HSSDIVSELA) & M_HSSDIVSELA)
46898
46899#define S_HSSDIVSELB 0
46900#define M_HSSDIVSELB 0x1ffU
46901#define V_HSSDIVSELB(x) ((x) << S_HSSDIVSELB)
46902#define G_HSSDIVSELB(x) (((x) >> S_HSSDIVSELB) & M_HSSDIVSELB)
46903
46904#define S_HSSREFDIVA 24
46905#define M_HSSREFDIVA 0xfU
46906#define V_HSSREFDIVA(x) ((x) << S_HSSREFDIVA)
46907#define G_HSSREFDIVA(x) (((x) >> S_HSSREFDIVA) & M_HSSREFDIVA)
46908
46909#define S_HSSREFDIVB 20
46910#define M_HSSREFDIVB 0xfU
46911#define V_HSSREFDIVB(x) ((x) << S_HSSREFDIVB)
46912#define G_HSSREFDIVB(x) (((x) >> S_HSSREFDIVB) & M_HSSREFDIVB)
46913
46914#define S_HSSPLLDIV2B 19
46915#define V_HSSPLLDIV2B(x) ((x) << S_HSSPLLDIV2B)
46916#define F_HSSPLLDIV2B V_HSSPLLDIV2B(1U)
46917
46918#define S_HSSPLLDIV2A 18
46919#define V_HSSPLLDIV2A(x) ((x) << S_HSSPLLDIV2A)
46920#define F_HSSPLLDIV2A V_HSSPLLDIV2A(1U)
46921
46922#define A_MAC_PORT_HSS_STATUS 0x904
46923
46924#define S_HSSPLLLOCKB 3
46925#define V_HSSPLLLOCKB(x) ((x) << S_HSSPLLLOCKB)
46926#define F_HSSPLLLOCKB V_HSSPLLLOCKB(1U)
46927
46928#define S_HSSPLLLOCKA 2
46929#define V_HSSPLLLOCKA(x) ((x) << S_HSSPLLLOCKA)
46930#define F_HSSPLLLOCKA V_HSSPLLLOCKA(1U)
46931
46932#define S_HSSPRTREADYB 1
46933#define V_HSSPRTREADYB(x) ((x) << S_HSSPRTREADYB)
46934#define F_HSSPRTREADYB V_HSSPRTREADYB(1U)
46935
46936#define S_HSSPRTREADYA 0
46937#define V_HSSPRTREADYA(x) ((x) << S_HSSPRTREADYA)
46938#define F_HSSPRTREADYA V_HSSPRTREADYA(1U)
46939
46940#define S_RXDERROFLOW 19
46941#define V_RXDERROFLOW(x) ((x) << S_RXDERROFLOW)
46942#define F_RXDERROFLOW V_RXDERROFLOW(1U)
46943
46944#define S_RXCERROFLOW 18
46945#define V_RXCERROFLOW(x) ((x) << S_RXCERROFLOW)
46946#define F_RXCERROFLOW V_RXCERROFLOW(1U)
46947
46948#define S_RXBERROFLOW 17
46949#define V_RXBERROFLOW(x) ((x) << S_RXBERROFLOW)
46950#define F_RXBERROFLOW V_RXBERROFLOW(1U)
46951
46952#define S_RXAERROFLOW 16
46953#define V_RXAERROFLOW(x) ((x) << S_RXAERROFLOW)
46954#define F_RXAERROFLOW V_RXAERROFLOW(1U)
46955
46956#define A_MAC_PORT_HSS_EEE_STATUS 0x908
46957
46958#define S_RXAQUIET_STATUS 15
46959#define V_RXAQUIET_STATUS(x) ((x) << S_RXAQUIET_STATUS)
46960#define F_RXAQUIET_STATUS V_RXAQUIET_STATUS(1U)
46961
46962#define S_RXAREFRESH_STATUS 14
46963#define V_RXAREFRESH_STATUS(x) ((x) << S_RXAREFRESH_STATUS)
46964#define F_RXAREFRESH_STATUS V_RXAREFRESH_STATUS(1U)
46965
46966#define S_RXBQUIET_STATUS 13
46967#define V_RXBQUIET_STATUS(x) ((x) << S_RXBQUIET_STATUS)
46968#define F_RXBQUIET_STATUS V_RXBQUIET_STATUS(1U)
46969
46970#define S_RXBREFRESH_STATUS 12
46971#define V_RXBREFRESH_STATUS(x) ((x) << S_RXBREFRESH_STATUS)
46972#define F_RXBREFRESH_STATUS V_RXBREFRESH_STATUS(1U)
46973
46974#define S_RXCQUIET_STATUS 11
46975#define V_RXCQUIET_STATUS(x) ((x) << S_RXCQUIET_STATUS)
46976#define F_RXCQUIET_STATUS V_RXCQUIET_STATUS(1U)
46977
46978#define S_RXCREFRESH_STATUS 10
46979#define V_RXCREFRESH_STATUS(x) ((x) << S_RXCREFRESH_STATUS)
46980#define F_RXCREFRESH_STATUS V_RXCREFRESH_STATUS(1U)
46981
46982#define S_RXDQUIET_STATUS 9
46983#define V_RXDQUIET_STATUS(x) ((x) << S_RXDQUIET_STATUS)
46984#define F_RXDQUIET_STATUS V_RXDQUIET_STATUS(1U)
46985
46986#define S_RXDREFRESH_STATUS 8
46987#define V_RXDREFRESH_STATUS(x) ((x) << S_RXDREFRESH_STATUS)
46988#define F_RXDREFRESH_STATUS V_RXDREFRESH_STATUS(1U)
46989
46990#define S_TXAQUIET_STATUS 7
46991#define V_TXAQUIET_STATUS(x) ((x) << S_TXAQUIET_STATUS)
46992#define F_TXAQUIET_STATUS V_TXAQUIET_STATUS(1U)
46993
46994#define S_TXAREFRESH_STATUS 6
46995#define V_TXAREFRESH_STATUS(x) ((x) << S_TXAREFRESH_STATUS)
46996#define F_TXAREFRESH_STATUS V_TXAREFRESH_STATUS(1U)
46997
46998#define S_TXBQUIET_STATUS 5
46999#define V_TXBQUIET_STATUS(x) ((x) << S_TXBQUIET_STATUS)
47000#define F_TXBQUIET_STATUS V_TXBQUIET_STATUS(1U)
47001
47002#define S_TXBREFRESH_STATUS 4
47003#define V_TXBREFRESH_STATUS(x) ((x) << S_TXBREFRESH_STATUS)
47004#define F_TXBREFRESH_STATUS V_TXBREFRESH_STATUS(1U)
47005
47006#define S_TXCQUIET_STATUS 3
47007#define V_TXCQUIET_STATUS(x) ((x) << S_TXCQUIET_STATUS)
47008#define F_TXCQUIET_STATUS V_TXCQUIET_STATUS(1U)
47009
47010#define S_TXCREFRESH_STATUS 2
47011#define V_TXCREFRESH_STATUS(x) ((x) << S_TXCREFRESH_STATUS)
47012#define F_TXCREFRESH_STATUS V_TXCREFRESH_STATUS(1U)
47013
47014#define S_TXDQUIET_STATUS 1
47015#define V_TXDQUIET_STATUS(x) ((x) << S_TXDQUIET_STATUS)
47016#define F_TXDQUIET_STATUS V_TXDQUIET_STATUS(1U)
47017
47018#define S_TXDREFRESH_STATUS 0
47019#define V_TXDREFRESH_STATUS(x) ((x) << S_TXDREFRESH_STATUS)
47020#define F_TXDREFRESH_STATUS V_TXDREFRESH_STATUS(1U)
47021
47022#define A_MAC_PORT_HSS_SIGDET_STATUS 0x90c
47023#define A_MAC_PORT_HSS_PL_CTL 0x910
47024
47025#define S_TOV 16
47026#define M_TOV 0xffU
47027#define V_TOV(x) ((x) << S_TOV)
47028#define G_TOV(x) (((x) >> S_TOV) & M_TOV)
47029
47030#define S_TSU 8
47031#define M_TSU 0xffU
47032#define V_TSU(x) ((x) << S_TSU)
47033#define G_TSU(x) (((x) >> S_TSU) & M_TSU)
47034
47035#define S_IPW 0
47036#define M_IPW 0xffU
47037#define V_IPW(x) ((x) << S_IPW)
47038#define G_IPW(x) (((x) >> S_IPW) & M_IPW)
47039
47040#define A_MAC_PORT_RUNT_FRAME 0x914
47041
47042#define S_RUNTCLEAR 16
47043#define V_RUNTCLEAR(x) ((x) << S_RUNTCLEAR)
47044#define F_RUNTCLEAR V_RUNTCLEAR(1U)
47045
47046#define S_RUNT 0
47047#define M_RUNT 0xffffU
47048#define V_RUNT(x) ((x) << S_RUNT)
47049#define G_RUNT(x) (((x) >> S_RUNT) & M_RUNT)
47050
47051#define A_MAC_PORT_EEE_STATUS 0x918
47052
47053#define S_EEE_TX_10G_STATE 10
47054#define M_EEE_TX_10G_STATE 0x3U
47055#define V_EEE_TX_10G_STATE(x) ((x) << S_EEE_TX_10G_STATE)
47056#define G_EEE_TX_10G_STATE(x) (((x) >> S_EEE_TX_10G_STATE) & M_EEE_TX_10G_STATE)
47057
47058#define S_EEE_RX_10G_STATE 8
47059#define M_EEE_RX_10G_STATE 0x3U
47060#define V_EEE_RX_10G_STATE(x) ((x) << S_EEE_RX_10G_STATE)
47061#define G_EEE_RX_10G_STATE(x) (((x) >> S_EEE_RX_10G_STATE) & M_EEE_RX_10G_STATE)
47062
47063#define S_EEE_TX_1G_STATE 6
47064#define M_EEE_TX_1G_STATE 0x3U
47065#define V_EEE_TX_1G_STATE(x) ((x) << S_EEE_TX_1G_STATE)
47066#define G_EEE_TX_1G_STATE(x) (((x) >> S_EEE_TX_1G_STATE) & M_EEE_TX_1G_STATE)
47067
47068#define S_EEE_RX_1G_STATE 4
47069#define M_EEE_RX_1G_STATE 0x3U
47070#define V_EEE_RX_1G_STATE(x) ((x) << S_EEE_RX_1G_STATE)
47071#define G_EEE_RX_1G_STATE(x) (((x) >> S_EEE_RX_1G_STATE) & M_EEE_RX_1G_STATE)
47072
47073#define S_PMA_RX_REFRESH 3
47074#define V_PMA_RX_REFRESH(x) ((x) << S_PMA_RX_REFRESH)
47075#define F_PMA_RX_REFRESH V_PMA_RX_REFRESH(1U)
47076
47077#define S_PMA_RX_QUIET 2
47078#define V_PMA_RX_QUIET(x) ((x) << S_PMA_RX_QUIET)
47079#define F_PMA_RX_QUIET V_PMA_RX_QUIET(1U)
47080
47081#define S_PMA_TX_REFRESH 1
47082#define V_PMA_TX_REFRESH(x) ((x) << S_PMA_TX_REFRESH)
47083#define F_PMA_TX_REFRESH V_PMA_TX_REFRESH(1U)
47084
47085#define S_PMA_TX_QUIET 0
47086#define V_PMA_TX_QUIET(x) ((x) << S_PMA_TX_QUIET)
47087#define F_PMA_TX_QUIET V_PMA_TX_QUIET(1U)
47088
47089#define A_MAC_PORT_CGEN 0x91c
47090
47091#define S_CGEN 8
47092#define V_CGEN(x) ((x) << S_CGEN)
47093#define F_CGEN V_CGEN(1U)
47094
47095#define S_SD7_CGEN 7
47096#define V_SD7_CGEN(x) ((x) << S_SD7_CGEN)
47097#define F_SD7_CGEN V_SD7_CGEN(1U)
47098
47099#define S_SD6_CGEN 6
47100#define V_SD6_CGEN(x) ((x) << S_SD6_CGEN)
47101#define F_SD6_CGEN V_SD6_CGEN(1U)
47102
47103#define S_SD5_CGEN 5
47104#define V_SD5_CGEN(x) ((x) << S_SD5_CGEN)
47105#define F_SD5_CGEN V_SD5_CGEN(1U)
47106
47107#define S_SD4_CGEN 4
47108#define V_SD4_CGEN(x) ((x) << S_SD4_CGEN)
47109#define F_SD4_CGEN V_SD4_CGEN(1U)
47110
47111#define S_SD3_CGEN 3
47112#define V_SD3_CGEN(x) ((x) << S_SD3_CGEN)
47113#define F_SD3_CGEN V_SD3_CGEN(1U)
47114
47115#define S_SD2_CGEN 2
47116#define V_SD2_CGEN(x) ((x) << S_SD2_CGEN)
47117#define F_SD2_CGEN V_SD2_CGEN(1U)
47118
47119#define S_SD1_CGEN 1
47120#define V_SD1_CGEN(x) ((x) << S_SD1_CGEN)
47121#define F_SD1_CGEN V_SD1_CGEN(1U)
47122
47123#define S_SD0_CGEN 0
47124#define V_SD0_CGEN(x) ((x) << S_SD0_CGEN)
47125#define F_SD0_CGEN V_SD0_CGEN(1U)
47126
47127#define A_MAC_PORT_CGEN_MTIP 0x920
47128
47129#define S_MACSEG5_CGEN 11
47130#define V_MACSEG5_CGEN(x) ((x) << S_MACSEG5_CGEN)
47131#define F_MACSEG5_CGEN V_MACSEG5_CGEN(1U)
47132
47133#define S_PCSSEG5_CGEN 10
47134#define V_PCSSEG5_CGEN(x) ((x) << S_PCSSEG5_CGEN)
47135#define F_PCSSEG5_CGEN V_PCSSEG5_CGEN(1U)
47136
47137#define S_MACSEG4_CGEN 9
47138#define V_MACSEG4_CGEN(x) ((x) << S_MACSEG4_CGEN)
47139#define F_MACSEG4_CGEN V_MACSEG4_CGEN(1U)
47140
47141#define S_PCSSEG4_CGEN 8
47142#define V_PCSSEG4_CGEN(x) ((x) << S_PCSSEG4_CGEN)
47143#define F_PCSSEG4_CGEN V_PCSSEG4_CGEN(1U)
47144
47145#define S_MACSEG3_CGEN 7
47146#define V_MACSEG3_CGEN(x) ((x) << S_MACSEG3_CGEN)
47147#define F_MACSEG3_CGEN V_MACSEG3_CGEN(1U)
47148
47149#define S_PCSSEG3_CGEN 6
47150#define V_PCSSEG3_CGEN(x) ((x) << S_PCSSEG3_CGEN)
47151#define F_PCSSEG3_CGEN V_PCSSEG3_CGEN(1U)
47152
47153#define S_MACSEG2_CGEN 5
47154#define V_MACSEG2_CGEN(x) ((x) << S_MACSEG2_CGEN)
47155#define F_MACSEG2_CGEN V_MACSEG2_CGEN(1U)
47156
47157#define S_PCSSEG2_CGEN 4
47158#define V_PCSSEG2_CGEN(x) ((x) << S_PCSSEG2_CGEN)
47159#define F_PCSSEG2_CGEN V_PCSSEG2_CGEN(1U)
47160
47161#define S_MACSEG1_CGEN 3
47162#define V_MACSEG1_CGEN(x) ((x) << S_MACSEG1_CGEN)
47163#define F_MACSEG1_CGEN V_MACSEG1_CGEN(1U)
47164
47165#define S_PCSSEG1_CGEN 2
47166#define V_PCSSEG1_CGEN(x) ((x) << S_PCSSEG1_CGEN)
47167#define F_PCSSEG1_CGEN V_PCSSEG1_CGEN(1U)
47168
47169#define S_MACSEG0_CGEN 1
47170#define V_MACSEG0_CGEN(x) ((x) << S_MACSEG0_CGEN)
47171#define F_MACSEG0_CGEN V_MACSEG0_CGEN(1U)
47172
47173#define S_PCSSEG0_CGEN 0
47174#define V_PCSSEG0_CGEN(x) ((x) << S_PCSSEG0_CGEN)
47175#define F_PCSSEG0_CGEN V_PCSSEG0_CGEN(1U)
47176
47177#define A_MAC_PORT_TX_TS_ID 0x924
47178
47179#define S_TS_ID 0
47180#define M_TS_ID 0x7U
47181#define V_TS_ID(x) ((x) << S_TS_ID)
47182#define G_TS_ID(x) (((x) >> S_TS_ID) & M_TS_ID)
47183
47184#define A_MAC_PORT_TX_TS_VAL_LO 0x928
47185#define A_MAC_PORT_TX_TS_VAL_HI 0x92c
47186#define A_MAC_PORT_EEE_CTL 0x930
47187
47188#define S_EEE_CTRL 2
47189#define M_EEE_CTRL 0x3fffffffU
47190#define V_EEE_CTRL(x) ((x) << S_EEE_CTRL)
47191#define G_EEE_CTRL(x) (((x) >> S_EEE_CTRL) & M_EEE_CTRL)
47192
47193#define S_TICK_START 1
47194#define V_TICK_START(x) ((x) << S_TICK_START)
47195#define F_TICK_START V_TICK_START(1U)
47196
47197#define S_EEE_ENABLE 0
47198#define V_EEE_ENABLE(x) ((x) << S_EEE_ENABLE)
47199#define F_EEE_ENABLE V_EEE_ENABLE(1U)
47200
47201#define A_MAC_PORT_EEE_TX_CTL 0x934
47202
47203#define S_WAKE_TIMER 16
47204#define M_WAKE_TIMER 0xffffU
47205#define V_WAKE_TIMER(x) ((x) << S_WAKE_TIMER)
47206#define G_WAKE_TIMER(x) (((x) >> S_WAKE_TIMER) & M_WAKE_TIMER)
47207
47208#define S_HSS_TIMER 5
47209#define M_HSS_TIMER 0xfU
47210#define V_HSS_TIMER(x) ((x) << S_HSS_TIMER)
47211#define G_HSS_TIMER(x) (((x) >> S_HSS_TIMER) & M_HSS_TIMER)
47212
47213#define S_HSS_CTL 4
47214#define V_HSS_CTL(x) ((x) << S_HSS_CTL)
47215#define F_HSS_CTL V_HSS_CTL(1U)
47216
47217#define S_LPI_ACTIVE 3
47218#define V_LPI_ACTIVE(x) ((x) << S_LPI_ACTIVE)
47219#define F_LPI_ACTIVE V_LPI_ACTIVE(1U)
47220
47221#define S_LPI_TXHOLD 2
47222#define V_LPI_TXHOLD(x) ((x) << S_LPI_TXHOLD)
47223#define F_LPI_TXHOLD V_LPI_TXHOLD(1U)
47224
47225#define S_LPI_REQ 1
47226#define V_LPI_REQ(x) ((x) << S_LPI_REQ)
47227#define F_LPI_REQ V_LPI_REQ(1U)
47228
47229#define S_EEE_TX_RESET 0
47230#define V_EEE_TX_RESET(x) ((x) << S_EEE_TX_RESET)
47231#define F_EEE_TX_RESET V_EEE_TX_RESET(1U)
47232
47233#define A_MAC_PORT_EEE_RX_CTL 0x938
47234
47235#define S_LPI_IND 1
47236#define V_LPI_IND(x) ((x) << S_LPI_IND)
47237#define F_LPI_IND V_LPI_IND(1U)
47238
47239#define S_EEE_RX_RESET 0
47240#define V_EEE_RX_RESET(x) ((x) << S_EEE_RX_RESET)
47241#define F_EEE_RX_RESET V_EEE_RX_RESET(1U)
47242
47243#define A_MAC_PORT_EEE_TX_10G_SLEEP_TIMER 0x93c
47244#define A_MAC_PORT_EEE_TX_10G_QUIET_TIMER 0x940
47245#define A_MAC_PORT_EEE_TX_10G_WAKE_TIMER 0x944
47246#define A_MAC_PORT_EEE_TX_1G_SLEEP_TIMER 0x948
47247#define A_MAC_PORT_EEE_TX_1G_QUIET_TIMER 0x94c
47248#define A_MAC_PORT_EEE_TX_1G_REFRESH_TIMER 0x950
47249#define A_MAC_PORT_EEE_RX_10G_QUIET_TIMER 0x954
47250#define A_MAC_PORT_EEE_RX_10G_WAKE_TIMER 0x958
47251#define A_MAC_PORT_EEE_RX_10G_WF_TIMER 0x95c
47252#define A_MAC_PORT_EEE_RX_1G_QUIET_TIMER 0x960
47253#define A_MAC_PORT_EEE_RX_1G_WAKE_TIMER 0x964
47254#define A_MAC_PORT_EEE_WF_COUNT 0x968
47255
47256#define S_WAKE_CNT_CLR 16
47257#define V_WAKE_CNT_CLR(x) ((x) << S_WAKE_CNT_CLR)
47258#define F_WAKE_CNT_CLR V_WAKE_CNT_CLR(1U)
47259
47260#define S_WAKE_CNT 0
47261#define M_WAKE_CNT 0xffffU
47262#define V_WAKE_CNT(x) ((x) << S_WAKE_CNT)
47263#define G_WAKE_CNT(x) (((x) >> S_WAKE_CNT) & M_WAKE_CNT)
47264
47265#define A_MAC_PORT_PTP_TIMER_RD0_LO 0x96c
47266#define A_MAC_PORT_PTP_TIMER_RD0_HI 0x970
47267#define A_MAC_PORT_PTP_TIMER_RD1_LO 0x974
47268#define A_MAC_PORT_PTP_TIMER_RD1_HI 0x978
47269#define A_MAC_PORT_PTP_TIMER_WR_LO 0x97c
47270#define A_MAC_PORT_PTP_TIMER_WR_HI 0x980
47271#define A_MAC_PORT_PTP_TIMER_OFFSET_0 0x984
47272#define A_MAC_PORT_PTP_TIMER_OFFSET_1 0x988
47273#define A_MAC_PORT_PTP_TIMER_OFFSET_2 0x98c
47274
47275#define S_PTP_OFFSET 0
47276#define M_PTP_OFFSET 0xffU
47277#define V_PTP_OFFSET(x) ((x) << S_PTP_OFFSET)
47278#define G_PTP_OFFSET(x) (((x) >> S_PTP_OFFSET) & M_PTP_OFFSET)
47279
47280#define A_MAC_PORT_PTP_SUM_LO 0x990
47281#define A_MAC_PORT_PTP_SUM_HI 0x994
47282#define A_MAC_PORT_PTP_TIMER_INCR0 0x998
47283
47284#define S_Y 16
47285#define M_Y 0xffffU
47286#define V_Y(x) ((x) << S_Y)
47287#define G_Y(x) (((x) >> S_Y) & M_Y)
47288
47289#define S_X 0
47290#define M_X 0xffffU
47291#define V_X(x) ((x) << S_X)
47292#define G_X(x) (((x) >> S_X) & M_X)
47293
47294#define A_MAC_PORT_PTP_TIMER_INCR1 0x99c
47295
47296#define S_Y_TICK 16
47297#define M_Y_TICK 0xffffU
47298#define V_Y_TICK(x) ((x) << S_Y_TICK)
47299#define G_Y_TICK(x) (((x) >> S_Y_TICK) & M_Y_TICK)
47300
47301#define S_X_TICK 0
47302#define M_X_TICK 0xffffU
47303#define V_X_TICK(x) ((x) << S_X_TICK)
47304#define G_X_TICK(x) (((x) >> S_X_TICK) & M_X_TICK)
47305
47306#define A_MAC_PORT_PTP_DRIFT_ADJUST_COUNT 0x9a0
47307#define A_MAC_PORT_PTP_OFFSET_ADJUST_FINE 0x9a4
47308
47309#define S_B 16
47310#define CXGBE_M_B 0xffffU
47311#define V_B(x) ((x) << S_B)
47312#define G_B(x) (((x) >> S_B) & CXGBE_M_B)
47313
47314#define S_A 0
47315#define M_A 0xffffU
47316#define V_A(x) ((x) << S_A)
47317#define G_A(x) (((x) >> S_A) & M_A)
47318
47319#define A_MAC_PORT_PTP_OFFSET_ADJUST_TOTAL 0x9a8
47320#define A_MAC_PORT_PTP_CFG 0x9ac
47321
47322#define S_FRZ 18
47323#define V_FRZ(x) ((x) << S_FRZ)
47324#define F_FRZ V_FRZ(1U)
47325
47326#define S_OFFSER_ADJUST_SIGN 17
47327#define V_OFFSER_ADJUST_SIGN(x) ((x) << S_OFFSER_ADJUST_SIGN)
47328#define F_OFFSER_ADJUST_SIGN V_OFFSER_ADJUST_SIGN(1U)
47329
47330#define S_ADD_OFFSET 16
47331#define V_ADD_OFFSET(x) ((x) << S_ADD_OFFSET)
47332#define F_ADD_OFFSET V_ADD_OFFSET(1U)
47333
47334#define S_CYCLE1 8
47335#define M_CYCLE1 0xffU
47336#define V_CYCLE1(x) ((x) << S_CYCLE1)
47337#define G_CYCLE1(x) (((x) >> S_CYCLE1) & M_CYCLE1)
47338
47339#define S_Q 0
47340#define M_Q 0xffU
47341#define V_Q(x) ((x) << S_Q)
47342#define G_Q(x) (((x) >> S_Q) & M_Q)
47343
47344#define S_ALARM_EN 21
47345#define V_ALARM_EN(x) ((x) << S_ALARM_EN)
47346#define F_ALARM_EN V_ALARM_EN(1U)
47347
47348#define S_ALARM_START 20
47349#define V_ALARM_START(x) ((x) << S_ALARM_START)
47350#define F_ALARM_START V_ALARM_START(1U)
47351
47352#define S_PPS_EN 19
47353#define V_PPS_EN(x) ((x) << S_PPS_EN)
47354#define F_PPS_EN V_PPS_EN(1U)
47355
47356#define A_MAC_PORT_PTP_PPS 0x9b0
47357#define A_MAC_PORT_PTP_SINGLE_ALARM 0x9b4
47358#define A_MAC_PORT_PTP_PERIODIC_ALARM 0x9b8
47359#define A_MAC_PORT_PTP_STATUS 0x9bc
47360
47361#define S_ALARM_DONE 0
47362#define V_ALARM_DONE(x) ((x) << S_ALARM_DONE)
47363#define F_ALARM_DONE V_ALARM_DONE(1U)
47364
47365#define A_MAC_PORT_MTIP_REVISION 0xa00
47366
47367#define S_CUSTREV 16
47368#define M_CUSTREV 0xffffU
47369#define V_CUSTREV(x) ((x) << S_CUSTREV)
47370#define G_CUSTREV(x) (((x) >> S_CUSTREV) & M_CUSTREV)
47371
47372#define S_VER 8
47373#define M_VER 0xffU
47374#define V_VER(x) ((x) << S_VER)
47375#define G_VER(x) (((x) >> S_VER) & M_VER)
47376
47377#define S_MTIP_REV 0
47378#define M_MTIP_REV 0xffU
47379#define V_MTIP_REV(x) ((x) << S_MTIP_REV)
47380#define G_MTIP_REV(x) (((x) >> S_MTIP_REV) & M_MTIP_REV)
47381
47382#define A_MAC_PORT_MTIP_SCRATCH 0xa04
47383#define A_MAC_PORT_MTIP_COMMAND_CONFIG 0xa08
47384
47385#define S_TX_FLUSH_ENABLE 22
47386#define V_TX_FLUSH_ENABLE(x) ((x) << S_TX_FLUSH_ENABLE)
47387#define F_TX_FLUSH_ENABLE V_TX_FLUSH_ENABLE(1U)
47388
47389#define S_RX_SFD_ANY 21
47390#define V_RX_SFD_ANY(x) ((x) << S_RX_SFD_ANY)
47391#define F_RX_SFD_ANY V_RX_SFD_ANY(1U)
47392
47393#define S_PAUSE_PFC_COMP 20
47394#define V_PAUSE_PFC_COMP(x) ((x) << S_PAUSE_PFC_COMP)
47395#define F_PAUSE_PFC_COMP V_PAUSE_PFC_COMP(1U)
47396
47397#define S_PFC_MODE 19
47398#define V_PFC_MODE(x) ((x) << S_PFC_MODE)
47399#define F_PFC_MODE V_PFC_MODE(1U)
47400
47401#define S_RS_COL_CNT_EXT 18
47402#define V_RS_COL_CNT_EXT(x) ((x) << S_RS_COL_CNT_EXT)
47403#define F_RS_COL_CNT_EXT V_RS_COL_CNT_EXT(1U)
47404
47405#define S_NO_LGTH_CHECK 17
47406#define V_NO_LGTH_CHECK(x) ((x) << S_NO_LGTH_CHECK)
47407#define F_NO_LGTH_CHECK V_NO_LGTH_CHECK(1U)
47408
47409#define S_SEND_IDLE 16
47410#define V_SEND_IDLE(x) ((x) << S_SEND_IDLE)
47411#define F_SEND_IDLE V_SEND_IDLE(1U)
47412
47413#define S_PHY_TXENA 15
47414#define V_PHY_TXENA(x) ((x) << S_PHY_TXENA)
47415#define F_PHY_TXENA V_PHY_TXENA(1U)
47416
47417#define S_RX_ERR_DISC 14
47418#define V_RX_ERR_DISC(x) ((x) << S_RX_ERR_DISC)
47419#define F_RX_ERR_DISC V_RX_ERR_DISC(1U)
47420
47421#define S_CMD_FRAME_ENA 13
47422#define V_CMD_FRAME_ENA(x) ((x) << S_CMD_FRAME_ENA)
47423#define F_CMD_FRAME_ENA V_CMD_FRAME_ENA(1U)
47424
47425#define S_SW_RESET 12
47426#define V_SW_RESET(x) ((x) << S_SW_RESET)
47427#define F_SW_RESET V_SW_RESET(1U)
47428
47429#define S_TX_PAD_EN 11
47430#define V_TX_PAD_EN(x) ((x) << S_TX_PAD_EN)
47431#define F_TX_PAD_EN V_TX_PAD_EN(1U)
47432
47433#define S_PHY_LOOPBACK_EN 10
47434#define V_PHY_LOOPBACK_EN(x) ((x) << S_PHY_LOOPBACK_EN)
47435#define F_PHY_LOOPBACK_EN V_PHY_LOOPBACK_EN(1U)
47436
47437#define S_TX_ADDR_INS 9
47438#define V_TX_ADDR_INS(x) ((x) << S_TX_ADDR_INS)
47439#define F_TX_ADDR_INS V_TX_ADDR_INS(1U)
47440
47441#define S_PAUSE_IGNORE 8
47442#define V_PAUSE_IGNORE(x) ((x) << S_PAUSE_IGNORE)
47443#define F_PAUSE_IGNORE V_PAUSE_IGNORE(1U)
47444
47445#define S_PAUSE_FWD 7
47446#define V_PAUSE_FWD(x) ((x) << S_PAUSE_FWD)
47447#define F_PAUSE_FWD V_PAUSE_FWD(1U)
47448
47449#define S_CRC_FWD 6
47450#define V_CRC_FWD(x) ((x) << S_CRC_FWD)
47451#define F_CRC_FWD V_CRC_FWD(1U)
47452
47453#define S_PAD_EN 5
47454#define V_PAD_EN(x) ((x) << S_PAD_EN)
47455#define F_PAD_EN V_PAD_EN(1U)
47456
47457#define S_PROMIS_EN 4
47458#define V_PROMIS_EN(x) ((x) << S_PROMIS_EN)
47459#define F_PROMIS_EN V_PROMIS_EN(1U)
47460
47461#define S_WAN_MODE 3
47462#define V_WAN_MODE(x) ((x) << S_WAN_MODE)
47463#define F_WAN_MODE V_WAN_MODE(1U)
47464
47465#define S_RX_ENA 1
47466#define V_RX_ENA(x) ((x) << S_RX_ENA)
47467#define F_RX_ENA V_RX_ENA(1U)
47468
47469#define S_TX_ENA 0
47470#define V_TX_ENA(x) ((x) << S_TX_ENA)
47471#define F_TX_ENA V_TX_ENA(1U)
47472
47473#define A_MAC_PORT_MTIP_MAC_ADDR_0 0xa0c
47474#define A_MAC_PORT_MTIP_MAC_ADDR_1 0xa10
47475
47476#define S_MACADDRHI 0
47477#define M_MACADDRHI 0xffffU
47478#define V_MACADDRHI(x) ((x) << S_MACADDRHI)
47479#define G_MACADDRHI(x) (((x) >> S_MACADDRHI) & M_MACADDRHI)
47480
47481#define A_MAC_PORT_MTIP_FRM_LENGTH 0xa14
47482
47483#define S_LEN 0
47484#define M_LEN 0xffffU
47485#define V_LEN(x) ((x) << S_LEN)
47486#define G_LEN(x) (((x) >> S_LEN) & M_LEN)
47487
47488#define A_MAC_PORT_MTIP_RX_FIFO_SECTIONS 0xa1c
47489
47490#define S_AVAIL 16
47491#define M_AVAIL 0xffffU
47492#define V_AVAIL(x) ((x) << S_AVAIL)
47493#define G_AVAIL(x) (((x) >> S_AVAIL) & M_AVAIL)
47494
47495#define S_EMPTY 0
47496#define M_EMPTY 0xffffU
47497#define V_EMPTY(x) ((x) << S_EMPTY)
47498#define G_EMPTY(x) (((x) >> S_EMPTY) & M_EMPTY)
47499
47500#define A_MAC_PORT_MTIP_TX_FIFO_SECTIONS 0xa20
47501#define A_MAC_PORT_MTIP_RX_FIFO_ALMOST_F_E 0xa24
47502
47503#define S_ALMSTFULL 16
47504#define M_ALMSTFULL 0xffffU
47505#define V_ALMSTFULL(x) ((x) << S_ALMSTFULL)
47506#define G_ALMSTFULL(x) (((x) >> S_ALMSTFULL) & M_ALMSTFULL)
47507
47508#define S_ALMSTEMPTY 0
47509#define M_ALMSTEMPTY 0xffffU
47510#define V_ALMSTEMPTY(x) ((x) << S_ALMSTEMPTY)
47511#define G_ALMSTEMPTY(x) (((x) >> S_ALMSTEMPTY) & M_ALMSTEMPTY)
47512
47513#define A_MAC_PORT_MTIP_TX_FIFO_ALMOST_F_E 0xa28
47514#define A_MAC_PORT_MTIP_HASHTABLE_LOAD 0xa2c
47515
47516#define S_ENABLE_MCAST_RX 8
47517#define V_ENABLE_MCAST_RX(x) ((x) << S_ENABLE_MCAST_RX)
47518#define F_ENABLE_MCAST_RX V_ENABLE_MCAST_RX(1U)
47519
47520#define S_HASHTABLE_ADDR 0
47521#define M_HASHTABLE_ADDR 0x3fU
47522#define V_HASHTABLE_ADDR(x) ((x) << S_HASHTABLE_ADDR)
47523#define G_HASHTABLE_ADDR(x) (((x) >> S_HASHTABLE_ADDR) & M_HASHTABLE_ADDR)
47524
47525#define A_MAC_PORT_MTIP_MAC_STATUS 0xa40
47526
47527#define S_TS_AVAIL 3
47528#define V_TS_AVAIL(x) ((x) << S_TS_AVAIL)
47529#define F_TS_AVAIL V_TS_AVAIL(1U)
47530
47531#define S_PHY_LOS 2
47532#define V_PHY_LOS(x) ((x) << S_PHY_LOS)
47533#define F_PHY_LOS V_PHY_LOS(1U)
47534
47535#define S_RX_REM_FAULT 1
47536#define V_RX_REM_FAULT(x) ((x) << S_RX_REM_FAULT)
47537#define F_RX_REM_FAULT V_RX_REM_FAULT(1U)
47538
47539#define S_RX_LOC_FAULT 0
47540#define V_RX_LOC_FAULT(x) ((x) << S_RX_LOC_FAULT)
47541#define F_RX_LOC_FAULT V_RX_LOC_FAULT(1U)
47542
47543#define A_MAC_PORT_MTIP_TX_IPG_LENGTH 0xa44
47544
47545#define S_IPG 0
47546#define M_IPG 0x7fU
47547#define V_IPG(x) ((x) << S_IPG)
47548#define G_IPG(x) (((x) >> S_IPG) & M_IPG)
47549
47550#define A_MAC_PORT_MTIP_MAC_CREDIT_TRIGGER 0xa48
47551
47552#define S_RXFIFORST 0
47553#define V_RXFIFORST(x) ((x) << S_RXFIFORST)
47554#define F_RXFIFORST V_RXFIFORST(1U)
47555
47556#define A_MAC_PORT_MTIP_INIT_CREDIT 0xa4c
47557
47558#define S_MACCRDRST 0
47559#define M_MACCRDRST 0xffU
47560#define V_MACCRDRST(x) ((x) << S_MACCRDRST)
47561#define G_MACCRDRST(x) (((x) >> S_MACCRDRST) & M_MACCRDRST)
47562
47563#define A_MAC_PORT_MTIP_CURRENT_CREDIT 0xa50
47564
47565#define S_INITCREDIT 0
47566#define M_INITCREDIT 0xffU
47567#define V_INITCREDIT(x) ((x) << S_INITCREDIT)
47568#define G_INITCREDIT(x) (((x) >> S_INITCREDIT) & M_INITCREDIT)
47569
47570#define A_MAC_PORT_RX_PAUSE_STATUS 0xa74
47571
47572#define S_STATUS 0
47573#define M_STATUS 0xffU
47574#define V_STATUS(x) ((x) << S_STATUS)
47575#define G_STATUS(x) (((x) >> S_STATUS) & M_STATUS)
47576
47577#define A_MAC_PORT_MTIP_TS_TIMESTAMP 0xa7c
47578#define A_MAC_PORT_AFRAMESTRANSMITTEDOK 0xa80
47579#define A_MAC_PORT_AFRAMESTRANSMITTEDOKHI 0xa84
47580#define A_MAC_PORT_AFRAMESRECEIVEDOK 0xa88
47581#define A_MAC_PORT_AFRAMESRECEIVEDOKHI 0xa8c
47582#define A_MAC_PORT_AFRAMECHECKSEQUENCEERRORS 0xa90
47583#define A_MAC_PORT_AFRAMECHECKSEQUENCEERRORSHI 0xa94
47584#define A_MAC_PORT_AALIGNMENTERRORS 0xa98
47585#define A_MAC_PORT_AALIGNMENTERRORSHI 0xa9c
47586#define A_MAC_PORT_APAUSEMACCTRLFRAMESTRANSMITTED 0xaa0
47587#define A_MAC_PORT_APAUSEMACCTRLFRAMESTRANSMITTEDHI 0xaa4
47588#define A_MAC_PORT_APAUSEMACCTRLFRAMESRECEIVED 0xaa8
47589#define A_MAC_PORT_APAUSEMACCTRLFRAMESRECEIVEDHI 0xaac
47590#define A_MAC_PORT_AFRAMETOOLONGERRORS 0xab0
47591#define A_MAC_PORT_AFRAMETOOLONGERRORSHI 0xab4
47592#define A_MAC_PORT_AINRANGELENGTHERRORS 0xab8
47593#define A_MAC_PORT_AINRANGELENGTHERRORSHI 0xabc
47594#define A_MAC_PORT_VLANTRANSMITTEDOK 0xac0
47595#define A_MAC_PORT_VLANTRANSMITTEDOKHI 0xac4
47596#define A_MAC_PORT_VLANRECEIVEDOK 0xac8
47597#define A_MAC_PORT_VLANRECEIVEDOKHI 0xacc
47598#define A_MAC_PORT_AOCTETSTRANSMITTEDOK 0xad0
47599#define A_MAC_PORT_AOCTETSTRANSMITTEDOKHI 0xad4
47600#define A_MAC_PORT_AOCTETSRECEIVEDOK 0xad8
47601#define A_MAC_PORT_AOCTETSRECEIVEDOKHI 0xadc
47602#define A_MAC_PORT_IFINUCASTPKTS 0xae0
47603#define A_MAC_PORT_IFINUCASTPKTSHI 0xae4
47604#define A_MAC_PORT_IFINMULTICASTPKTS 0xae8
47605#define A_MAC_PORT_IFINMULTICASTPKTSHI 0xaec
47606#define A_MAC_PORT_IFINBROADCASTPKTS 0xaf0
47607#define A_MAC_PORT_IFINBROADCASTPKTSHI 0xaf4
47608#define A_MAC_PORT_IFOUTERRORS 0xaf8
47609#define A_MAC_PORT_IFOUTERRORSHI 0xafc
47610#define A_MAC_PORT_IFOUTUCASTPKTS 0xb08
47611#define A_MAC_PORT_IFOUTUCASTPKTSHI 0xb0c
47612#define A_MAC_PORT_IFOUTMULTICASTPKTS 0xb10
47613#define A_MAC_PORT_IFOUTMULTICASTPKTSHI 0xb14
47614#define A_MAC_PORT_IFOUTBROADCASTPKTS 0xb18
47615#define A_MAC_PORT_IFOUTBROADCASTPKTSHI 0xb1c
47616#define A_MAC_PORT_ETHERSTATSDROPEVENTS 0xb20
47617#define A_MAC_PORT_ETHERSTATSDROPEVENTSHI 0xb24
47618#define A_MAC_PORT_ETHERSTATSOCTETS 0xb28
47619#define A_MAC_PORT_ETHERSTATSOCTETSHI 0xb2c
47620#define A_MAC_PORT_ETHERSTATSPKTS 0xb30
47621#define A_MAC_PORT_ETHERSTATSPKTSHI 0xb34
47622#define A_MAC_PORT_ETHERSTATSUNDERSIZEPKTS 0xb38
47623#define A_MAC_PORT_ETHERSTATSUNDERSIZEPKTSHI 0xb3c
47624#define A_MAC_PORT_ETHERSTATSPKTS64OCTETS 0xb40
47625#define A_MAC_PORT_ETHERSTATSPKTS64OCTETSHI 0xb44
47626#define A_MAC_PORT_ETHERSTATSPKTS65TO127OCTETS 0xb48
47627#define A_MAC_PORT_ETHERSTATSPKTS65TO127OCTETSHI 0xb4c
47628#define A_MAC_PORT_ETHERSTATSPKTS128TO255OCTETS 0xb50
47629#define A_MAC_PORT_ETHERSTATSPKTS128TO255OCTETSHI 0xb54
47630#define A_MAC_PORT_ETHERSTATSPKTS256TO511OCTETS 0xb58
47631#define A_MAC_PORT_ETHERSTATSPKTS256TO511OCTETSHI 0xb5c
47632#define A_MAC_PORT_ETHERSTATSPKTS512TO1023OCTETS 0xb60
47633#define A_MAC_PORT_ETHERSTATSPKTS512TO1023OCTETSHI 0xb64
47634#define A_MAC_PORT_ETHERSTATSPKTS1024TO1518OCTETS 0xb68
47635#define A_MAC_PORT_ETHERSTATSPKTS1024TO1518OCTETSHI 0xb6c
47636#define A_MAC_PORT_ETHERSTATSPKTS1519TOMAXOCTETS 0xb70
47637#define A_MAC_PORT_ETHERSTATSPKTS1519TOMAXOCTETSHI 0xb74
47638#define A_MAC_PORT_ETHERSTATSOVERSIZEPKTS 0xb78
47639#define A_MAC_PORT_ETHERSTATSOVERSIZEPKTSHI 0xb7c
47640#define A_MAC_PORT_ETHERSTATSJABBERS 0xb80
47641#define A_MAC_PORT_ETHERSTATSJABBERSHI 0xb84
47642#define A_MAC_PORT_ETHERSTATSFRAGMENTS 0xb88
47643#define A_MAC_PORT_ETHERSTATSFRAGMENTSHI 0xb8c
47644#define A_MAC_PORT_IFINERRORS 0xb90
47645#define A_MAC_PORT_IFINERRORSHI 0xb94
47646#define A_MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_0 0xb98
47647#define A_MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_0HI 0xb9c
47648#define A_MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_1 0xba0
47649#define A_MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_1HI 0xba4
47650#define A_MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_2 0xba8
47651#define A_MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_2HI 0xbac
47652#define A_MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_3 0xbb0
47653#define A_MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_3HI 0xbb4
47654#define A_MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_4 0xbb8
47655#define A_MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_4HI 0xbbc
47656#define A_MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_5 0xbc0
47657#define A_MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_5HI 0xbc4
47658#define A_MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_6 0xbc8
47659#define A_MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_6HI 0xbcc
47660#define A_MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_7 0xbd0
47661#define A_MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_7HI 0xbd4
47662#define A_MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_0 0xbd8
47663#define A_MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_0HI 0xbdc
47664#define A_MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_1 0xbe0
47665#define A_MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_1HI 0xbe4
47666#define A_MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_2 0xbe8
47667#define A_MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_2HI 0xbec
47668#define A_MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_3 0xbf0
47669#define A_MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_3HI 0xbf4
47670#define A_MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_4 0xbf8
47671#define A_MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_4HI 0xbfc
47672#define A_MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_5 0xc00
47673#define A_MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_5HI 0xc04
47674#define A_MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_6 0xc08
47675#define A_MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_6HI 0xc0c
47676#define A_MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_7 0xc10
47677#define A_MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_7HI 0xc14
47678#define A_MAC_PORT_AMACCONTROLFRAMESTRANSMITTED 0xc18
47679#define A_MAC_PORT_AMACCONTROLFRAMESTRANSMITTEDHI 0xc1c
47680#define A_MAC_PORT_AMACCONTROLFRAMESRECEIVED 0xc20
47681#define A_MAC_PORT_AMACCONTROLFRAMESRECEIVEDHI 0xc24
47682#define A_MAC_PORT_MTIP_SGMII_CONTROL 0xd00
47683
47684#define S_RESET 15
47685#define V_RESET(x) ((x) << S_RESET)
47686#define F_RESET V_RESET(1U)
47687
47688#define S_LOOPBACK 14
47689#define V_LOOPBACK(x) ((x) << S_LOOPBACK)
47690#define F_LOOPBACK V_LOOPBACK(1U)
47691
47692#define S_SPPEDSEL1 13
47693#define V_SPPEDSEL1(x) ((x) << S_SPPEDSEL1)
47694#define F_SPPEDSEL1 V_SPPEDSEL1(1U)
47695
47696#define S_AN_EN 12
47697#define V_AN_EN(x) ((x) << S_AN_EN)
47698#define F_AN_EN V_AN_EN(1U)
47699
47700#define S_PWRDWN 11
47701#define V_PWRDWN(x) ((x) << S_PWRDWN)
47702#define F_PWRDWN V_PWRDWN(1U)
47703
47704#define S_ISOLATE 10
47705#define V_ISOLATE(x) ((x) << S_ISOLATE)
47706#define F_ISOLATE V_ISOLATE(1U)
47707
47708#define S_AN_RESTART 9
47709#define V_AN_RESTART(x) ((x) << S_AN_RESTART)
47710#define F_AN_RESTART V_AN_RESTART(1U)
47711
47712#define S_DPLX 8
47713#define V_DPLX(x) ((x) << S_DPLX)
47714#define F_DPLX V_DPLX(1U)
47715
47716#define S_COLLISIONTEST 7
47717#define V_COLLISIONTEST(x) ((x) << S_COLLISIONTEST)
47718#define F_COLLISIONTEST V_COLLISIONTEST(1U)
47719
47720#define S_SPEEDSEL0 6
47721#define V_SPEEDSEL0(x) ((x) << S_SPEEDSEL0)
47722#define F_SPEEDSEL0 V_SPEEDSEL0(1U)
47723
47724#define A_MAC_PORT_MTIP_1G10G_REVISION 0xd00
47725
47726#define S_VER_1G10G 8
47727#define M_VER_1G10G 0xffU
47728#define V_VER_1G10G(x) ((x) << S_VER_1G10G)
47729#define G_VER_1G10G(x) (((x) >> S_VER_1G10G) & M_VER_1G10G)
47730
47731#define S_REV_1G10G 0
47732#define M_REV_1G10G 0xffU
47733#define V_REV_1G10G(x) ((x) << S_REV_1G10G)
47734#define G_REV_1G10G(x) (((x) >> S_REV_1G10G) & M_REV_1G10G)
47735
47736#define A_MAC_PORT_MTIP_SGMII_STATUS 0xd04
47737
47738#define S_100BASET4 15
47739#define V_100BASET4(x) ((x) << S_100BASET4)
47740#define F_100BASET4 V_100BASET4(1U)
47741
47742#define S_100BASEXFULLDPLX 14
47743#define V_100BASEXFULLDPLX(x) ((x) << S_100BASEXFULLDPLX)
47744#define F_100BASEXFULLDPLX V_100BASEXFULLDPLX(1U)
47745
47746#define S_100BASEXHALFDPLX 13
47747#define V_100BASEXHALFDPLX(x) ((x) << S_100BASEXHALFDPLX)
47748#define F_100BASEXHALFDPLX V_100BASEXHALFDPLX(1U)
47749
47750#define S_10MBPSFULLDPLX 12
47751#define V_10MBPSFULLDPLX(x) ((x) << S_10MBPSFULLDPLX)
47752#define F_10MBPSFULLDPLX V_10MBPSFULLDPLX(1U)
47753
47754#define S_10MBPSHALFDPLX 11
47755#define V_10MBPSHALFDPLX(x) ((x) << S_10MBPSHALFDPLX)
47756#define F_10MBPSHALFDPLX V_10MBPSHALFDPLX(1U)
47757
47758#define S_100BASET2FULLDPLX 10
47759#define V_100BASET2FULLDPLX(x) ((x) << S_100BASET2FULLDPLX)
47760#define F_100BASET2FULLDPLX V_100BASET2FULLDPLX(1U)
47761
47762#define S_100BASET2HALFDPLX 9
47763#define V_100BASET2HALFDPLX(x) ((x) << S_100BASET2HALFDPLX)
47764#define F_100BASET2HALFDPLX V_100BASET2HALFDPLX(1U)
47765
47766#define S_EXTDSTATUS 8
47767#define V_EXTDSTATUS(x) ((x) << S_EXTDSTATUS)
47768#define F_EXTDSTATUS V_EXTDSTATUS(1U)
47769
47770#define S_SGMII_REM_FAULT 4
47771#define V_SGMII_REM_FAULT(x) ((x) << S_SGMII_REM_FAULT)
47772#define F_SGMII_REM_FAULT V_SGMII_REM_FAULT(1U)
47773
47774#define S_JABBERDETECT 1
47775#define V_JABBERDETECT(x) ((x) << S_JABBERDETECT)
47776#define F_JABBERDETECT V_JABBERDETECT(1U)
47777
47778#define S_EXTDCAPABILITY 0
47779#define V_EXTDCAPABILITY(x) ((x) << S_EXTDCAPABILITY)
47780#define F_EXTDCAPABILITY V_EXTDCAPABILITY(1U)
47781
47782#define A_MAC_PORT_MTIP_1G10G_SCRATCH 0xd04
47783#define A_MAC_PORT_MTIP_SGMII_PHY_IDENTIFIER_0 0xd08
47784#define A_MAC_PORT_MTIP_1G10G_COMMAND_CONFIG 0xd08
47785
47786#define S_SHORT_DISCARD 25
47787#define V_SHORT_DISCARD(x) ((x) << S_SHORT_DISCARD)
47788#define F_SHORT_DISCARD V_SHORT_DISCARD(1U)
47789
47790#define S_REG_LOWP_RXEMPTY 24
47791#define V_REG_LOWP_RXEMPTY(x) ((x) << S_REG_LOWP_RXEMPTY)
47792#define F_REG_LOWP_RXEMPTY V_REG_LOWP_RXEMPTY(1U)
47793
47794#define S_TX_LOWP_ENA 23
47795#define V_TX_LOWP_ENA(x) ((x) << S_TX_LOWP_ENA)
47796#define F_TX_LOWP_ENA V_TX_LOWP_ENA(1U)
47797
47798#define S_TX_FLUSH_EN 22
47799#define V_TX_FLUSH_EN(x) ((x) << S_TX_FLUSH_EN)
47800#define F_TX_FLUSH_EN V_TX_FLUSH_EN(1U)
47801
47802#define S_SFD_ANY 21
47803#define V_SFD_ANY(x) ((x) << S_SFD_ANY)
47804#define F_SFD_ANY V_SFD_ANY(1U)
47805
47806#define S_COL_CNT_EXT 18
47807#define V_COL_CNT_EXT(x) ((x) << S_COL_CNT_EXT)
47808#define F_COL_CNT_EXT V_COL_CNT_EXT(1U)
47809
47810#define S_FORCE_SEND_IDLE 16
47811#define V_FORCE_SEND_IDLE(x) ((x) << S_FORCE_SEND_IDLE)
47812#define F_FORCE_SEND_IDLE V_FORCE_SEND_IDLE(1U)
47813
47814#define S_CNTL_FRM_ENA 13
47815#define V_CNTL_FRM_ENA(x) ((x) << S_CNTL_FRM_ENA)
47816#define F_CNTL_FRM_ENA V_CNTL_FRM_ENA(1U)
47817
47818#define S_RX_ENAMAC 1
47819#define V_RX_ENAMAC(x) ((x) << S_RX_ENAMAC)
47820#define F_RX_ENAMAC V_RX_ENAMAC(1U)
47821
47822#define S_TX_ENAMAC 0
47823#define V_TX_ENAMAC(x) ((x) << S_TX_ENAMAC)
47824#define F_TX_ENAMAC V_TX_ENAMAC(1U)
47825
47826#define A_MAC_PORT_MTIP_SGMII_PHY_IDENTIFIER_1 0xd0c
47827#define A_MAC_PORT_MTIP_1G10G_MAC_ADDR_0 0xd0c
47828#define A_MAC_PORT_MTIP_SGMII_DEV_ABILITY 0xd10
47829
47830#define S_RF2 13
47831#define V_RF2(x) ((x) << S_RF2)
47832#define F_RF2 V_RF2(1U)
47833
47834#define S_RF1 12
47835#define V_RF1(x) ((x) << S_RF1)
47836#define F_RF1 V_RF1(1U)
47837
47838#define S_PS2 8
47839#define V_PS2(x) ((x) << S_PS2)
47840#define F_PS2 V_PS2(1U)
47841
47842#define S_PS1 7
47843#define V_PS1(x) ((x) << S_PS1)
47844#define F_PS1 V_PS1(1U)
47845
47846#define S_HD 6
47847#define V_HD(x) ((x) << S_HD)
47848#define F_HD V_HD(1U)
47849
47850#define S_FD 5
47851#define V_FD(x) ((x) << S_FD)
47852#define F_FD V_FD(1U)
47853
47854#define A_MAC_PORT_MTIP_1G10G_MAC_ADDR_1 0xd10
47855#define A_MAC_PORT_MTIP_SGMII_PARTNER_ABILITY 0xd14
47856
47857#define S_CULINKSTATUS 15
47858#define V_CULINKSTATUS(x) ((x) << S_CULINKSTATUS)
47859#define F_CULINKSTATUS V_CULINKSTATUS(1U)
47860
47861#define S_CUDPLXSTATUS 12
47862#define V_CUDPLXSTATUS(x) ((x) << S_CUDPLXSTATUS)
47863#define F_CUDPLXSTATUS V_CUDPLXSTATUS(1U)
47864
47865#define S_CUSPEED 10
47866#define M_CUSPEED 0x3U
47867#define V_CUSPEED(x) ((x) << S_CUSPEED)
47868#define G_CUSPEED(x) (((x) >> S_CUSPEED) & M_CUSPEED)
47869
47870#define A_MAC_PORT_MTIP_1G10G_FRM_LENGTH_TX_MTU 0xd14
47871
47872#define S_SET_LEN 16
47873#define M_SET_LEN 0xffffU
47874#define V_SET_LEN(x) ((x) << S_SET_LEN)
47875#define G_SET_LEN(x) (((x) >> S_SET_LEN) & M_SET_LEN)
47876
47877#define S_FRM_LEN_SET 0
47878#define M_FRM_LEN_SET 0xffffU
47879#define V_FRM_LEN_SET(x) ((x) << S_FRM_LEN_SET)
47880#define G_FRM_LEN_SET(x) (((x) >> S_FRM_LEN_SET) & M_FRM_LEN_SET)
47881
47882#define A_MAC_PORT_MTIP_SGMII_AN_EXPANSION 0xd18
47883
47884#define S_PGRCVD 1
47885#define V_PGRCVD(x) ((x) << S_PGRCVD)
47886#define F_PGRCVD V_PGRCVD(1U)
47887
47888#define S_REALTIMEPGRCVD 0
47889#define V_REALTIMEPGRCVD(x) ((x) << S_REALTIMEPGRCVD)
47890#define F_REALTIMEPGRCVD V_REALTIMEPGRCVD(1U)
47891
47892#define A_MAC_PORT_MTIP_SGMII_DEVICE_NP 0xd1c
47893#define A_MAC_PORT_MTIP_1G10G_RX_FIFO_SECTIONS 0xd1c
47894
47895#define S_RX1G10G_EMPTY 16
47896#define M_RX1G10G_EMPTY 0xffffU
47897#define V_RX1G10G_EMPTY(x) ((x) << S_RX1G10G_EMPTY)
47898#define G_RX1G10G_EMPTY(x) (((x) >> S_RX1G10G_EMPTY) & M_RX1G10G_EMPTY)
47899
47900#define S_RX1G10G_AVAIL 0
47901#define M_RX1G10G_AVAIL 0xffffU
47902#define V_RX1G10G_AVAIL(x) ((x) << S_RX1G10G_AVAIL)
47903#define G_RX1G10G_AVAIL(x) (((x) >> S_RX1G10G_AVAIL) & M_RX1G10G_AVAIL)
47904
47905#define A_MAC_PORT_MTIP_SGMII_PARTNER_NP 0xd20
47906#define A_MAC_PORT_MTIP_1G10G_TX_FIFO_SECTIONS 0xd20
47907
47908#define S_TX1G10G_EMPTY 16
47909#define M_TX1G10G_EMPTY 0xffffU
47910#define V_TX1G10G_EMPTY(x) ((x) << S_TX1G10G_EMPTY)
47911#define G_TX1G10G_EMPTY(x) (((x) >> S_TX1G10G_EMPTY) & M_TX1G10G_EMPTY)
47912
47913#define S_TX1G10G_AVAIL 0
47914#define M_TX1G10G_AVAIL 0xffffU
47915#define V_TX1G10G_AVAIL(x) ((x) << S_TX1G10G_AVAIL)
47916#define G_TX1G10G_AVAIL(x) (((x) >> S_TX1G10G_AVAIL) & M_TX1G10G_AVAIL)
47917
47918#define A_MAC_PORT_MTIP_1G10G_RX_FIFO_ALMOST_F_E 0xd24
47919
47920#define S_ALMOSTFULL 16
47921#define M_ALMOSTFULL 0xffffU
47922#define V_ALMOSTFULL(x) ((x) << S_ALMOSTFULL)
47923#define G_ALMOSTFULL(x) (((x) >> S_ALMOSTFULL) & M_ALMOSTFULL)
47924
47925#define S_ALMOSTEMPTY 0
47926#define M_ALMOSTEMPTY 0xffffU
47927#define V_ALMOSTEMPTY(x) ((x) << S_ALMOSTEMPTY)
47928#define G_ALMOSTEMPTY(x) (((x) >> S_ALMOSTEMPTY) & M_ALMOSTEMPTY)
47929
47930#define A_MAC_PORT_MTIP_1G10G_TX_FIFO_ALMOST_F_E 0xd28
47931#define A_MAC_PORT_MTIP_1G10G_HASHTABLE_LOAD 0xd2c
47932#define A_MAC_PORT_MTIP_1G10G_MDIO_CFG_STATUS 0xd30
47933
47934#define S_CLK_DIVISOR 7
47935#define M_CLK_DIVISOR 0x1ffU
47936#define V_CLK_DIVISOR(x) ((x) << S_CLK_DIVISOR)
47937#define G_CLK_DIVISOR(x) (((x) >> S_CLK_DIVISOR) & M_CLK_DIVISOR)
47938
47939#define S_ENA_CLAUSE 6
47940#define V_ENA_CLAUSE(x) ((x) << S_ENA_CLAUSE)
47941#define F_ENA_CLAUSE V_ENA_CLAUSE(1U)
47942
47943#define S_PREAMBLE_DISABLE 5
47944#define V_PREAMBLE_DISABLE(x) ((x) << S_PREAMBLE_DISABLE)
47945#define F_PREAMBLE_DISABLE V_PREAMBLE_DISABLE(1U)
47946
47947#define S_HOLD_TIME_SETTING 2
47948#define M_HOLD_TIME_SETTING 0x7U
47949#define V_HOLD_TIME_SETTING(x) ((x) << S_HOLD_TIME_SETTING)
47950#define G_HOLD_TIME_SETTING(x) (((x) >> S_HOLD_TIME_SETTING) & M_HOLD_TIME_SETTING)
47951
47952#define S_MDIO_READ_ERROR 1
47953#define V_MDIO_READ_ERROR(x) ((x) << S_MDIO_READ_ERROR)
47954#define F_MDIO_READ_ERROR V_MDIO_READ_ERROR(1U)
47955
47956#define A_MAC_PORT_MTIP_1G10G_MDIO_COMMAND 0xd34
47957
47958#define S_READ_MODE 15
47959#define V_READ_MODE(x) ((x) << S_READ_MODE)
47960#define F_READ_MODE V_READ_MODE(1U)
47961
47962#define S_POST_INCR_READ 14
47963#define V_POST_INCR_READ(x) ((x) << S_POST_INCR_READ)
47964#define F_POST_INCR_READ V_POST_INCR_READ(1U)
47965
47966#define S_PORT_PHY_ADDR 5
47967#define M_PORT_PHY_ADDR 0x1fU
47968#define V_PORT_PHY_ADDR(x) ((x) << S_PORT_PHY_ADDR)
47969#define G_PORT_PHY_ADDR(x) (((x) >> S_PORT_PHY_ADDR) & M_PORT_PHY_ADDR)
47970
47971#define S_DEVICE_REG_ADDR 0
47972#define M_DEVICE_REG_ADDR 0x1fU
47973#define V_DEVICE_REG_ADDR(x) ((x) << S_DEVICE_REG_ADDR)
47974#define G_DEVICE_REG_ADDR(x) (((x) >> S_DEVICE_REG_ADDR) & M_DEVICE_REG_ADDR)
47975
47976#define A_MAC_PORT_MTIP_1G10G_MDIO_DATA 0xd38
47977
47978#define S_MDIO_DATA 0
47979#define M_MDIO_DATA 0xffffU
47980#define V_MDIO_DATA(x) ((x) << S_MDIO_DATA)
47981#define G_MDIO_DATA(x) (((x) >> S_MDIO_DATA) & M_MDIO_DATA)
47982
47983#define A_MAC_PORT_MTIP_SGMII_EXTENDED_STATUS 0xd3c
47984#define A_MAC_PORT_MTIP_1G10G_MDIO_REGADDR 0xd3c
47985#define A_MAC_PORT_MTIP_1G10G_STATUS 0xd40
47986
47987#define S_RX_LINT_FAULT 7
47988#define V_RX_LINT_FAULT(x) ((x) << S_RX_LINT_FAULT)
47989#define F_RX_LINT_FAULT V_RX_LINT_FAULT(1U)
47990
47991#define S_RX_EMPTY 6
47992#define V_RX_EMPTY(x) ((x) << S_RX_EMPTY)
47993#define F_RX_EMPTY V_RX_EMPTY(1U)
47994
47995#define S_TX_EMPTY 5
47996#define V_TX_EMPTY(x) ((x) << S_TX_EMPTY)
47997#define F_TX_EMPTY V_TX_EMPTY(1U)
47998
47999#define S_RX_LOWP 4
48000#define V_RX_LOWP(x) ((x) << S_RX_LOWP)
48001#define F_RX_LOWP V_RX_LOWP(1U)
48002
48003#define A_MAC_PORT_MTIP_1G10G_TX_IPG_LENGTH 0xd44
48004#define A_MAC_PORT_MTIP_SGMII_LINK_TIMER_LO 0xd48
48005
48006#define S_COUNT_LO 0
48007#define M_COUNT_LO 0xffffU
48008#define V_COUNT_LO(x) ((x) << S_COUNT_LO)
48009#define G_COUNT_LO(x) (((x) >> S_COUNT_LO) & M_COUNT_LO)
48010
48011#define A_MAC_PORT_MTIP_1G10G_CREDIT_TRIGGER 0xd48
48012#define A_MAC_PORT_MTIP_SGMII_LINK_TIMER_HI 0xd4c
48013
48014#define S_COUNT_HI 0
48015#define M_COUNT_HI 0x1fU
48016#define V_COUNT_HI(x) ((x) << S_COUNT_HI)
48017#define G_COUNT_HI(x) (((x) >> S_COUNT_HI) & M_COUNT_HI)
48018
48019#define A_MAC_PORT_MTIP_1G10G_INIT_CREDIT 0xd4c
48020#define A_MAC_PORT_MTIP_SGMII_IF_MODE 0xd50
48021
48022#define S_SGMII_PCS_ENABLE 5
48023#define V_SGMII_PCS_ENABLE(x) ((x) << S_SGMII_PCS_ENABLE)
48024#define F_SGMII_PCS_ENABLE V_SGMII_PCS_ENABLE(1U)
48025
48026#define S_SGMII_HDUPLEX 4
48027#define V_SGMII_HDUPLEX(x) ((x) << S_SGMII_HDUPLEX)
48028#define F_SGMII_HDUPLEX V_SGMII_HDUPLEX(1U)
48029
48030#define S_SGMII_SPEED 2
48031#define M_SGMII_SPEED 0x3U
48032#define V_SGMII_SPEED(x) ((x) << S_SGMII_SPEED)
48033#define G_SGMII_SPEED(x) (((x) >> S_SGMII_SPEED) & M_SGMII_SPEED)
48034
48035#define S_USE_SGMII_AN 1
48036#define V_USE_SGMII_AN(x) ((x) << S_USE_SGMII_AN)
48037#define F_USE_SGMII_AN V_USE_SGMII_AN(1U)
48038
48039#define S_SGMII_ENA 0
48040#define V_SGMII_ENA(x) ((x) << S_SGMII_ENA)
48041#define F_SGMII_ENA V_SGMII_ENA(1U)
48042
48043#define A_MAC_PORT_MTIP_1G10G_CL01_PAUSE_QUANTA 0xd54
48044
48045#define S_CL1_PAUSE_QUANTA 16
48046#define M_CL1_PAUSE_QUANTA 0xffffU
48047#define V_CL1_PAUSE_QUANTA(x) ((x) << S_CL1_PAUSE_QUANTA)
48048#define G_CL1_PAUSE_QUANTA(x) (((x) >> S_CL1_PAUSE_QUANTA) & M_CL1_PAUSE_QUANTA)
48049
48050#define S_CL0_PAUSE_QUANTA 0
48051#define M_CL0_PAUSE_QUANTA 0xffffU
48052#define V_CL0_PAUSE_QUANTA(x) ((x) << S_CL0_PAUSE_QUANTA)
48053#define G_CL0_PAUSE_QUANTA(x) (((x) >> S_CL0_PAUSE_QUANTA) & M_CL0_PAUSE_QUANTA)
48054
48055#define A_MAC_PORT_MTIP_1G10G_CL23_PAUSE_QUANTA 0xd58
48056
48057#define S_CL3_PAUSE_QUANTA 16
48058#define M_CL3_PAUSE_QUANTA 0xffffU
48059#define V_CL3_PAUSE_QUANTA(x) ((x) << S_CL3_PAUSE_QUANTA)
48060#define G_CL3_PAUSE_QUANTA(x) (((x) >> S_CL3_PAUSE_QUANTA) & M_CL3_PAUSE_QUANTA)
48061
48062#define S_CL2_PAUSE_QUANTA 0
48063#define M_CL2_PAUSE_QUANTA 0xffffU
48064#define V_CL2_PAUSE_QUANTA(x) ((x) << S_CL2_PAUSE_QUANTA)
48065#define G_CL2_PAUSE_QUANTA(x) (((x) >> S_CL2_PAUSE_QUANTA) & M_CL2_PAUSE_QUANTA)
48066
48067#define A_MAC_PORT_MTIP_1G10G_CL45_PAUSE_QUANTA 0xd5c
48068
48069#define S_CL5_PAUSE_QUANTA 16
48070#define M_CL5_PAUSE_QUANTA 0xffffU
48071#define V_CL5_PAUSE_QUANTA(x) ((x) << S_CL5_PAUSE_QUANTA)
48072#define G_CL5_PAUSE_QUANTA(x) (((x) >> S_CL5_PAUSE_QUANTA) & M_CL5_PAUSE_QUANTA)
48073
48074#define S_CL4_PAUSE_QUANTA 0
48075#define M_CL4_PAUSE_QUANTA 0xffffU
48076#define V_CL4_PAUSE_QUANTA(x) ((x) << S_CL4_PAUSE_QUANTA)
48077#define G_CL4_PAUSE_QUANTA(x) (((x) >> S_CL4_PAUSE_QUANTA) & M_CL4_PAUSE_QUANTA)
48078
48079#define A_MAC_PORT_MTIP_1G10G_CL67_PAUSE_QUANTA 0xd60
48080
48081#define S_CL7_PAUSE_QUANTA 16
48082#define M_CL7_PAUSE_QUANTA 0xffffU
48083#define V_CL7_PAUSE_QUANTA(x) ((x) << S_CL7_PAUSE_QUANTA)
48084#define G_CL7_PAUSE_QUANTA(x) (((x) >> S_CL7_PAUSE_QUANTA) & M_CL7_PAUSE_QUANTA)
48085
48086#define S_CL6_PAUSE_QUANTA 0
48087#define M_CL6_PAUSE_QUANTA 0xffffU
48088#define V_CL6_PAUSE_QUANTA(x) ((x) << S_CL6_PAUSE_QUANTA)
48089#define G_CL6_PAUSE_QUANTA(x) (((x) >> S_CL6_PAUSE_QUANTA) & M_CL6_PAUSE_QUANTA)
48090
48091#define A_MAC_PORT_MTIP_1G10G_CL01_QUANTA_THRESH 0xd64
48092
48093#define S_CL1_QUANTA_THRESH 16
48094#define M_CL1_QUANTA_THRESH 0xffffU
48095#define V_CL1_QUANTA_THRESH(x) ((x) << S_CL1_QUANTA_THRESH)
48096#define G_CL1_QUANTA_THRESH(x) (((x) >> S_CL1_QUANTA_THRESH) & M_CL1_QUANTA_THRESH)
48097
48098#define S_CL0_QUANTA_THRESH 0
48099#define M_CL0_QUANTA_THRESH 0xffffU
48100#define V_CL0_QUANTA_THRESH(x) ((x) << S_CL0_QUANTA_THRESH)
48101#define G_CL0_QUANTA_THRESH(x) (((x) >> S_CL0_QUANTA_THRESH) & M_CL0_QUANTA_THRESH)
48102
48103#define A_MAC_PORT_MTIP_1G10G_CL23_QUANTA_THRESH 0xd68
48104
48105#define S_CL3_QUANTA_THRESH 16
48106#define M_CL3_QUANTA_THRESH 0xffffU
48107#define V_CL3_QUANTA_THRESH(x) ((x) << S_CL3_QUANTA_THRESH)
48108#define G_CL3_QUANTA_THRESH(x) (((x) >> S_CL3_QUANTA_THRESH) & M_CL3_QUANTA_THRESH)
48109
48110#define S_CL2_QUANTA_THRESH 0
48111#define M_CL2_QUANTA_THRESH 0xffffU
48112#define V_CL2_QUANTA_THRESH(x) ((x) << S_CL2_QUANTA_THRESH)
48113#define G_CL2_QUANTA_THRESH(x) (((x) >> S_CL2_QUANTA_THRESH) & M_CL2_QUANTA_THRESH)
48114
48115#define A_MAC_PORT_MTIP_1G10G_CL45_QUANTA_THRESH 0xd6c
48116
48117#define S_CL5_QUANTA_THRESH 16
48118#define M_CL5_QUANTA_THRESH 0xffffU
48119#define V_CL5_QUANTA_THRESH(x) ((x) << S_CL5_QUANTA_THRESH)
48120#define G_CL5_QUANTA_THRESH(x) (((x) >> S_CL5_QUANTA_THRESH) & M_CL5_QUANTA_THRESH)
48121
48122#define S_CL4_QUANTA_THRESH 0
48123#define M_CL4_QUANTA_THRESH 0xffffU
48124#define V_CL4_QUANTA_THRESH(x) ((x) << S_CL4_QUANTA_THRESH)
48125#define G_CL4_QUANTA_THRESH(x) (((x) >> S_CL4_QUANTA_THRESH) & M_CL4_QUANTA_THRESH)
48126
48127#define A_MAC_PORT_MTIP_1G10G_CL67_QUANTA_THRESH 0xd70
48128
48129#define S_CL7_QUANTA_THRESH 16
48130#define M_CL7_QUANTA_THRESH 0xffffU
48131#define V_CL7_QUANTA_THRESH(x) ((x) << S_CL7_QUANTA_THRESH)
48132#define G_CL7_QUANTA_THRESH(x) (((x) >> S_CL7_QUANTA_THRESH) & M_CL7_QUANTA_THRESH)
48133
48134#define S_CL6_QUANTA_THRESH 0
48135#define M_CL6_QUANTA_THRESH 0xffffU
48136#define V_CL6_QUANTA_THRESH(x) ((x) << S_CL6_QUANTA_THRESH)
48137#define G_CL6_QUANTA_THRESH(x) (((x) >> S_CL6_QUANTA_THRESH) & M_CL6_QUANTA_THRESH)
48138
48139#define A_MAC_PORT_MTIP_1G10G_RX_PAUSE_STATUS 0xd74
48140
48141#define S_STATUS_BIT 0
48142#define M_STATUS_BIT 0xffU
48143#define V_STATUS_BIT(x) ((x) << S_STATUS_BIT)
48144#define G_STATUS_BIT(x) (((x) >> S_STATUS_BIT) & M_STATUS_BIT)
48145
48146#define A_MAC_PORT_MTIP_1G10G_TS_TIMESTAMP 0xd7c
48147#define A_MAC_PORT_MTIP_1G10G_STATN_CONFIG 0xde0
48148
48149#define S_CLEAR 2
48150#define V_CLEAR(x) ((x) << S_CLEAR)
48151#define F_CLEAR V_CLEAR(1U)
48152
48153#define S_CLEAR_ON_READ 1
48154#define V_CLEAR_ON_READ(x) ((x) << S_CLEAR_ON_READ)
48155#define F_CLEAR_ON_READ V_CLEAR_ON_READ(1U)
48156
48157#define S_SATURATE 0
48158#define V_SATURATE(x) ((x) << S_SATURATE)
48159#define F_SATURATE V_SATURATE(1U)
48160
48161#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSOCTETS 0xe00
48162#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSOCTETSHI 0xe04
48163#define A_MAC_PORT_MTIP_1G10G_RX_OCTETSOK 0xe08
48164#define A_MAC_PORT_MTIP_1G10G_RX_OCTETSOKHI 0xe0c
48165#define A_MAC_PORT_MTIP_1G10G_RX_AALIGNMENTERRORS 0xe10
48166#define A_MAC_PORT_MTIP_1G10G_RX_AALIGNMENTERRORSHI 0xe14
48167#define A_MAC_PORT_MTIP_1G10G_RX_APAUSEMACCTRLFRAMES 0xe18
48168#define A_MAC_PORT_MTIP_1G10G_RX_APAUSEMACCTRLFRAMESHI 0xe1c
48169#define A_MAC_PORT_MTIP_1G10G_RX_FRAMESOK 0xe20
48170#define A_MAC_PORT_MTIP_1G10G_RX_FRAMESOKHI 0xe24
48171#define A_MAC_PORT_MTIP_1G10G_RX_CRCERRORS 0xe28
48172#define A_MAC_PORT_MTIP_1G10G_RX_CRCERRORSHI 0xe2c
48173#define A_MAC_PORT_MTIP_1G10G_RX_VLANOK 0xe30
48174#define A_MAC_PORT_MTIP_1G10G_RX_VLANOKHI 0xe34
48175#define A_MAC_PORT_MTIP_1G10G_RX_IFINERRORS 0xe38
48176#define A_MAC_PORT_MTIP_1G10G_RX_IFINERRORSHI 0xe3c
48177#define A_MAC_PORT_MTIP_1G10G_RX_IFINUCASTPKTS 0xe40
48178#define A_MAC_PORT_MTIP_1G10G_RX_IFINUCASTPKTSHI 0xe44
48179#define A_MAC_PORT_MTIP_1G10G_RX_IFINMULTICASTPKTS 0xe48
48180#define A_MAC_PORT_MTIP_1G10G_RX_IFINMULTICASTPKTSHI 0xe4c
48181#define A_MAC_PORT_MTIP_1G10G_RX_IFINBROADCASTPKTS 0xe50
48182#define A_MAC_PORT_MTIP_1G10G_RX_IFINBROADCASTPKTSHI 0xe54
48183#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSDROPEVENTS 0xe58
48184#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSDROPEVENTSHI 0xe5c
48185#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS 0xe60
48186#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTSHI 0xe64
48187#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSUNDERSIZEPKTS 0xe68
48188#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSUNDERSIZEPKTSHI 0xe6c
48189#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS64OCTETS 0xe70
48190#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS64OCTETSHI 0xe74
48191#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS65TO127OCTETS 0xe78
48192#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS65TO127OCTETSHI 0xe7c
48193#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS128TO255OCTETS 0xe80
48194#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS128TO255OCTETSHI 0xe84
48195#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS256TO511OCTETS 0xe88
48196#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS256TO511OCTETSHI 0xe8c
48197#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS512TO1023OCTETS 0xe90
48198#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS512TO1023OCTETSHI 0xe94
48199#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS1024TO1518OCTETS 0xe98
48200#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS1024TO1518OCTETSHI 0xe9c
48201#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS1519TOMAX 0xea0
48202#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS1519TOMAXHI 0xea4
48203#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSOVERSIZEPKTS 0xea8
48204#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSOVERSIZEPKTSHI 0xeac
48205#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSJABBERS 0xeb0
48206#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSJABBERSHI 0xeb4
48207#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSFRAGMENTS 0xeb8
48208#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSFRAGMENTSHI 0xebc
48209#define A_MAC_PORT_MTIP_1G10G_AMACCONTROLFRAMESRECEIVED 0xec0
48210#define A_MAC_PORT_MTIP_1G10G_AMACCONTROLFRAMESRECEIVEDHI 0xec4
48211#define A_MAC_PORT_MTIP_1G10G_RX_AFRAMETOOLONG 0xec8
48212#define A_MAC_PORT_MTIP_1G10G_RX_AFRAMETOOLONGHI 0xecc
48213#define A_MAC_PORT_MTIP_1G10G_RX_AINRANGELENGTHERRORS 0xed0
48214#define A_MAC_PORT_MTIP_1G10G_RX_AINRANGELENGTHERRORSHI 0xed4
48215#define A_MAC_PORT_MTIP_1G10G_TX_ETHERSTATSOCTETS 0xf00
48216#define A_MAC_PORT_MTIP_1G10G_TX_ETHERSTATSOCTETSHI 0xf04
48217#define A_MAC_PORT_MTIP_1G10G_TX_OCTETSOK 0xf08
48218#define A_MAC_PORT_MTIP_1G10G_TX_OCTETSOKHI 0xf0c
48219#define A_MAC_PORT_MTIP_1G10G_TX_AALIGNMENTERRORS 0xf10
48220#define A_MAC_PORT_MTIP_1G10G_TX_AALIGNMENTERRORSHI 0xf14
48221#define A_MAC_PORT_MTIP_1G10G_TX_APAUSEMACCTRLFRAMES 0xf18
48222#define A_MAC_PORT_MTIP_1G10G_TX_APAUSEMACCTRLFRAMESHI 0xf1c
48223#define A_MAC_PORT_MTIP_1G10G_TX_FRAMESOK 0xf20
48224#define A_MAC_PORT_MTIP_1G10G_TX_FRAMESOKHI 0xf24
48225#define A_MAC_PORT_MTIP_1G10G_TX_CRCERRORS 0xf28
48226#define A_MAC_PORT_MTIP_1G10G_TX_CRCERRORSHI 0xf2c
48227#define A_MAC_PORT_MTIP_1G10G_TX_VLANOK 0xf30
48228#define A_MAC_PORT_MTIP_1G10G_TX_VLANOKHI 0xf34
48229#define A_MAC_PORT_MTIP_1G10G_TX_IFOUTERRORS 0xf38
48230#define A_MAC_PORT_MTIP_1G10G_TX_IFOUTERRORSHI 0xf3c
48231#define A_MAC_PORT_MTIP_1G10G_TX_IFUCASTPKTS 0xf40
48232#define A_MAC_PORT_MTIP_1G10G_TX_IFUCASTPKTSHI 0xf44
48233#define A_MAC_PORT_MTIP_1G10G_TX_IFMULTICASTPKTS 0xf48
48234#define A_MAC_PORT_MTIP_1G10G_TX_IFMULTICASTPKTSHI 0xf4c
48235#define A_MAC_PORT_MTIP_1G10G_TX_IFBROADCASTPKTS 0xf50
48236#define A_MAC_PORT_MTIP_1G10G_TX_IFBROADCASTPKTSHI 0xf54
48237#define A_MAC_PORT_MTIP_1G10G_TX_ETHERSTATSDROPEVENTS 0xf58
48238#define A_MAC_PORT_MTIP_1G10G_TX_ETHERSTATSDROPEVENTSHI 0xf5c
48239#define A_MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS 0xf60
48240#define A_MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTSHI 0xf64
48241#define A_MAC_PORT_MTIP_1G10G_TX_ETHERSTATSUNDERSIZEPKTS 0xf68
48242#define A_MAC_PORT_MTIP_1G10G_TX_ETHERSTATSUNDERSIZEPKTSHI 0xf6c
48243#define A_MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS64OCTETS 0xf70
48244#define A_MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS64OCTETSHI 0xf74
48245#define A_MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS65TO127OCTETS 0xf78
48246#define A_MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS65TO127OCTETSHI 0xf7c
48247#define A_MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS128TO255OCTETS 0xf80
48248#define A_MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS128TO255OCTETSHI 0xf84
48249#define A_MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS256TO511OCTETS 0xf88
48250#define A_MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS256TO511OCTETSHI 0xf8c
48251#define A_MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS512TO1023OCTETS 0xf90
48252#define A_MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS512TO1023OCTETSHI 0xf94
48253#define A_MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS1024TO1518OCTETS 0xf98
48254#define A_MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS1024TO1518OCTETSHI 0xf9c
48255#define A_MAC_PORT_MTIP_1G10G_ETHERSTATSPKTS1519TOTX_MTU 0xfa0
48256#define A_MAC_PORT_MTIP_1G10G_ETHERSTATSPKTS1519TOTX_MTUHI 0xfa4
48257#define A_MAC_PORT_MTIP_1G10G_TX_AMACCONTROLFRAMES 0xfc0
48258#define A_MAC_PORT_MTIP_1G10G_TX_AMACCONTROLFRAMESHI 0xfc4
48259#define A_MAC_PORT_MTIP_1G10G_IF_MODE 0x1000
48260
48261#define S_MII_ENA_10 4
48262#define V_MII_ENA_10(x) ((x) << S_MII_ENA_10)
48263#define F_MII_ENA_10 V_MII_ENA_10(1U)
48264
48265#define S_IF_MODE 0
48266#define M_IF_MODE 0x3U
48267#define V_IF_MODE(x) ((x) << S_IF_MODE)
48268#define G_IF_MODE(x) (((x) >> S_IF_MODE) & M_IF_MODE)
48269
48270#define A_MAC_PORT_MTIP_1G10G_IF_STATUS 0x1004
48271
48272#define S_IF_STATUS_MODE 0
48273#define M_IF_STATUS_MODE 0x3U
48274#define V_IF_STATUS_MODE(x) ((x) << S_IF_STATUS_MODE)
48275#define G_IF_STATUS_MODE(x) (((x) >> S_IF_STATUS_MODE) & M_IF_STATUS_MODE)
48276
48277#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_0 0x1080
48278#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_0HI 0x1084
48279#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_1 0x1088
48280#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_1HI 0x108c
48281#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_2 0x1090
48282#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_2HI 0x1094
48283#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_3 0x1098
48284#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_3HI 0x109c
48285#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_4 0x10a0
48286#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_4HI 0x10a4
48287#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_5 0x10a8
48288#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_5HI 0x10ac
48289#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_6 0x10b0
48290#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_6HI 0x10b4
48291#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_7 0x10b8
48292#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_7HI 0x10bc
48293#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_0 0x10c0
48294#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_0HI 0x10c4
48295#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_1 0x10c8
48296#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_1HI 0x10cc
48297#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_2 0x10d0
48298#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_2HI 0x10d4
48299#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_3 0x10d8
48300#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_3HI 0x10dc
48301#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_4 0x10e0
48302#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_4HI 0x10e4
48303#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_5 0x10e8
48304#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_5HI 0x10ec
48305#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_6 0x10f0
48306#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_6HI 0x10f4
48307#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_7 0x10f8
48308#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_7HI 0x10fc
48309#define A_MAC_PORT_MTIP_ACT_CTL_SEG 0x1200
48310
48311#define S_ACTIVE 0
48312#define M_ACTIVE 0x3fU
48313#define V_ACTIVE(x) ((x) << S_ACTIVE)
48314#define G_ACTIVE(x) (((x) >> S_ACTIVE) & M_ACTIVE)
48315
48316#define A_T6_MAC_PORT_MTIP_SGMII_CONTROL 0x1200
48317
48318#define S_SPEED_SEL 13
48319#define V_SPEED_SEL(x) ((x) << S_SPEED_SEL)
48320#define F_SPEED_SEL V_SPEED_SEL(1U)
48321
48322#define S_PWR_DWN 11
48323#define V_PWR_DWN(x) ((x) << S_PWR_DWN)
48324#define F_PWR_DWN V_PWR_DWN(1U)
48325
48326#define S_DUPLEX_MODE 8
48327#define V_DUPLEX_MODE(x) ((x) << S_DUPLEX_MODE)
48328#define F_DUPLEX_MODE V_DUPLEX_MODE(1U)
48329
48330#define S_COLLISION_TEST 7
48331#define V_COLLISION_TEST(x) ((x) << S_COLLISION_TEST)
48332#define F_COLLISION_TEST V_COLLISION_TEST(1U)
48333
48334#define S_T6_SPEED_SEL1 6
48335#define V_T6_SPEED_SEL1(x) ((x) << S_T6_SPEED_SEL1)
48336#define F_T6_SPEED_SEL1 V_T6_SPEED_SEL1(1U)
48337
48338#define A_MAC_PORT_MTIP_MODE_CTL_SEG 0x1204
48339
48340#define S_MODE_CTL 0
48341#define M_MODE_CTL 0x3U
48342#define V_MODE_CTL(x) ((x) << S_MODE_CTL)
48343#define G_MODE_CTL(x) (((x) >> S_MODE_CTL) & M_MODE_CTL)
48344
48345#define A_T6_MAC_PORT_MTIP_SGMII_STATUS 0x1204
48346
48347#define S_T6_REM_FAULT 4
48348#define V_T6_REM_FAULT(x) ((x) << S_T6_REM_FAULT)
48349#define F_T6_REM_FAULT V_T6_REM_FAULT(1U)
48350
48351#define A_MAC_PORT_MTIP_TXCLK_CTL_SEG 0x1208
48352
48353#define S_TXCLK_CTL 0
48354#define M_TXCLK_CTL 0xffffU
48355#define V_TXCLK_CTL(x) ((x) << S_TXCLK_CTL)
48356#define G_TXCLK_CTL(x) (((x) >> S_TXCLK_CTL) & M_TXCLK_CTL)
48357
48358#define A_T6_MAC_PORT_MTIP_SGMII_PHY_IDENTIFIER_0 0x1208
48359#define A_MAC_PORT_MTIP_TX_PRMBL_CTL_SEG 0x120c
48360#define A_T6_MAC_PORT_MTIP_SGMII_PHY_IDENTIFIER_1 0x120c
48361#define A_T6_MAC_PORT_MTIP_SGMII_DEV_ABILITY 0x1210
48362#define A_T6_MAC_PORT_MTIP_SGMII_PARTNER_ABILITY 0x1214
48363#define A_T6_MAC_PORT_MTIP_SGMII_AN_EXPANSION 0x1218
48364
48365#define S_NEXT_PAGE_ABLE 2
48366#define V_NEXT_PAGE_ABLE(x) ((x) << S_NEXT_PAGE_ABLE)
48367#define F_NEXT_PAGE_ABLE V_NEXT_PAGE_ABLE(1U)
48368
48369#define S_PAGE_RECEIVE 1
48370#define V_PAGE_RECEIVE(x) ((x) << S_PAGE_RECEIVE)
48371#define F_PAGE_RECEIVE V_PAGE_RECEIVE(1U)
48372
48373#define A_MAC_PORT_MTIP_SGMII_NP_TX 0x121c
48374
48375#define S_NP_TX 0
48376#define M_NP_TX 0xffffU
48377#define V_NP_TX(x) ((x) << S_NP_TX)
48378#define G_NP_TX(x) (((x) >> S_NP_TX) & M_NP_TX)
48379
48380#define A_MAC_PORT_MTIP_WAN_RS_COL_CNT 0x1220
48381
48382#define S_COL_CNT 0
48383#define M_COL_CNT 0xffffU
48384#define V_COL_CNT(x) ((x) << S_COL_CNT)
48385#define G_COL_CNT(x) (((x) >> S_COL_CNT) & M_COL_CNT)
48386
48387#define A_MAC_PORT_MTIP_SGMII_LP_NP_RX 0x1220
48388
48389#define S_LP_NP_RX 0
48390#define M_LP_NP_RX 0xffffU
48391#define V_LP_NP_RX(x) ((x) << S_LP_NP_RX)
48392#define G_LP_NP_RX(x) (((x) >> S_LP_NP_RX) & M_LP_NP_RX)
48393
48394#define A_T6_MAC_PORT_MTIP_SGMII_EXTENDED_STATUS 0x123c
48395
48396#define S_EXTENDED_STATUS 0
48397#define M_EXTENDED_STATUS 0xffffU
48398#define V_EXTENDED_STATUS(x) ((x) << S_EXTENDED_STATUS)
48399#define G_EXTENDED_STATUS(x) (((x) >> S_EXTENDED_STATUS) & M_EXTENDED_STATUS)
48400
48401#define A_MAC_PORT_MTIP_VL_INTVL 0x1240
48402
48403#define S_VL_INTVL 1
48404#define V_VL_INTVL(x) ((x) << S_VL_INTVL)
48405#define F_VL_INTVL V_VL_INTVL(1U)
48406
48407#define A_MAC_PORT_MTIP_SGMII_SCRATCH 0x1240
48408
48409#define S_SCRATCH 0
48410#define M_SCRATCH 0xffffU
48411#define V_SCRATCH(x) ((x) << S_SCRATCH)
48412#define G_SCRATCH(x) (((x) >> S_SCRATCH) & M_SCRATCH)
48413
48414#define A_MAC_PORT_MTIP_SGMII_REV 0x1244
48415
48416#define S_SGMII_VER 8
48417#define M_SGMII_VER 0xffU
48418#define V_SGMII_VER(x) ((x) << S_SGMII_VER)
48419#define G_SGMII_VER(x) (((x) >> S_SGMII_VER) & M_SGMII_VER)
48420
48421#define S_SGMII_REV 0
48422#define M_SGMII_REV 0xffU
48423#define V_SGMII_REV(x) ((x) << S_SGMII_REV)
48424#define G_SGMII_REV(x) (((x) >> S_SGMII_REV) & M_SGMII_REV)
48425
48426#define A_T6_MAC_PORT_MTIP_SGMII_LINK_TIMER_LO 0x1248
48427
48428#define S_LINK_TIMER_LO 0
48429#define M_LINK_TIMER_LO 0xffffU
48430#define V_LINK_TIMER_LO(x) ((x) << S_LINK_TIMER_LO)
48431#define G_LINK_TIMER_LO(x) (((x) >> S_LINK_TIMER_LO) & M_LINK_TIMER_LO)
48432
48433#define A_T6_MAC_PORT_MTIP_SGMII_LINK_TIMER_HI 0x124c
48434
48435#define S_LINK_TIMER_HI 0
48436#define M_LINK_TIMER_HI 0xffffU
48437#define V_LINK_TIMER_HI(x) ((x) << S_LINK_TIMER_HI)
48438#define G_LINK_TIMER_HI(x) (((x) >> S_LINK_TIMER_HI) & M_LINK_TIMER_HI)
48439
48440#define A_T6_MAC_PORT_MTIP_SGMII_IF_MODE 0x1250
48441
48442#define S_SGMII_DUPLEX 4
48443#define V_SGMII_DUPLEX(x) ((x) << S_SGMII_DUPLEX)
48444#define F_SGMII_DUPLEX V_SGMII_DUPLEX(1U)
48445
48446#define A_MAC_PORT_MTIP_SGMII_DECODE_ERROR 0x1254
48447
48448#define S_T6_DECODE_ERROR 0
48449#define M_T6_DECODE_ERROR 0xffffU
48450#define V_T6_DECODE_ERROR(x) ((x) << S_T6_DECODE_ERROR)
48451#define G_T6_DECODE_ERROR(x) (((x) >> S_T6_DECODE_ERROR) & M_T6_DECODE_ERROR)
48452
48453#define A_MAC_PORT_MTIP_KR_PCS_CONTROL_1 0x1300
48454
48455#define S_LOW_POWER 11
48456#define V_LOW_POWER(x) ((x) << S_LOW_POWER)
48457#define F_LOW_POWER V_LOW_POWER(1U)
48458
48459#define S_T6_SPEED_SEL1 6
48460#define V_T6_SPEED_SEL1(x) ((x) << S_T6_SPEED_SEL1)
48461#define F_T6_SPEED_SEL1 V_T6_SPEED_SEL1(1U)
48462
48463#define S_SPEED_SEL2 2
48464#define M_SPEED_SEL2 0xfU
48465#define V_SPEED_SEL2(x) ((x) << S_SPEED_SEL2)
48466#define G_SPEED_SEL2(x) (((x) >> S_SPEED_SEL2) & M_SPEED_SEL2)
48467
48468#define A_MAC_PORT_MTIP_KR_PCS_STATUS_1 0x1304
48469
48470#define S_TX_LPI 11
48471#define V_TX_LPI(x) ((x) << S_TX_LPI)
48472#define F_TX_LPI V_TX_LPI(1U)
48473
48474#define S_RX_LPI 10
48475#define V_RX_LPI(x) ((x) << S_RX_LPI)
48476#define F_RX_LPI V_RX_LPI(1U)
48477
48478#define S_TX_LPI_ACTIVE 9
48479#define V_TX_LPI_ACTIVE(x) ((x) << S_TX_LPI_ACTIVE)
48480#define F_TX_LPI_ACTIVE V_TX_LPI_ACTIVE(1U)
48481
48482#define S_RX_LPI_ACTIVE 8
48483#define V_RX_LPI_ACTIVE(x) ((x) << S_RX_LPI_ACTIVE)
48484#define F_RX_LPI_ACTIVE V_RX_LPI_ACTIVE(1U)
48485
48486#define S_FAULT 7
48487#define V_FAULT(x) ((x) << S_FAULT)
48488#define F_FAULT V_FAULT(1U)
48489
48490#define S_PCS_RX_LINK_STAT 2
48491#define V_PCS_RX_LINK_STAT(x) ((x) << S_PCS_RX_LINK_STAT)
48492#define F_PCS_RX_LINK_STAT V_PCS_RX_LINK_STAT(1U)
48493
48494#define S_LOW_POWER_ABILITY 1
48495#define V_LOW_POWER_ABILITY(x) ((x) << S_LOW_POWER_ABILITY)
48496#define F_LOW_POWER_ABILITY V_LOW_POWER_ABILITY(1U)
48497
48498#define A_MAC_PORT_MTIP_KR_PCS_DEVICE_IDENTIFIER_1 0x1308
48499#define A_MAC_PORT_MTIP_KR_PCS_DEVICE_IDENTIFIER_2 0x130c
48500#define A_MAC_PORT_MTIP_KR_PCS_SPEED_ABILITY 0x1310
48501
48502#define S_10G_CAPABLE 0
48503#define V_10G_CAPABLE(x) ((x) << S_10G_CAPABLE)
48504#define F_10G_CAPABLE V_10G_CAPABLE(1U)
48505
48506#define A_MAC_PORT_MTIP_KR_PCS_DEVICES_IN_PACKAGELO 0x1314
48507
48508#define S_AUTO_NEGOTIATION_PRESENT 7
48509#define V_AUTO_NEGOTIATION_PRESENT(x) ((x) << S_AUTO_NEGOTIATION_PRESENT)
48510#define F_AUTO_NEGOTIATION_PRESENT V_AUTO_NEGOTIATION_PRESENT(1U)
48511
48512#define S_DTE_XS_PRESENT 5
48513#define V_DTE_XS_PRESENT(x) ((x) << S_DTE_XS_PRESENT)
48514#define F_DTE_XS_PRESENT V_DTE_XS_PRESENT(1U)
48515
48516#define S_PHY_XS_PRESENT 4
48517#define V_PHY_XS_PRESENT(x) ((x) << S_PHY_XS_PRESENT)
48518#define F_PHY_XS_PRESENT V_PHY_XS_PRESENT(1U)
48519
48520#define S_PCS_PRESENT 3
48521#define V_PCS_PRESENT(x) ((x) << S_PCS_PRESENT)
48522#define F_PCS_PRESENT V_PCS_PRESENT(1U)
48523
48524#define S_WIS_PRESENT 2
48525#define V_WIS_PRESENT(x) ((x) << S_WIS_PRESENT)
48526#define F_WIS_PRESENT V_WIS_PRESENT(1U)
48527
48528#define S_PMD_PMA_PRESENT 1
48529#define V_PMD_PMA_PRESENT(x) ((x) << S_PMD_PMA_PRESENT)
48530#define F_PMD_PMA_PRESENT V_PMD_PMA_PRESENT(1U)
48531
48532#define S_CLAUSE_22_REG_PRESENT 0
48533#define V_CLAUSE_22_REG_PRESENT(x) ((x) << S_CLAUSE_22_REG_PRESENT)
48534#define F_CLAUSE_22_REG_PRESENT V_CLAUSE_22_REG_PRESENT(1U)
48535
48536#define A_MAC_PORT_MTIP_KR_PCS_DEVICES_IN_PACKAGEHI 0x1318
48537#define A_MAC_PORT_MTIP_KR_PCS_CONTROL_2 0x131c
48538
48539#define S_PCS_TYPE_SELECTION 0
48540#define M_PCS_TYPE_SELECTION 0x3U
48541#define V_PCS_TYPE_SELECTION(x) ((x) << S_PCS_TYPE_SELECTION)
48542#define G_PCS_TYPE_SELECTION(x) (((x) >> S_PCS_TYPE_SELECTION) & M_PCS_TYPE_SELECTION)
48543
48544#define A_MAC_PORT_MTIP_KR_PCS_STATUS_2 0x1320
48545
48546#define S_DEVICE_PRESENT 14
48547#define M_DEVICE_PRESENT 0x3U
48548#define V_DEVICE_PRESENT(x) ((x) << S_DEVICE_PRESENT)
48549#define G_DEVICE_PRESENT(x) (((x) >> S_DEVICE_PRESENT) & M_DEVICE_PRESENT)
48550
48551#define S_TRANSMIT_FAULT 11
48552#define V_TRANSMIT_FAULT(x) ((x) << S_TRANSMIT_FAULT)
48553#define F_TRANSMIT_FAULT V_TRANSMIT_FAULT(1U)
48554
48555#define S_RECEIVE_FAULT 10
48556#define V_RECEIVE_FAULT(x) ((x) << S_RECEIVE_FAULT)
48557#define F_RECEIVE_FAULT V_RECEIVE_FAULT(1U)
48558
48559#define S_10GBASE_W_CAPABLE 2
48560#define V_10GBASE_W_CAPABLE(x) ((x) << S_10GBASE_W_CAPABLE)
48561#define F_10GBASE_W_CAPABLE V_10GBASE_W_CAPABLE(1U)
48562
48563#define S_10GBASE_X_CAPABLE 1
48564#define V_10GBASE_X_CAPABLE(x) ((x) << S_10GBASE_X_CAPABLE)
48565#define F_10GBASE_X_CAPABLE V_10GBASE_X_CAPABLE(1U)
48566
48567#define S_10GBASE_R_CAPABLE 0
48568#define V_10GBASE_R_CAPABLE(x) ((x) << S_10GBASE_R_CAPABLE)
48569#define F_10GBASE_R_CAPABLE V_10GBASE_R_CAPABLE(1U)
48570
48571#define A_MAC_PORT_MTIP_KR_10GBASE_R_PCS_PACKAGE_IDENTIFIER_LO 0x1338
48572
48573#define S_PCS_PACKAGE_IDENTIFIER_LO 0
48574#define M_PCS_PACKAGE_IDENTIFIER_LO 0xffffU
48575#define V_PCS_PACKAGE_IDENTIFIER_LO(x) ((x) << S_PCS_PACKAGE_IDENTIFIER_LO)
48576#define G_PCS_PACKAGE_IDENTIFIER_LO(x) (((x) >> S_PCS_PACKAGE_IDENTIFIER_LO) & M_PCS_PACKAGE_IDENTIFIER_LO)
48577
48578#define A_MAC_PORT_MTIP_KR_10GBASE_R_PCS_PACKAGE_IDENTIFIER_HI 0x133c
48579
48580#define S_PCS_PACKAGE_IDENTIFIER_HI 0
48581#define M_PCS_PACKAGE_IDENTIFIER_HI 0xffffU
48582#define V_PCS_PACKAGE_IDENTIFIER_HI(x) ((x) << S_PCS_PACKAGE_IDENTIFIER_HI)
48583#define G_PCS_PACKAGE_IDENTIFIER_HI(x) (((x) >> S_PCS_PACKAGE_IDENTIFIER_HI) & M_PCS_PACKAGE_IDENTIFIER_HI)
48584
48585#define A_MAC_PORT_MTIP_KR_10GBASE_R_PCS_STATUS_1 0x1380
48586
48587#define S_10GBASE_R_RX_LINK_STATUS 12
48588#define V_10GBASE_R_RX_LINK_STATUS(x) ((x) << S_10GBASE_R_RX_LINK_STATUS)
48589#define F_10GBASE_R_RX_LINK_STATUS V_10GBASE_R_RX_LINK_STATUS(1U)
48590
48591#define S_PRBS9_PTTRN_TSTNG_ABILITY 3
48592#define V_PRBS9_PTTRN_TSTNG_ABILITY(x) ((x) << S_PRBS9_PTTRN_TSTNG_ABILITY)
48593#define F_PRBS9_PTTRN_TSTNG_ABILITY V_PRBS9_PTTRN_TSTNG_ABILITY(1U)
48594
48595#define S_PRBS31_PTTRN_TSTNG_ABILITY 2
48596#define V_PRBS31_PTTRN_TSTNG_ABILITY(x) ((x) << S_PRBS31_PTTRN_TSTNG_ABILITY)
48597#define F_PRBS31_PTTRN_TSTNG_ABILITY V_PRBS31_PTTRN_TSTNG_ABILITY(1U)
48598
48599#define S_10GBASE_R_PCS_HIGH_BER 1
48600#define V_10GBASE_R_PCS_HIGH_BER(x) ((x) << S_10GBASE_R_PCS_HIGH_BER)
48601#define F_10GBASE_R_PCS_HIGH_BER V_10GBASE_R_PCS_HIGH_BER(1U)
48602
48603#define S_10GBASE_R_PCS_BLOCK_LOCK 0
48604#define V_10GBASE_R_PCS_BLOCK_LOCK(x) ((x) << S_10GBASE_R_PCS_BLOCK_LOCK)
48605#define F_10GBASE_R_PCS_BLOCK_LOCK V_10GBASE_R_PCS_BLOCK_LOCK(1U)
48606
48607#define A_MAC_PORT_MTIP_KR_10GBASE_R_PCS_STATUS_2 0x1384
48608
48609#define S_LATCHED_BLOCK_LOCK 15
48610#define V_LATCHED_BLOCK_LOCK(x) ((x) << S_LATCHED_BLOCK_LOCK)
48611#define F_LATCHED_BLOCK_LOCK V_LATCHED_BLOCK_LOCK(1U)
48612
48613#define S_LATCHED_HIGH_BER 14
48614#define V_LATCHED_HIGH_BER(x) ((x) << S_LATCHED_HIGH_BER)
48615#define F_LATCHED_HIGH_BER V_LATCHED_HIGH_BER(1U)
48616
48617#define S_BERBER_COUNTER 8
48618#define M_BERBER_COUNTER 0x3fU
48619#define V_BERBER_COUNTER(x) ((x) << S_BERBER_COUNTER)
48620#define G_BERBER_COUNTER(x) (((x) >> S_BERBER_COUNTER) & M_BERBER_COUNTER)
48621
48622#define A_MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_SEED_A_0 0x1388
48623
48624#define S_TEST_PATTERN_SEED_A0 0
48625#define M_TEST_PATTERN_SEED_A0 0xffffU
48626#define V_TEST_PATTERN_SEED_A0(x) ((x) << S_TEST_PATTERN_SEED_A0)
48627#define G_TEST_PATTERN_SEED_A0(x) (((x) >> S_TEST_PATTERN_SEED_A0) & M_TEST_PATTERN_SEED_A0)
48628
48629#define A_MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_SEED_A_1 0x138c
48630
48631#define S_TEST_PATTERN_SEED_A1 0
48632#define M_TEST_PATTERN_SEED_A1 0xffffU
48633#define V_TEST_PATTERN_SEED_A1(x) ((x) << S_TEST_PATTERN_SEED_A1)
48634#define G_TEST_PATTERN_SEED_A1(x) (((x) >> S_TEST_PATTERN_SEED_A1) & M_TEST_PATTERN_SEED_A1)
48635
48636#define A_MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_SEED_A_2 0x1390
48637
48638#define S_TEST_PATTERN_SEED_A2 0
48639#define M_TEST_PATTERN_SEED_A2 0xffffU
48640#define V_TEST_PATTERN_SEED_A2(x) ((x) << S_TEST_PATTERN_SEED_A2)
48641#define G_TEST_PATTERN_SEED_A2(x) (((x) >> S_TEST_PATTERN_SEED_A2) & M_TEST_PATTERN_SEED_A2)
48642
48643#define A_MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_SEED_A_3 0x1394
48644
48645#define S_TEST_PATTERN_SEED_A3 0
48646#define M_TEST_PATTERN_SEED_A3 0x3ffU
48647#define V_TEST_PATTERN_SEED_A3(x) ((x) << S_TEST_PATTERN_SEED_A3)
48648#define G_TEST_PATTERN_SEED_A3(x) (((x) >> S_TEST_PATTERN_SEED_A3) & M_TEST_PATTERN_SEED_A3)
48649
48650#define A_MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_SEED_B_0 0x1398
48651
48652#define S_TEST_PATTERN_SEED_B0 0
48653#define M_TEST_PATTERN_SEED_B0 0xffffU
48654#define V_TEST_PATTERN_SEED_B0(x) ((x) << S_TEST_PATTERN_SEED_B0)
48655#define G_TEST_PATTERN_SEED_B0(x) (((x) >> S_TEST_PATTERN_SEED_B0) & M_TEST_PATTERN_SEED_B0)
48656
48657#define A_MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_SEED_B_1 0x139c
48658
48659#define S_TEST_PATTERN_SEED_B1 0
48660#define M_TEST_PATTERN_SEED_B1 0xffffU
48661#define V_TEST_PATTERN_SEED_B1(x) ((x) << S_TEST_PATTERN_SEED_B1)
48662#define G_TEST_PATTERN_SEED_B1(x) (((x) >> S_TEST_PATTERN_SEED_B1) & M_TEST_PATTERN_SEED_B1)
48663
48664#define A_MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_SEED_B_2 0x13a0
48665
48666#define S_TEST_PATTERN_SEED_B2 0
48667#define M_TEST_PATTERN_SEED_B2 0xffffU
48668#define V_TEST_PATTERN_SEED_B2(x) ((x) << S_TEST_PATTERN_SEED_B2)
48669#define G_TEST_PATTERN_SEED_B2(x) (((x) >> S_TEST_PATTERN_SEED_B2) & M_TEST_PATTERN_SEED_B2)
48670
48671#define A_MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_SEED_B_3 0x13a4
48672
48673#define S_TEST_PATTERN_SEED_B3 0
48674#define M_TEST_PATTERN_SEED_B3 0x3ffU
48675#define V_TEST_PATTERN_SEED_B3(x) ((x) << S_TEST_PATTERN_SEED_B3)
48676#define G_TEST_PATTERN_SEED_B3(x) (((x) >> S_TEST_PATTERN_SEED_B3) & M_TEST_PATTERN_SEED_B3)
48677
48678#define A_MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_CONTROL 0x13a8
48679
48680#define S_PRBS9_TX_TST_PTTRN_EN 6
48681#define V_PRBS9_TX_TST_PTTRN_EN(x) ((x) << S_PRBS9_TX_TST_PTTRN_EN)
48682#define F_PRBS9_TX_TST_PTTRN_EN V_PRBS9_TX_TST_PTTRN_EN(1U)
48683
48684#define S_PRBS31_RX_TST_PTTRN_EN 5
48685#define V_PRBS31_RX_TST_PTTRN_EN(x) ((x) << S_PRBS31_RX_TST_PTTRN_EN)
48686#define F_PRBS31_RX_TST_PTTRN_EN V_PRBS31_RX_TST_PTTRN_EN(1U)
48687
48688#define S_PRBS31_TX_TST_PTTRN_EN 4
48689#define V_PRBS31_TX_TST_PTTRN_EN(x) ((x) << S_PRBS31_TX_TST_PTTRN_EN)
48690#define F_PRBS31_TX_TST_PTTRN_EN V_PRBS31_TX_TST_PTTRN_EN(1U)
48691
48692#define S_TX_TEST_PATTERN_EN 3
48693#define V_TX_TEST_PATTERN_EN(x) ((x) << S_TX_TEST_PATTERN_EN)
48694#define F_TX_TEST_PATTERN_EN V_TX_TEST_PATTERN_EN(1U)
48695
48696#define S_RX_TEST_PATTERN_EN 2
48697#define V_RX_TEST_PATTERN_EN(x) ((x) << S_RX_TEST_PATTERN_EN)
48698#define F_RX_TEST_PATTERN_EN V_RX_TEST_PATTERN_EN(1U)
48699
48700#define S_TEST_PATTERN_SELECT 1
48701#define V_TEST_PATTERN_SELECT(x) ((x) << S_TEST_PATTERN_SELECT)
48702#define F_TEST_PATTERN_SELECT V_TEST_PATTERN_SELECT(1U)
48703
48704#define S_DATA_PATTERN_SELECT 0
48705#define V_DATA_PATTERN_SELECT(x) ((x) << S_DATA_PATTERN_SELECT)
48706#define F_DATA_PATTERN_SELECT V_DATA_PATTERN_SELECT(1U)
48707
48708#define A_MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_ERROR_COUNTER 0x13ac
48709
48710#define S_TEST_PATTERN_ERR_CNTR 0
48711#define M_TEST_PATTERN_ERR_CNTR 0xffffU
48712#define V_TEST_PATTERN_ERR_CNTR(x) ((x) << S_TEST_PATTERN_ERR_CNTR)
48713#define G_TEST_PATTERN_ERR_CNTR(x) (((x) >> S_TEST_PATTERN_ERR_CNTR) & M_TEST_PATTERN_ERR_CNTR)
48714
48715#define A_MAC_PORT_MTIP_KR_VENDOR_SPECIFIC_PCS_STATUS 0x13b4
48716
48717#define S_TRANSMIT_FIFO_FAULT 1
48718#define V_TRANSMIT_FIFO_FAULT(x) ((x) << S_TRANSMIT_FIFO_FAULT)
48719#define F_TRANSMIT_FIFO_FAULT V_TRANSMIT_FIFO_FAULT(1U)
48720
48721#define S_RECEIVE_FIFO_FAULT 0
48722#define V_RECEIVE_FIFO_FAULT(x) ((x) << S_RECEIVE_FIFO_FAULT)
48723#define F_RECEIVE_FIFO_FAULT V_RECEIVE_FIFO_FAULT(1U)
48724
48725#define A_MAC_PORT_MTIP_KR4_CONTROL_1 0x1400
48726
48727#define S_SPEED_SELECTION 13
48728#define V_SPEED_SELECTION(x) ((x) << S_SPEED_SELECTION)
48729#define F_SPEED_SELECTION V_SPEED_SELECTION(1U)
48730
48731#define S_SPEED_SELECTION1 6
48732#define V_SPEED_SELECTION1(x) ((x) << S_SPEED_SELECTION1)
48733#define F_SPEED_SELECTION1 V_SPEED_SELECTION1(1U)
48734
48735#define S_SPEED_SELECTION2 2
48736#define M_SPEED_SELECTION2 0xfU
48737#define V_SPEED_SELECTION2(x) ((x) << S_SPEED_SELECTION2)
48738#define G_SPEED_SELECTION2(x) (((x) >> S_SPEED_SELECTION2) & M_SPEED_SELECTION2)
48739
48740#define A_MAC_PORT_MTIP_KR4_STATUS_1 0x1404
48741
48742#define S_RECEIVE_LINK_STAT 2
48743#define V_RECEIVE_LINK_STAT(x) ((x) << S_RECEIVE_LINK_STAT)
48744#define F_RECEIVE_LINK_STAT V_RECEIVE_LINK_STAT(1U)
48745
48746#define A_MAC_PORT_MTIP_KR4_DEVICE_ID0 0x1408
48747#define A_MAC_PORT_MTIP_KR4_DEVICE_ID1 0x140c
48748
48749#define S_T6_DEVICE_ID1 16
48750#define M_T6_DEVICE_ID1 0xffffU
48751#define V_T6_DEVICE_ID1(x) ((x) << S_T6_DEVICE_ID1)
48752#define G_T6_DEVICE_ID1(x) (((x) >> S_T6_DEVICE_ID1) & M_T6_DEVICE_ID1)
48753
48754#define A_MAC_PORT_MTIP_KR4_SPEED_ABILITY 0x1410
48755
48756#define S_100G_CAPABLE 3
48757#define V_100G_CAPABLE(x) ((x) << S_100G_CAPABLE)
48758#define F_100G_CAPABLE V_100G_CAPABLE(1U)
48759
48760#define S_40G_CAPABLE 2
48761#define V_40G_CAPABLE(x) ((x) << S_40G_CAPABLE)
48762#define F_40G_CAPABLE V_40G_CAPABLE(1U)
48763
48764#define S_10PASS_TS_2BASE_TL_CAPABLE 1
48765#define V_10PASS_TS_2BASE_TL_CAPABLE(x) ((x) << S_10PASS_TS_2BASE_TL_CAPABLE)
48766#define F_10PASS_TS_2BASE_TL_CAPABLE V_10PASS_TS_2BASE_TL_CAPABLE(1U)
48767
48768#define A_MAC_PORT_MTIP_KR4_DEVICES_IN_PKG1 0x1414
48769
48770#define S_CLAUSE_22_REG 0
48771#define V_CLAUSE_22_REG(x) ((x) << S_CLAUSE_22_REG)
48772#define F_CLAUSE_22_REG V_CLAUSE_22_REG(1U)
48773
48774#define A_MAC_PORT_MTIP_KR4_DEVICES_IN_PKG2 0x1418
48775
48776#define S_VENDOR_SPECIFIC_DEVICE 15
48777#define V_VENDOR_SPECIFIC_DEVICE(x) ((x) << S_VENDOR_SPECIFIC_DEVICE)
48778#define F_VENDOR_SPECIFIC_DEVICE V_VENDOR_SPECIFIC_DEVICE(1U)
48779
48780#define S_VENDOR_SPECIFIC_DEVICE1 14
48781#define V_VENDOR_SPECIFIC_DEVICE1(x) ((x) << S_VENDOR_SPECIFIC_DEVICE1)
48782#define F_VENDOR_SPECIFIC_DEVICE1 V_VENDOR_SPECIFIC_DEVICE1(1U)
48783
48784#define S_CLAUSE_22_EXT 13
48785#define V_CLAUSE_22_EXT(x) ((x) << S_CLAUSE_22_EXT)
48786#define F_CLAUSE_22_EXT V_CLAUSE_22_EXT(1U)
48787
48788#define A_MAC_PORT_MTIP_KR4_CONTROL_2 0x141c
48789
48790#define S_PCS_TYPE_SEL 0
48791#define M_PCS_TYPE_SEL 0x7U
48792#define V_PCS_TYPE_SEL(x) ((x) << S_PCS_TYPE_SEL)
48793#define G_PCS_TYPE_SEL(x) (((x) >> S_PCS_TYPE_SEL) & M_PCS_TYPE_SEL)
48794
48795#define A_MAC_PORT_MTIP_KR4_STATUS_2 0x1420
48796
48797#define S_100GBASE_R_CAPABLE 5
48798#define V_100GBASE_R_CAPABLE(x) ((x) << S_100GBASE_R_CAPABLE)
48799#define F_100GBASE_R_CAPABLE V_100GBASE_R_CAPABLE(1U)
48800
48801#define S_40GBASE_R_CAPABLE 4
48802#define V_40GBASE_R_CAPABLE(x) ((x) << S_40GBASE_R_CAPABLE)
48803#define F_40GBASE_R_CAPABLE V_40GBASE_R_CAPABLE(1U)
48804
48805#define S_10GBASE_T_CAPABLE 3
48806#define V_10GBASE_T_CAPABLE(x) ((x) << S_10GBASE_T_CAPABLE)
48807#define F_10GBASE_T_CAPABLE V_10GBASE_T_CAPABLE(1U)
48808
48809#define A_MAC_PORT_MTIP_KR4_PKG_ID0 0x1438
48810#define A_MAC_PORT_MTIP_KR4_PKG_ID1 0x143c
48811#define A_MAC_PORT_MTIP_KR4_BASE_R_STATUS_1 0x1480
48812
48813#define S_T6_RX_LINK_STATUS 12
48814#define V_T6_RX_LINK_STATUS(x) ((x) << S_T6_RX_LINK_STATUS)
48815#define F_T6_RX_LINK_STATUS V_T6_RX_LINK_STATUS(1U)
48816
48817#define S_HIGH_BER 1
48818#define V_HIGH_BER(x) ((x) << S_HIGH_BER)
48819#define F_HIGH_BER V_HIGH_BER(1U)
48820
48821#define S_KR4_BLOCK_LOCK 0
48822#define V_KR4_BLOCK_LOCK(x) ((x) << S_KR4_BLOCK_LOCK)
48823#define F_KR4_BLOCK_LOCK V_KR4_BLOCK_LOCK(1U)
48824
48825#define A_MAC_PORT_MTIP_KR4_BASE_R_STATUS_2 0x1484
48826
48827#define S_LATCHED_BL_LK 15
48828#define V_LATCHED_BL_LK(x) ((x) << S_LATCHED_BL_LK)
48829#define F_LATCHED_BL_LK V_LATCHED_BL_LK(1U)
48830
48831#define S_LATCHED_HG_BR 14
48832#define V_LATCHED_HG_BR(x) ((x) << S_LATCHED_HG_BR)
48833#define F_LATCHED_HG_BR V_LATCHED_HG_BR(1U)
48834
48835#define S_BER_CNT 8
48836#define M_BER_CNT 0x3fU
48837#define V_BER_CNT(x) ((x) << S_BER_CNT)
48838#define G_BER_CNT(x) (((x) >> S_BER_CNT) & M_BER_CNT)
48839
48840#define S_ERR_BL_CNT 0
48841#define M_ERR_BL_CNT 0xffU
48842#define V_ERR_BL_CNT(x) ((x) << S_ERR_BL_CNT)
48843#define G_ERR_BL_CNT(x) (((x) >> S_ERR_BL_CNT) & M_ERR_BL_CNT)
48844
48845#define A_MAC_PORT_MTIP_KR4_BASE_R_TEST_CONTROL 0x14a8
48846
48847#define S_TX_TP_EN 3
48848#define V_TX_TP_EN(x) ((x) << S_TX_TP_EN)
48849#define F_TX_TP_EN V_TX_TP_EN(1U)
48850
48851#define S_RX_TP_EN 2
48852#define V_RX_TP_EN(x) ((x) << S_RX_TP_EN)
48853#define F_RX_TP_EN V_RX_TP_EN(1U)
48854
48855#define A_MAC_PORT_MTIP_KR4_BASE_R_TEST_ERR_CNT 0x14ac
48856
48857#define S_TP_ERR_CNTR 0
48858#define M_TP_ERR_CNTR 0xffffU
48859#define V_TP_ERR_CNTR(x) ((x) << S_TP_ERR_CNTR)
48860#define G_TP_ERR_CNTR(x) (((x) >> S_TP_ERR_CNTR) & M_TP_ERR_CNTR)
48861
48862#define A_MAC_PORT_MTIP_KR4_BER_HIGH_ORDER_CNT 0x14b0
48863
48864#define S_BER_HI_ORDER_CNT 0
48865#define M_BER_HI_ORDER_CNT 0xffffU
48866#define V_BER_HI_ORDER_CNT(x) ((x) << S_BER_HI_ORDER_CNT)
48867#define G_BER_HI_ORDER_CNT(x) (((x) >> S_BER_HI_ORDER_CNT) & M_BER_HI_ORDER_CNT)
48868
48869#define A_MAC_PORT_MTIP_KR4_ERR_BLK_HIGH_ORDER_CNT 0x14b4
48870
48871#define S_HI_ORDER_CNT_EN 15
48872#define V_HI_ORDER_CNT_EN(x) ((x) << S_HI_ORDER_CNT_EN)
48873#define F_HI_ORDER_CNT_EN V_HI_ORDER_CNT_EN(1U)
48874
48875#define S_ERR_BLK_CNTR 0
48876#define M_ERR_BLK_CNTR 0x3fffU
48877#define V_ERR_BLK_CNTR(x) ((x) << S_ERR_BLK_CNTR)
48878#define G_ERR_BLK_CNTR(x) (((x) >> S_ERR_BLK_CNTR) & M_ERR_BLK_CNTR)
48879
48880#define A_MAC_PORT_MTIP_KR4_MULTI_LANE_ALIGN_STATUS_1 0x14c8
48881
48882#define S_LANE_ALIGN_STATUS 12
48883#define V_LANE_ALIGN_STATUS(x) ((x) << S_LANE_ALIGN_STATUS)
48884#define F_LANE_ALIGN_STATUS V_LANE_ALIGN_STATUS(1U)
48885
48886#define S_LANE_3_BLK_LCK 3
48887#define V_LANE_3_BLK_LCK(x) ((x) << S_LANE_3_BLK_LCK)
48888#define F_LANE_3_BLK_LCK V_LANE_3_BLK_LCK(1U)
48889
48890#define S_LANE_2_BLK_LC32_6431K 2
48891#define V_LANE_2_BLK_LC32_6431K(x) ((x) << S_LANE_2_BLK_LC32_6431K)
48892#define F_LANE_2_BLK_LC32_6431K V_LANE_2_BLK_LC32_6431K(1U)
48893
48894#define S_LANE_1_BLK_LCK 1
48895#define V_LANE_1_BLK_LCK(x) ((x) << S_LANE_1_BLK_LCK)
48896#define F_LANE_1_BLK_LCK V_LANE_1_BLK_LCK(1U)
48897
48898#define S_LANE_0_BLK_LCK 0
48899#define V_LANE_0_BLK_LCK(x) ((x) << S_LANE_0_BLK_LCK)
48900#define F_LANE_0_BLK_LCK V_LANE_0_BLK_LCK(1U)
48901
48902#define A_MAC_PORT_MTIP_KR4_MULTI_LANE_ALIGN_STATUS_2 0x14cc
48903#define A_MAC_PORT_MTIP_KR4_MULTI_LANE_ALIGN_STATUS_3 0x14d0
48904
48905#define S_LANE_3_ALIGN_MRKR_LCK 3
48906#define V_LANE_3_ALIGN_MRKR_LCK(x) ((x) << S_LANE_3_ALIGN_MRKR_LCK)
48907#define F_LANE_3_ALIGN_MRKR_LCK V_LANE_3_ALIGN_MRKR_LCK(1U)
48908
48909#define S_LANE_2_ALIGN_MRKR_LCK 2
48910#define V_LANE_2_ALIGN_MRKR_LCK(x) ((x) << S_LANE_2_ALIGN_MRKR_LCK)
48911#define F_LANE_2_ALIGN_MRKR_LCK V_LANE_2_ALIGN_MRKR_LCK(1U)
48912
48913#define S_LANE_1_ALIGN_MRKR_LCK 1
48914#define V_LANE_1_ALIGN_MRKR_LCK(x) ((x) << S_LANE_1_ALIGN_MRKR_LCK)
48915#define F_LANE_1_ALIGN_MRKR_LCK V_LANE_1_ALIGN_MRKR_LCK(1U)
48916
48917#define S_LANE_0_ALIGN_MRKR_LCK 0
48918#define V_LANE_0_ALIGN_MRKR_LCK(x) ((x) << S_LANE_0_ALIGN_MRKR_LCK)
48919#define F_LANE_0_ALIGN_MRKR_LCK V_LANE_0_ALIGN_MRKR_LCK(1U)
48920
48921#define A_MAC_PORT_MTIP_KR4_MULTI_LANE_ALIGN_STATUS_4 0x14d4
48922#define A_MAC_PORT_MTIP_MDIO_CFG_STATUS 0x1600
48923
48924#define S_CLK_DIV 7
48925#define M_CLK_DIV 0x1ffU
48926#define V_CLK_DIV(x) ((x) << S_CLK_DIV)
48927#define G_CLK_DIV(x) (((x) >> S_CLK_DIV) & M_CLK_DIV)
48928
48929#define S_CL45_EN 6
48930#define V_CL45_EN(x) ((x) << S_CL45_EN)
48931#define F_CL45_EN V_CL45_EN(1U)
48932
48933#define S_DISABLE_PREAMBLE 5
48934#define V_DISABLE_PREAMBLE(x) ((x) << S_DISABLE_PREAMBLE)
48935#define F_DISABLE_PREAMBLE V_DISABLE_PREAMBLE(1U)
48936
48937#define S_MDIO_HOLD_TIME 2
48938#define M_MDIO_HOLD_TIME 0x7U
48939#define V_MDIO_HOLD_TIME(x) ((x) << S_MDIO_HOLD_TIME)
48940#define G_MDIO_HOLD_TIME(x) (((x) >> S_MDIO_HOLD_TIME) & M_MDIO_HOLD_TIME)
48941
48942#define S_MDIO_READ_ERR 1
48943#define V_MDIO_READ_ERR(x) ((x) << S_MDIO_READ_ERR)
48944#define F_MDIO_READ_ERR V_MDIO_READ_ERR(1U)
48945
48946#define S_MDIO_BUSY 0
48947#define V_MDIO_BUSY(x) ((x) << S_MDIO_BUSY)
48948#define F_MDIO_BUSY V_MDIO_BUSY(1U)
48949
48950#define A_MAC_PORT_MTIP_MDIO_COMMAND 0x1604
48951
48952#define S_MDIO_CMD_READ 15
48953#define V_MDIO_CMD_READ(x) ((x) << S_MDIO_CMD_READ)
48954#define F_MDIO_CMD_READ V_MDIO_CMD_READ(1U)
48955
48956#define S_READ_INCR 14
48957#define V_READ_INCR(x) ((x) << S_READ_INCR)
48958#define F_READ_INCR V_READ_INCR(1U)
48959
48960#define S_PORT_ADDR 5
48961#define M_PORT_ADDR 0x1fU
48962#define V_PORT_ADDR(x) ((x) << S_PORT_ADDR)
48963#define G_PORT_ADDR(x) (((x) >> S_PORT_ADDR) & M_PORT_ADDR)
48964
48965#define S_DEV_ADDR 0
48966#define M_DEV_ADDR 0x1fU
48967#define V_DEV_ADDR(x) ((x) << S_DEV_ADDR)
48968#define G_DEV_ADDR(x) (((x) >> S_DEV_ADDR) & M_DEV_ADDR)
48969
48970#define A_MAC_PORT_MTIP_MDIO_DATA 0x1608
48971
48972#define S_READBUSY 31
48973#define V_READBUSY(x) ((x) << S_READBUSY)
48974#define F_READBUSY V_READBUSY(1U)
48975
48976#define S_DATA_WORD 0
48977#define M_DATA_WORD 0xffffU
48978#define V_DATA_WORD(x) ((x) << S_DATA_WORD)
48979#define G_DATA_WORD(x) (((x) >> S_DATA_WORD) & M_DATA_WORD)
48980
48981#define A_MAC_PORT_MTIP_MDIO_REGADDR 0x160c
48982
48983#define S_MDIO_ADDR 0
48984#define M_MDIO_ADDR 0xffffU
48985#define V_MDIO_ADDR(x) ((x) << S_MDIO_ADDR)
48986#define G_MDIO_ADDR(x) (((x) >> S_MDIO_ADDR) & M_MDIO_ADDR)
48987
48988#define A_MAC_PORT_MTIP_KR4_BIP_ERR_CNT_LANE_0 0x1720
48989
48990#define S_BIP_ERR_CNT_LANE_0 0
48991#define M_BIP_ERR_CNT_LANE_0 0xffffU
48992#define V_BIP_ERR_CNT_LANE_0(x) ((x) << S_BIP_ERR_CNT_LANE_0)
48993#define G_BIP_ERR_CNT_LANE_0(x) (((x) >> S_BIP_ERR_CNT_LANE_0) & M_BIP_ERR_CNT_LANE_0)
48994
48995#define A_MAC_PORT_MTIP_KR4_BIP_ERR_CNT_LANE_1 0x1724
48996
48997#define S_BIP_ERR_CNT_LANE_1 0
48998#define M_BIP_ERR_CNT_LANE_1 0xffffU
48999#define V_BIP_ERR_CNT_LANE_1(x) ((x) << S_BIP_ERR_CNT_LANE_1)
49000#define G_BIP_ERR_CNT_LANE_1(x) (((x) >> S_BIP_ERR_CNT_LANE_1) & M_BIP_ERR_CNT_LANE_1)
49001
49002#define A_MAC_PORT_MTIP_KR4_BIP_ERR_CNT_LANE_2 0x1728
49003
49004#define S_BIP_ERR_CNT_LANE_2 0
49005#define M_BIP_ERR_CNT_LANE_2 0xffffU
49006#define V_BIP_ERR_CNT_LANE_2(x) ((x) << S_BIP_ERR_CNT_LANE_2)
49007#define G_BIP_ERR_CNT_LANE_2(x) (((x) >> S_BIP_ERR_CNT_LANE_2) & M_BIP_ERR_CNT_LANE_2)
49008
49009#define A_MAC_PORT_MTIP_KR4_BIP_ERR_CNT_LANE_3 0x172c
49010
49011#define S_BIP_ERR_CNT_LANE_3 0
49012#define M_BIP_ERR_CNT_LANE_3 0xffffU
49013#define V_BIP_ERR_CNT_LANE_3(x) ((x) << S_BIP_ERR_CNT_LANE_3)
49014#define G_BIP_ERR_CNT_LANE_3(x) (((x) >> S_BIP_ERR_CNT_LANE_3) & M_BIP_ERR_CNT_LANE_3)
49015
49016#define A_MAC_PORT_MTIP_VLAN_TPID_0 0x1a00
49017
49018#define S_VLANTAG 0
49019#define CXGBE_M_VLANTAG 0xffffU
49020#define V_VLANTAG(x) ((x) << S_VLANTAG)
49021#define G_VLANTAG(x) (((x) >> S_VLANTAG) & CXGBE_M_VLANTAG)
49022
49023#define A_MAC_PORT_MTIP_VLAN_TPID_1 0x1a04
49024#define A_MAC_PORT_MTIP_VLAN_TPID_2 0x1a08
49025#define A_MAC_PORT_MTIP_VLAN_TPID_3 0x1a0c
49026#define A_MAC_PORT_MTIP_VLAN_TPID_4 0x1a10
49027#define A_MAC_PORT_MTIP_VLAN_TPID_5 0x1a14
49028#define A_MAC_PORT_MTIP_VLAN_TPID_6 0x1a18
49029#define A_MAC_PORT_MTIP_VLAN_TPID_7 0x1a1c
49030#define A_MAC_PORT_MTIP_KR4_LANE_0_MAPPING 0x1a40
49031
49032#define S_KR4_LANE_0_MAPPING 0
49033#define M_KR4_LANE_0_MAPPING 0x3U
49034#define V_KR4_LANE_0_MAPPING(x) ((x) << S_KR4_LANE_0_MAPPING)
49035#define G_KR4_LANE_0_MAPPING(x) (((x) >> S_KR4_LANE_0_MAPPING) & M_KR4_LANE_0_MAPPING)
49036
49037#define A_MAC_PORT_MTIP_KR4_LANE_1_MAPPING 0x1a44
49038
49039#define S_KR4_LANE_1_MAPPING 0
49040#define M_KR4_LANE_1_MAPPING 0x3U
49041#define V_KR4_LANE_1_MAPPING(x) ((x) << S_KR4_LANE_1_MAPPING)
49042#define G_KR4_LANE_1_MAPPING(x) (((x) >> S_KR4_LANE_1_MAPPING) & M_KR4_LANE_1_MAPPING)
49043
49044#define A_MAC_PORT_MTIP_KR4_LANE_2_MAPPING 0x1a48
49045
49046#define S_KR4_LANE_2_MAPPING 0
49047#define M_KR4_LANE_2_MAPPING 0x3U
49048#define V_KR4_LANE_2_MAPPING(x) ((x) << S_KR4_LANE_2_MAPPING)
49049#define G_KR4_LANE_2_MAPPING(x) (((x) >> S_KR4_LANE_2_MAPPING) & M_KR4_LANE_2_MAPPING)
49050
49051#define A_MAC_PORT_MTIP_KR4_LANE_3_MAPPING 0x1a4c
49052
49053#define S_KR4_LANE_3_MAPPING 0
49054#define M_KR4_LANE_3_MAPPING 0x3U
49055#define V_KR4_LANE_3_MAPPING(x) ((x) << S_KR4_LANE_3_MAPPING)
49056#define G_KR4_LANE_3_MAPPING(x) (((x) >> S_KR4_LANE_3_MAPPING) & M_KR4_LANE_3_MAPPING)
49057
49058#define A_MAC_PORT_MTIP_KR4_SCRATCH 0x1af0
49059#define A_MAC_PORT_MTIP_KR4_CORE_REVISION 0x1af4
49060#define A_MAC_PORT_MTIP_KR4_VL_INTVL 0x1af8
49061
49062#define S_SHRT_MRKR_CNFG 0
49063#define V_SHRT_MRKR_CNFG(x) ((x) << S_SHRT_MRKR_CNFG)
49064#define F_SHRT_MRKR_CNFG V_SHRT_MRKR_CNFG(1U)
49065
49066#define A_MAC_PORT_MTIP_KR4_TX_LANE_THRESH 0x1afc
49067#define A_MAC_PORT_MTIP_CR4_CONTROL_1 0x1b00
49068#define A_MAC_PORT_MTIP_CR4_STATUS_1 0x1b04
49069
49070#define S_CR4_RX_LINK_STATUS 2
49071#define V_CR4_RX_LINK_STATUS(x) ((x) << S_CR4_RX_LINK_STATUS)
49072#define F_CR4_RX_LINK_STATUS V_CR4_RX_LINK_STATUS(1U)
49073
49074#define A_MAC_PORT_MTIP_CR4_DEVICE_ID0 0x1b08
49075
49076#define S_CR4_DEVICE_ID0 0
49077#define M_CR4_DEVICE_ID0 0xffffU
49078#define V_CR4_DEVICE_ID0(x) ((x) << S_CR4_DEVICE_ID0)
49079#define G_CR4_DEVICE_ID0(x) (((x) >> S_CR4_DEVICE_ID0) & M_CR4_DEVICE_ID0)
49080
49081#define A_MAC_PORT_MTIP_CR4_DEVICE_ID1 0x1b0c
49082
49083#define S_CR4_DEVICE_ID1 0
49084#define M_CR4_DEVICE_ID1 0xffffU
49085#define V_CR4_DEVICE_ID1(x) ((x) << S_CR4_DEVICE_ID1)
49086#define G_CR4_DEVICE_ID1(x) (((x) >> S_CR4_DEVICE_ID1) & M_CR4_DEVICE_ID1)
49087
49088#define A_MAC_PORT_MTIP_CR4_SPEED_ABILITY 0x1b10
49089
49090#define S_CR4_100G_CAPABLE 8
49091#define V_CR4_100G_CAPABLE(x) ((x) << S_CR4_100G_CAPABLE)
49092#define F_CR4_100G_CAPABLE V_CR4_100G_CAPABLE(1U)
49093
49094#define S_CR4_40G_CAPABLE 7
49095#define V_CR4_40G_CAPABLE(x) ((x) << S_CR4_40G_CAPABLE)
49096#define F_CR4_40G_CAPABLE V_CR4_40G_CAPABLE(1U)
49097
49098#define A_MAC_PORT_MTIP_CR4_DEVICES_IN_PKG1 0x1b14
49099
49100#define S_CLAUSE22REG_PRESENT 0
49101#define V_CLAUSE22REG_PRESENT(x) ((x) << S_CLAUSE22REG_PRESENT)
49102#define F_CLAUSE22REG_PRESENT V_CLAUSE22REG_PRESENT(1U)
49103
49104#define A_MAC_PORT_MTIP_CR4_DEVICES_IN_PKG2 0x1b18
49105
49106#define S_VSD_2_PRESENT 15
49107#define V_VSD_2_PRESENT(x) ((x) << S_VSD_2_PRESENT)
49108#define F_VSD_2_PRESENT V_VSD_2_PRESENT(1U)
49109
49110#define S_VSD_1_PRESENT 14
49111#define V_VSD_1_PRESENT(x) ((x) << S_VSD_1_PRESENT)
49112#define F_VSD_1_PRESENT V_VSD_1_PRESENT(1U)
49113
49114#define S_CLAUSE22_EXT_PRESENT 13
49115#define V_CLAUSE22_EXT_PRESENT(x) ((x) << S_CLAUSE22_EXT_PRESENT)
49116#define F_CLAUSE22_EXT_PRESENT V_CLAUSE22_EXT_PRESENT(1U)
49117
49118#define A_MAC_PORT_MTIP_CR4_CONTROL_2 0x1b1c
49119
49120#define S_CR4_PCS_TYPE_SELECTION 0
49121#define M_CR4_PCS_TYPE_SELECTION 0x7U
49122#define V_CR4_PCS_TYPE_SELECTION(x) ((x) << S_CR4_PCS_TYPE_SELECTION)
49123#define G_CR4_PCS_TYPE_SELECTION(x) (((x) >> S_CR4_PCS_TYPE_SELECTION) & M_CR4_PCS_TYPE_SELECTION)
49124
49125#define A_MAC_PORT_MTIP_CR4_STATUS_2 0x1b20
49126#define A_MAC_PORT_MTIP_CR4_PKG_ID0 0x1b38
49127#define A_MAC_PORT_MTIP_CR4_PKG_ID1 0x1b3c
49128#define A_MAC_PORT_MTIP_CR4_BASE_R_STATUS_1 0x1b80
49129
49130#define S_RX_LINK_STAT 12
49131#define V_RX_LINK_STAT(x) ((x) << S_RX_LINK_STAT)
49132#define F_RX_LINK_STAT V_RX_LINK_STAT(1U)
49133
49134#define S_BR_BLOCK_LOCK 0
49135#define V_BR_BLOCK_LOCK(x) ((x) << S_BR_BLOCK_LOCK)
49136#define F_BR_BLOCK_LOCK V_BR_BLOCK_LOCK(1U)
49137
49138#define A_MAC_PORT_MTIP_CR4_BASE_R_STATUS_2 0x1b84
49139
49140#define S_BER_COUNTER 8
49141#define M_BER_COUNTER 0x3fU
49142#define V_BER_COUNTER(x) ((x) << S_BER_COUNTER)
49143#define G_BER_COUNTER(x) (((x) >> S_BER_COUNTER) & M_BER_COUNTER)
49144
49145#define S_ERRORED_BLOCKS_CNTR 0
49146#define M_ERRORED_BLOCKS_CNTR 0xffU
49147#define V_ERRORED_BLOCKS_CNTR(x) ((x) << S_ERRORED_BLOCKS_CNTR)
49148#define G_ERRORED_BLOCKS_CNTR(x) (((x) >> S_ERRORED_BLOCKS_CNTR) & M_ERRORED_BLOCKS_CNTR)
49149
49150#define A_MAC_PORT_MTIP_CR4_BASE_R_TEST_CONTROL 0x1ba8
49151
49152#define S_SCRAMBLED_ID_TP_EN 7
49153#define V_SCRAMBLED_ID_TP_EN(x) ((x) << S_SCRAMBLED_ID_TP_EN)
49154#define F_SCRAMBLED_ID_TP_EN V_SCRAMBLED_ID_TP_EN(1U)
49155
49156#define A_MAC_PORT_MTIP_CR4_BASE_R_TEST_ERR_CNT 0x1bac
49157
49158#define S_BASE_R_TEST_ERR_CNT 0
49159#define M_BASE_R_TEST_ERR_CNT 0xffffU
49160#define V_BASE_R_TEST_ERR_CNT(x) ((x) << S_BASE_R_TEST_ERR_CNT)
49161#define G_BASE_R_TEST_ERR_CNT(x) (((x) >> S_BASE_R_TEST_ERR_CNT) & M_BASE_R_TEST_ERR_CNT)
49162
49163#define A_MAC_PORT_MTIP_CR4_BER_HIGH_ORDER_CNT 0x1bb0
49164
49165#define S_BER_HIGH_ORDER_CNT 0
49166#define M_BER_HIGH_ORDER_CNT 0xffffU
49167#define V_BER_HIGH_ORDER_CNT(x) ((x) << S_BER_HIGH_ORDER_CNT)
49168#define G_BER_HIGH_ORDER_CNT(x) (((x) >> S_BER_HIGH_ORDER_CNT) & M_BER_HIGH_ORDER_CNT)
49169
49170#define A_MAC_PORT_MTIP_CR4_ERR_BLK_HIGH_ORDER_CNT 0x1bb4
49171
49172#define S_HI_ORDER_CNT_PRESENT 15
49173#define V_HI_ORDER_CNT_PRESENT(x) ((x) << S_HI_ORDER_CNT_PRESENT)
49174#define F_HI_ORDER_CNT_PRESENT V_HI_ORDER_CNT_PRESENT(1U)
49175
49176#define S_ERR_BLKS_CNTR 0
49177#define M_ERR_BLKS_CNTR 0x3fffU
49178#define V_ERR_BLKS_CNTR(x) ((x) << S_ERR_BLKS_CNTR)
49179#define G_ERR_BLKS_CNTR(x) (((x) >> S_ERR_BLKS_CNTR) & M_ERR_BLKS_CNTR)
49180
49181#define A_MAC_PORT_MTIP_CR4_MULTI_LANE_ALIGN_STATUS_1 0x1bc8
49182
49183#define S_LANE_ALIGN_STAT 12
49184#define V_LANE_ALIGN_STAT(x) ((x) << S_LANE_ALIGN_STAT)
49185#define F_LANE_ALIGN_STAT V_LANE_ALIGN_STAT(1U)
49186
49187#define S_LANE_7_BLCK_LCK 7
49188#define V_LANE_7_BLCK_LCK(x) ((x) << S_LANE_7_BLCK_LCK)
49189#define F_LANE_7_BLCK_LCK V_LANE_7_BLCK_LCK(1U)
49190
49191#define S_LANE_6_BLCK_LCK 6
49192#define V_LANE_6_BLCK_LCK(x) ((x) << S_LANE_6_BLCK_LCK)
49193#define F_LANE_6_BLCK_LCK V_LANE_6_BLCK_LCK(1U)
49194
49195#define S_LANE_5_BLCK_LCK 5
49196#define V_LANE_5_BLCK_LCK(x) ((x) << S_LANE_5_BLCK_LCK)
49197#define F_LANE_5_BLCK_LCK V_LANE_5_BLCK_LCK(1U)
49198
49199#define S_LANE_4_BLCK_LCK 4
49200#define V_LANE_4_BLCK_LCK(x) ((x) << S_LANE_4_BLCK_LCK)
49201#define F_LANE_4_BLCK_LCK V_LANE_4_BLCK_LCK(1U)
49202
49203#define S_LANE_3_BLCK_LCK 3
49204#define V_LANE_3_BLCK_LCK(x) ((x) << S_LANE_3_BLCK_LCK)
49205#define F_LANE_3_BLCK_LCK V_LANE_3_BLCK_LCK(1U)
49206
49207#define S_LANE_2_BLCK_LCK 2
49208#define V_LANE_2_BLCK_LCK(x) ((x) << S_LANE_2_BLCK_LCK)
49209#define F_LANE_2_BLCK_LCK V_LANE_2_BLCK_LCK(1U)
49210
49211#define S_LANE_1_BLCK_LCK 1
49212#define V_LANE_1_BLCK_LCK(x) ((x) << S_LANE_1_BLCK_LCK)
49213#define F_LANE_1_BLCK_LCK V_LANE_1_BLCK_LCK(1U)
49214
49215#define S_LANE_0_BLCK_LCK 0
49216#define V_LANE_0_BLCK_LCK(x) ((x) << S_LANE_0_BLCK_LCK)
49217#define F_LANE_0_BLCK_LCK V_LANE_0_BLCK_LCK(1U)
49218
49219#define A_MAC_PORT_MTIP_CR4_MULTI_LANE_ALIGN_STATUS_2 0x1bcc
49220
49221#define S_LANE_19_BLCK_LCK 11
49222#define V_LANE_19_BLCK_LCK(x) ((x) << S_LANE_19_BLCK_LCK)
49223#define F_LANE_19_BLCK_LCK V_LANE_19_BLCK_LCK(1U)
49224
49225#define S_LANE_18_BLCK_LCK 10
49226#define V_LANE_18_BLCK_LCK(x) ((x) << S_LANE_18_BLCK_LCK)
49227#define F_LANE_18_BLCK_LCK V_LANE_18_BLCK_LCK(1U)
49228
49229#define S_LANE_17_BLCK_LCK 9
49230#define V_LANE_17_BLCK_LCK(x) ((x) << S_LANE_17_BLCK_LCK)
49231#define F_LANE_17_BLCK_LCK V_LANE_17_BLCK_LCK(1U)
49232
49233#define S_LANE_16_BLCK_LCK 8
49234#define V_LANE_16_BLCK_LCK(x) ((x) << S_LANE_16_BLCK_LCK)
49235#define F_LANE_16_BLCK_LCK V_LANE_16_BLCK_LCK(1U)
49236
49237#define S_LANE_15_BLCK_LCK 7
49238#define V_LANE_15_BLCK_LCK(x) ((x) << S_LANE_15_BLCK_LCK)
49239#define F_LANE_15_BLCK_LCK V_LANE_15_BLCK_LCK(1U)
49240
49241#define S_LANE_14_BLCK_LCK 6
49242#define V_LANE_14_BLCK_LCK(x) ((x) << S_LANE_14_BLCK_LCK)
49243#define F_LANE_14_BLCK_LCK V_LANE_14_BLCK_LCK(1U)
49244
49245#define S_LANE_13_BLCK_LCK 5
49246#define V_LANE_13_BLCK_LCK(x) ((x) << S_LANE_13_BLCK_LCK)
49247#define F_LANE_13_BLCK_LCK V_LANE_13_BLCK_LCK(1U)
49248
49249#define S_LANE_12_BLCK_LCK 4
49250#define V_LANE_12_BLCK_LCK(x) ((x) << S_LANE_12_BLCK_LCK)
49251#define F_LANE_12_BLCK_LCK V_LANE_12_BLCK_LCK(1U)
49252
49253#define S_LANE_11_BLCK_LCK 3
49254#define V_LANE_11_BLCK_LCK(x) ((x) << S_LANE_11_BLCK_LCK)
49255#define F_LANE_11_BLCK_LCK V_LANE_11_BLCK_LCK(1U)
49256
49257#define S_LANE_10_BLCK_LCK 2
49258#define V_LANE_10_BLCK_LCK(x) ((x) << S_LANE_10_BLCK_LCK)
49259#define F_LANE_10_BLCK_LCK V_LANE_10_BLCK_LCK(1U)
49260
49261#define S_LANE_9_BLCK_LCK 1
49262#define V_LANE_9_BLCK_LCK(x) ((x) << S_LANE_9_BLCK_LCK)
49263#define F_LANE_9_BLCK_LCK V_LANE_9_BLCK_LCK(1U)
49264
49265#define S_LANE_8_BLCK_LCK 0
49266#define V_LANE_8_BLCK_LCK(x) ((x) << S_LANE_8_BLCK_LCK)
49267#define F_LANE_8_BLCK_LCK V_LANE_8_BLCK_LCK(1U)
49268
49269#define A_MAC_PORT_MTIP_CR4_MULTI_LANE_ALIGN_STATUS_3 0x1bd0
49270
49271#define S_LANE7_ALGN_MRKR_LCK 7
49272#define V_LANE7_ALGN_MRKR_LCK(x) ((x) << S_LANE7_ALGN_MRKR_LCK)
49273#define F_LANE7_ALGN_MRKR_LCK V_LANE7_ALGN_MRKR_LCK(1U)
49274
49275#define S_LANE6_ALGN_MRKR_LCK 6
49276#define V_LANE6_ALGN_MRKR_LCK(x) ((x) << S_LANE6_ALGN_MRKR_LCK)
49277#define F_LANE6_ALGN_MRKR_LCK V_LANE6_ALGN_MRKR_LCK(1U)
49278
49279#define S_LANE5_ALGN_MRKR_LCK 5
49280#define V_LANE5_ALGN_MRKR_LCK(x) ((x) << S_LANE5_ALGN_MRKR_LCK)
49281#define F_LANE5_ALGN_MRKR_LCK V_LANE5_ALGN_MRKR_LCK(1U)
49282
49283#define S_LANE4_ALGN_MRKR_LCK 4
49284#define V_LANE4_ALGN_MRKR_LCK(x) ((x) << S_LANE4_ALGN_MRKR_LCK)
49285#define F_LANE4_ALGN_MRKR_LCK V_LANE4_ALGN_MRKR_LCK(1U)
49286
49287#define S_LANE3_ALGN_MRKR_LCK 3
49288#define V_LANE3_ALGN_MRKR_LCK(x) ((x) << S_LANE3_ALGN_MRKR_LCK)
49289#define F_LANE3_ALGN_MRKR_LCK V_LANE3_ALGN_MRKR_LCK(1U)
49290
49291#define S_LANE2_ALGN_MRKR_LCK 2
49292#define V_LANE2_ALGN_MRKR_LCK(x) ((x) << S_LANE2_ALGN_MRKR_LCK)
49293#define F_LANE2_ALGN_MRKR_LCK V_LANE2_ALGN_MRKR_LCK(1U)
49294
49295#define S_LANE1_ALGN_MRKR_LCK 1
49296#define V_LANE1_ALGN_MRKR_LCK(x) ((x) << S_LANE1_ALGN_MRKR_LCK)
49297#define F_LANE1_ALGN_MRKR_LCK V_LANE1_ALGN_MRKR_LCK(1U)
49298
49299#define S_LANE0_ALGN_MRKR_LCK 0
49300#define V_LANE0_ALGN_MRKR_LCK(x) ((x) << S_LANE0_ALGN_MRKR_LCK)
49301#define F_LANE0_ALGN_MRKR_LCK V_LANE0_ALGN_MRKR_LCK(1U)
49302
49303#define A_MAC_PORT_MTIP_CR4_MULTI_LANE_ALIGN_STATUS_4 0x1bd4
49304
49305#define S_LANE19_ALGN_MRKR_LCK 11
49306#define V_LANE19_ALGN_MRKR_LCK(x) ((x) << S_LANE19_ALGN_MRKR_LCK)
49307#define F_LANE19_ALGN_MRKR_LCK V_LANE19_ALGN_MRKR_LCK(1U)
49308
49309#define S_LANE18_ALGN_MRKR_LCK 10
49310#define V_LANE18_ALGN_MRKR_LCK(x) ((x) << S_LANE18_ALGN_MRKR_LCK)
49311#define F_LANE18_ALGN_MRKR_LCK V_LANE18_ALGN_MRKR_LCK(1U)
49312
49313#define S_LANE17_ALGN_MRKR_LCK 9
49314#define V_LANE17_ALGN_MRKR_LCK(x) ((x) << S_LANE17_ALGN_MRKR_LCK)
49315#define F_LANE17_ALGN_MRKR_LCK V_LANE17_ALGN_MRKR_LCK(1U)
49316
49317#define S_LANE16_ALGN_MRKR_LCK 8
49318#define V_LANE16_ALGN_MRKR_LCK(x) ((x) << S_LANE16_ALGN_MRKR_LCK)
49319#define F_LANE16_ALGN_MRKR_LCK V_LANE16_ALGN_MRKR_LCK(1U)
49320
49321#define S_LANE15_ALGN_MRKR_LCK 7
49322#define V_LANE15_ALGN_MRKR_LCK(x) ((x) << S_LANE15_ALGN_MRKR_LCK)
49323#define F_LANE15_ALGN_MRKR_LCK V_LANE15_ALGN_MRKR_LCK(1U)
49324
49325#define S_LANE14_ALGN_MRKR_LCK 6
49326#define V_LANE14_ALGN_MRKR_LCK(x) ((x) << S_LANE14_ALGN_MRKR_LCK)
49327#define F_LANE14_ALGN_MRKR_LCK V_LANE14_ALGN_MRKR_LCK(1U)
49328
49329#define S_LANE13_ALGN_MRKR_LCK 5
49330#define V_LANE13_ALGN_MRKR_LCK(x) ((x) << S_LANE13_ALGN_MRKR_LCK)
49331#define F_LANE13_ALGN_MRKR_LCK V_LANE13_ALGN_MRKR_LCK(1U)
49332
49333#define S_LANE12_ALGN_MRKR_LCK 4
49334#define V_LANE12_ALGN_MRKR_LCK(x) ((x) << S_LANE12_ALGN_MRKR_LCK)
49335#define F_LANE12_ALGN_MRKR_LCK V_LANE12_ALGN_MRKR_LCK(1U)
49336
49337#define S_LANE11_ALGN_MRKR_LCK 3
49338#define V_LANE11_ALGN_MRKR_LCK(x) ((x) << S_LANE11_ALGN_MRKR_LCK)
49339#define F_LANE11_ALGN_MRKR_LCK V_LANE11_ALGN_MRKR_LCK(1U)
49340
49341#define S_LANE10_ALGN_MRKR_LCK 2
49342#define V_LANE10_ALGN_MRKR_LCK(x) ((x) << S_LANE10_ALGN_MRKR_LCK)
49343#define F_LANE10_ALGN_MRKR_LCK V_LANE10_ALGN_MRKR_LCK(1U)
49344
49345#define S_LANE9_ALGN_MRKR_LCK 1
49346#define V_LANE9_ALGN_MRKR_LCK(x) ((x) << S_LANE9_ALGN_MRKR_LCK)
49347#define F_LANE9_ALGN_MRKR_LCK V_LANE9_ALGN_MRKR_LCK(1U)
49348
49349#define S_LANE8_ALGN_MRKR_LCK 0
49350#define V_LANE8_ALGN_MRKR_LCK(x) ((x) << S_LANE8_ALGN_MRKR_LCK)
49351#define F_LANE8_ALGN_MRKR_LCK V_LANE8_ALGN_MRKR_LCK(1U)
49352
49353#define A_MAC_PORT_MTIP_PCS_CTL 0x1e00
49354
49355#define S_PCS_LPBK 14
49356#define V_PCS_LPBK(x) ((x) << S_PCS_LPBK)
49357#define F_PCS_LPBK V_PCS_LPBK(1U)
49358
49359#define S_SPEED_SEL1 13
49360#define V_SPEED_SEL1(x) ((x) << S_SPEED_SEL1)
49361#define F_SPEED_SEL1 V_SPEED_SEL1(1U)
49362
49363#define S_LP_MODE 11
49364#define V_LP_MODE(x) ((x) << S_LP_MODE)
49365#define F_LP_MODE V_LP_MODE(1U)
49366
49367#define S_SPEED_SEL0 6
49368#define V_SPEED_SEL0(x) ((x) << S_SPEED_SEL0)
49369#define F_SPEED_SEL0 V_SPEED_SEL0(1U)
49370
49371#define S_PCS_SPEED 2
49372#define M_PCS_SPEED 0xfU
49373#define V_PCS_SPEED(x) ((x) << S_PCS_SPEED)
49374#define G_PCS_SPEED(x) (((x) >> S_PCS_SPEED) & M_PCS_SPEED)
49375
49376#define A_MAC_PORT_MTIP_PCS_STATUS1 0x1e04
49377
49378#define S_FAULTDET 7
49379#define V_FAULTDET(x) ((x) << S_FAULTDET)
49380#define F_FAULTDET V_FAULTDET(1U)
49381
49382#define S_RX_LINK_STATUS 2
49383#define V_RX_LINK_STATUS(x) ((x) << S_RX_LINK_STATUS)
49384#define F_RX_LINK_STATUS V_RX_LINK_STATUS(1U)
49385
49386#define S_LOPWRABL 1
49387#define V_LOPWRABL(x) ((x) << S_LOPWRABL)
49388#define F_LOPWRABL V_LOPWRABL(1U)
49389
49390#define A_MAC_PORT_MTIP_PCS_DEVICE_ID0 0x1e08
49391
49392#define S_DEVICE_ID0 0
49393#define M_DEVICE_ID0 0xffffU
49394#define V_DEVICE_ID0(x) ((x) << S_DEVICE_ID0)
49395#define G_DEVICE_ID0(x) (((x) >> S_DEVICE_ID0) & M_DEVICE_ID0)
49396
49397#define A_MAC_PORT_MTIP_PCS_DEVICE_ID1 0x1e0c
49398
49399#define S_DEVICE_ID1 0
49400#define M_DEVICE_ID1 0xffffU
49401#define V_DEVICE_ID1(x) ((x) << S_DEVICE_ID1)
49402#define G_DEVICE_ID1(x) (((x) >> S_DEVICE_ID1) & M_DEVICE_ID1)
49403
49404#define A_MAC_PORT_MTIP_PCS_SPEED_ABILITY 0x1e10
49405
49406#define S_100G 8
49407#define V_100G(x) ((x) << S_100G)
49408#define F_100G V_100G(1U)
49409
49410#define S_40G 7
49411#define V_40G(x) ((x) << S_40G)
49412#define F_40G V_40G(1U)
49413
49414#define S_10BASE_TL 1
49415#define V_10BASE_TL(x) ((x) << S_10BASE_TL)
49416#define F_10BASE_TL V_10BASE_TL(1U)
49417
49418#define S_10G 0
49419#define V_10G(x) ((x) << S_10G)
49420#define F_10G V_10G(1U)
49421
49422#define A_MAC_PORT_MTIP_PCS_DEVICE_PKG1 0x1e14
49423
49424#define S_TC_PRESENT 6
49425#define V_TC_PRESENT(x) ((x) << S_TC_PRESENT)
49426#define F_TC_PRESENT V_TC_PRESENT(1U)
49427
49428#define S_DTEXS 5
49429#define V_DTEXS(x) ((x) << S_DTEXS)
49430#define F_DTEXS V_DTEXS(1U)
49431
49432#define S_PHYXS 4
49433#define V_PHYXS(x) ((x) << S_PHYXS)
49434#define F_PHYXS V_PHYXS(1U)
49435
49436#define S_PCS 3
49437#define V_PCS(x) ((x) << S_PCS)
49438#define F_PCS V_PCS(1U)
49439
49440#define S_WIS 2
49441#define V_WIS(x) ((x) << S_WIS)
49442#define F_WIS V_WIS(1U)
49443
49444#define S_PMD_PMA 1
49445#define V_PMD_PMA(x) ((x) << S_PMD_PMA)
49446#define F_PMD_PMA V_PMD_PMA(1U)
49447
49448#define S_CL22 0
49449#define V_CL22(x) ((x) << S_CL22)
49450#define F_CL22 V_CL22(1U)
49451
49452#define A_MAC_PORT_MTIP_PCS_DEVICE_PKG2 0x1e18
49453
49454#define S_VENDDEV2 15
49455#define V_VENDDEV2(x) ((x) << S_VENDDEV2)
49456#define F_VENDDEV2 V_VENDDEV2(1U)
49457
49458#define S_VENDDEV1 14
49459#define V_VENDDEV1(x) ((x) << S_VENDDEV1)
49460#define F_VENDDEV1 V_VENDDEV1(1U)
49461
49462#define S_CL22EXT 13
49463#define V_CL22EXT(x) ((x) << S_CL22EXT)
49464#define F_CL22EXT V_CL22EXT(1U)
49465
49466#define A_MAC_PORT_MTIP_PCS_CTL2 0x1e1c
49467
49468#define S_PCSTYPE 0
49469#define M_PCSTYPE 0x7U
49470#define V_PCSTYPE(x) ((x) << S_PCSTYPE)
49471#define G_PCSTYPE(x) (((x) >> S_PCSTYPE) & M_PCSTYPE)
49472
49473#define A_MAC_PORT_MTIP_PCS_STATUS2 0x1e20
49474
49475#define S_PCS_STAT2_DEVICE 15
49476#define V_PCS_STAT2_DEVICE(x) ((x) << S_PCS_STAT2_DEVICE)
49477#define F_PCS_STAT2_DEVICE V_PCS_STAT2_DEVICE(1U)
49478
49479#define S_TXFAULT 7
49480#define V_TXFAULT(x) ((x) << S_TXFAULT)
49481#define F_TXFAULT V_TXFAULT(1U)
49482
49483#define S_RXFAULT 6
49484#define V_RXFAULT(x) ((x) << S_RXFAULT)
49485#define F_RXFAULT V_RXFAULT(1U)
49486
49487#define S_100BASE_R 5
49488#define V_100BASE_R(x) ((x) << S_100BASE_R)
49489#define F_100BASE_R V_100BASE_R(1U)
49490
49491#define S_40GBASE_R 4
49492#define V_40GBASE_R(x) ((x) << S_40GBASE_R)
49493#define F_40GBASE_R V_40GBASE_R(1U)
49494
49495#define S_10GBASE_T 3
49496#define V_10GBASE_T(x) ((x) << S_10GBASE_T)
49497#define F_10GBASE_T V_10GBASE_T(1U)
49498
49499#define S_10GBASE_W 2
49500#define V_10GBASE_W(x) ((x) << S_10GBASE_W)
49501#define F_10GBASE_W V_10GBASE_W(1U)
49502
49503#define S_10GBASE_X 1
49504#define V_10GBASE_X(x) ((x) << S_10GBASE_X)
49505#define F_10GBASE_X V_10GBASE_X(1U)
49506
49507#define S_10GBASE_R 0
49508#define V_10GBASE_R(x) ((x) << S_10GBASE_R)
49509#define F_10GBASE_R V_10GBASE_R(1U)
49510
49511#define A_MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_0 0x1e20
49512
49513#define S_BIP_ERR_CNTLANE_0 0
49514#define M_BIP_ERR_CNTLANE_0 0xffffU
49515#define V_BIP_ERR_CNTLANE_0(x) ((x) << S_BIP_ERR_CNTLANE_0)
49516#define G_BIP_ERR_CNTLANE_0(x) (((x) >> S_BIP_ERR_CNTLANE_0) & M_BIP_ERR_CNTLANE_0)
49517
49518#define A_MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_1 0x1e24
49519
49520#define S_BIP_ERR_CNTLANE_1 0
49521#define M_BIP_ERR_CNTLANE_1 0xffffU
49522#define V_BIP_ERR_CNTLANE_1(x) ((x) << S_BIP_ERR_CNTLANE_1)
49523#define G_BIP_ERR_CNTLANE_1(x) (((x) >> S_BIP_ERR_CNTLANE_1) & M_BIP_ERR_CNTLANE_1)
49524
49525#define A_MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_2 0x1e28
49526
49527#define S_BIP_ERR_CNTLANE_2 0
49528#define M_BIP_ERR_CNTLANE_2 0xffffU
49529#define V_BIP_ERR_CNTLANE_2(x) ((x) << S_BIP_ERR_CNTLANE_2)
49530#define G_BIP_ERR_CNTLANE_2(x) (((x) >> S_BIP_ERR_CNTLANE_2) & M_BIP_ERR_CNTLANE_2)
49531
49532#define A_MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_3 0x1e2c
49533
49534#define S_BIP_ERR_CNTLANE_3 0
49535#define M_BIP_ERR_CNTLANE_3 0xffffU
49536#define V_BIP_ERR_CNTLANE_3(x) ((x) << S_BIP_ERR_CNTLANE_3)
49537#define G_BIP_ERR_CNTLANE_3(x) (((x) >> S_BIP_ERR_CNTLANE_3) & M_BIP_ERR_CNTLANE_3)
49538
49539#define A_MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_4 0x1e30
49540
49541#define S_BIP_ERR_CNTLANE_4 0
49542#define M_BIP_ERR_CNTLANE_4 0xffffU
49543#define V_BIP_ERR_CNTLANE_4(x) ((x) << S_BIP_ERR_CNTLANE_4)
49544#define G_BIP_ERR_CNTLANE_4(x) (((x) >> S_BIP_ERR_CNTLANE_4) & M_BIP_ERR_CNTLANE_4)
49545
49546#define A_MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_5 0x1e34
49547
49548#define S_BIP_ERR_CNTLANE_5 0
49549#define M_BIP_ERR_CNTLANE_5 0xffffU
49550#define V_BIP_ERR_CNTLANE_5(x) ((x) << S_BIP_ERR_CNTLANE_5)
49551#define G_BIP_ERR_CNTLANE_5(x) (((x) >> S_BIP_ERR_CNTLANE_5) & M_BIP_ERR_CNTLANE_5)
49552
49553#define A_MAC_PORT_MTIP_PCS_PKG_ID0 0x1e38
49554
49555#define S_PKG_ID0 0
49556#define M_PKG_ID0 0xffffU
49557#define V_PKG_ID0(x) ((x) << S_PKG_ID0)
49558#define G_PKG_ID0(x) (((x) >> S_PKG_ID0) & M_PKG_ID0)
49559
49560#define A_MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_6 0x1e38
49561
49562#define S_BIP_ERR_CNTLANE_6 0
49563#define M_BIP_ERR_CNTLANE_6 0xffffU
49564#define V_BIP_ERR_CNTLANE_6(x) ((x) << S_BIP_ERR_CNTLANE_6)
49565#define G_BIP_ERR_CNTLANE_6(x) (((x) >> S_BIP_ERR_CNTLANE_6) & M_BIP_ERR_CNTLANE_6)
49566
49567#define A_MAC_PORT_MTIP_PCS_PKG_ID1 0x1e3c
49568
49569#define S_PKG_ID1 0
49570#define M_PKG_ID1 0xffffU
49571#define V_PKG_ID1(x) ((x) << S_PKG_ID1)
49572#define G_PKG_ID1(x) (((x) >> S_PKG_ID1) & M_PKG_ID1)
49573
49574#define A_MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_7 0x1e3c
49575
49576#define S_BIP_ERR_CNTLANE_7 0
49577#define M_BIP_ERR_CNTLANE_7 0xffffU
49578#define V_BIP_ERR_CNTLANE_7(x) ((x) << S_BIP_ERR_CNTLANE_7)
49579#define G_BIP_ERR_CNTLANE_7(x) (((x) >> S_BIP_ERR_CNTLANE_7) & M_BIP_ERR_CNTLANE_7)
49580
49581#define A_MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_8 0x1e40
49582
49583#define S_BIP_ERR_CNTLANE_8 0
49584#define M_BIP_ERR_CNTLANE_8 0xffffU
49585#define V_BIP_ERR_CNTLANE_8(x) ((x) << S_BIP_ERR_CNTLANE_8)
49586#define G_BIP_ERR_CNTLANE_8(x) (((x) >> S_BIP_ERR_CNTLANE_8) & M_BIP_ERR_CNTLANE_8)
49587
49588#define A_MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_9 0x1e44
49589
49590#define S_BIP_ERR_CNTLANE_9 0
49591#define M_BIP_ERR_CNTLANE_9 0xffffU
49592#define V_BIP_ERR_CNTLANE_9(x) ((x) << S_BIP_ERR_CNTLANE_9)
49593#define G_BIP_ERR_CNTLANE_9(x) (((x) >> S_BIP_ERR_CNTLANE_9) & M_BIP_ERR_CNTLANE_9)
49594
49595#define A_MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_10 0x1e48
49596
49597#define S_BIP_ERR_CNTLANE_10 0
49598#define M_BIP_ERR_CNTLANE_10 0xffffU
49599#define V_BIP_ERR_CNTLANE_10(x) ((x) << S_BIP_ERR_CNTLANE_10)
49600#define G_BIP_ERR_CNTLANE_10(x) (((x) >> S_BIP_ERR_CNTLANE_10) & M_BIP_ERR_CNTLANE_10)
49601
49602#define A_MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_11 0x1e4c
49603
49604#define S_BIP_ERR_CNTLANE_11 0
49605#define M_BIP_ERR_CNTLANE_11 0xffffU
49606#define V_BIP_ERR_CNTLANE_11(x) ((x) << S_BIP_ERR_CNTLANE_11)
49607#define G_BIP_ERR_CNTLANE_11(x) (((x) >> S_BIP_ERR_CNTLANE_11) & M_BIP_ERR_CNTLANE_11)
49608
49609#define A_MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_12 0x1e50
49610
49611#define S_BIP_ERR_CNTLANE_12 0
49612#define M_BIP_ERR_CNTLANE_12 0xffffU
49613#define V_BIP_ERR_CNTLANE_12(x) ((x) << S_BIP_ERR_CNTLANE_12)
49614#define G_BIP_ERR_CNTLANE_12(x) (((x) >> S_BIP_ERR_CNTLANE_12) & M_BIP_ERR_CNTLANE_12)
49615
49616#define A_MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_13 0x1e54
49617
49618#define S_BIP_ERR_CNTLANE_13 0
49619#define M_BIP_ERR_CNTLANE_13 0xffffU
49620#define V_BIP_ERR_CNTLANE_13(x) ((x) << S_BIP_ERR_CNTLANE_13)
49621#define G_BIP_ERR_CNTLANE_13(x) (((x) >> S_BIP_ERR_CNTLANE_13) & M_BIP_ERR_CNTLANE_13)
49622
49623#define A_MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_14 0x1e58
49624
49625#define S_BIP_ERR_CNTLANE_14 0
49626#define M_BIP_ERR_CNTLANE_14 0xffffU
49627#define V_BIP_ERR_CNTLANE_14(x) ((x) << S_BIP_ERR_CNTLANE_14)
49628#define G_BIP_ERR_CNTLANE_14(x) (((x) >> S_BIP_ERR_CNTLANE_14) & M_BIP_ERR_CNTLANE_14)
49629
49630#define A_MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_15 0x1e5c
49631
49632#define S_BIP_ERR_CNTLANE_15 0
49633#define M_BIP_ERR_CNTLANE_15 0xffffU
49634#define V_BIP_ERR_CNTLANE_15(x) ((x) << S_BIP_ERR_CNTLANE_15)
49635#define G_BIP_ERR_CNTLANE_15(x) (((x) >> S_BIP_ERR_CNTLANE_15) & M_BIP_ERR_CNTLANE_15)
49636
49637#define A_MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_16 0x1e60
49638
49639#define S_BIP_ERR_CNTLANE_16 0
49640#define M_BIP_ERR_CNTLANE_16 0xffffU
49641#define V_BIP_ERR_CNTLANE_16(x) ((x) << S_BIP_ERR_CNTLANE_16)
49642#define G_BIP_ERR_CNTLANE_16(x) (((x) >> S_BIP_ERR_CNTLANE_16) & M_BIP_ERR_CNTLANE_16)
49643
49644#define A_MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_17 0x1e64
49645
49646#define S_BIP_ERR_CNTLANE_17 0
49647#define M_BIP_ERR_CNTLANE_17 0xffffU
49648#define V_BIP_ERR_CNTLANE_17(x) ((x) << S_BIP_ERR_CNTLANE_17)
49649#define G_BIP_ERR_CNTLANE_17(x) (((x) >> S_BIP_ERR_CNTLANE_17) & M_BIP_ERR_CNTLANE_17)
49650
49651#define A_MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_18 0x1e68
49652
49653#define S_BIP_ERR_CNTLANE_18 0
49654#define M_BIP_ERR_CNTLANE_18 0xffffU
49655#define V_BIP_ERR_CNTLANE_18(x) ((x) << S_BIP_ERR_CNTLANE_18)
49656#define G_BIP_ERR_CNTLANE_18(x) (((x) >> S_BIP_ERR_CNTLANE_18) & M_BIP_ERR_CNTLANE_18)
49657
49658#define A_MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_19 0x1e6c
49659
49660#define S_BIP_ERR_CNTLANE_19 0
49661#define M_BIP_ERR_CNTLANE_19 0xffffU
49662#define V_BIP_ERR_CNTLANE_19(x) ((x) << S_BIP_ERR_CNTLANE_19)
49663#define G_BIP_ERR_CNTLANE_19(x) (((x) >> S_BIP_ERR_CNTLANE_19) & M_BIP_ERR_CNTLANE_19)
49664
49665#define A_MAC_PORT_MTIP_PCS_BASER_STATUS1 0x1e80
49666
49667#define S_RXLINKSTATUS 12
49668#define V_RXLINKSTATUS(x) ((x) << S_RXLINKSTATUS)
49669#define F_RXLINKSTATUS V_RXLINKSTATUS(1U)
49670
49671#define S_RESEREVED 4
49672#define M_RESEREVED 0xffU
49673#define V_RESEREVED(x) ((x) << S_RESEREVED)
49674#define G_RESEREVED(x) (((x) >> S_RESEREVED) & M_RESEREVED)
49675
49676#define S_10GPRBS9 3
49677#define V_10GPRBS9(x) ((x) << S_10GPRBS9)
49678#define F_10GPRBS9 V_10GPRBS9(1U)
49679
49680#define S_10GPRBS31 2
49681#define V_10GPRBS31(x) ((x) << S_10GPRBS31)
49682#define F_10GPRBS31 V_10GPRBS31(1U)
49683
49684#define S_HIBER 1
49685#define V_HIBER(x) ((x) << S_HIBER)
49686#define F_HIBER V_HIBER(1U)
49687
49688#define S_BLOCKLOCK 0
49689#define V_BLOCKLOCK(x) ((x) << S_BLOCKLOCK)
49690#define F_BLOCKLOCK V_BLOCKLOCK(1U)
49691
49692#define A_MAC_PORT_MTIP_PCS_BASER_STATUS2 0x1e84
49693
49694#define S_BLOCKLOCKLL 15
49695#define V_BLOCKLOCKLL(x) ((x) << S_BLOCKLOCKLL)
49696#define F_BLOCKLOCKLL V_BLOCKLOCKLL(1U)
49697
49698#define S_HIBERLH 14
49699#define V_HIBERLH(x) ((x) << S_HIBERLH)
49700#define F_HIBERLH V_HIBERLH(1U)
49701
49702#define S_HIBERCOUNT 8
49703#define M_HIBERCOUNT 0x3fU
49704#define V_HIBERCOUNT(x) ((x) << S_HIBERCOUNT)
49705#define G_HIBERCOUNT(x) (((x) >> S_HIBERCOUNT) & M_HIBERCOUNT)
49706
49707#define S_ERRBLKCNT 0
49708#define M_ERRBLKCNT 0xffU
49709#define V_ERRBLKCNT(x) ((x) << S_ERRBLKCNT)
49710#define G_ERRBLKCNT(x) (((x) >> S_ERRBLKCNT) & M_ERRBLKCNT)
49711
49712#define A_MAC_PORT_MTIP_10GBASER_SEED_A 0x1e88
49713
49714#define S_SEEDA 0
49715#define M_SEEDA 0xffffU
49716#define V_SEEDA(x) ((x) << S_SEEDA)
49717#define G_SEEDA(x) (((x) >> S_SEEDA) & M_SEEDA)
49718
49719#define A_MAC_PORT_MTIP_10GBASER_SEED_A1 0x1e8c
49720
49721#define S_SEEDA1 0
49722#define M_SEEDA1 0xffffU
49723#define V_SEEDA1(x) ((x) << S_SEEDA1)
49724#define G_SEEDA1(x) (((x) >> S_SEEDA1) & M_SEEDA1)
49725
49726#define A_MAC_PORT_MTIP_10GBASER_SEED_A2 0x1e90
49727
49728#define S_SEEDA2 0
49729#define M_SEEDA2 0xffffU
49730#define V_SEEDA2(x) ((x) << S_SEEDA2)
49731#define G_SEEDA2(x) (((x) >> S_SEEDA2) & M_SEEDA2)
49732
49733#define A_MAC_PORT_MTIP_10GBASER_SEED_A3 0x1e94
49734
49735#define S_SEEDA3 0
49736#define M_SEEDA3 0x3ffU
49737#define V_SEEDA3(x) ((x) << S_SEEDA3)
49738#define G_SEEDA3(x) (((x) >> S_SEEDA3) & M_SEEDA3)
49739
49740#define A_MAC_PORT_MTIP_10GBASER_SEED_B 0x1e98
49741
49742#define S_SEEDB 0
49743#define M_SEEDB 0xffffU
49744#define V_SEEDB(x) ((x) << S_SEEDB)
49745#define G_SEEDB(x) (((x) >> S_SEEDB) & M_SEEDB)
49746
49747#define A_MAC_PORT_MTIP_10GBASER_SEED_B1 0x1e9c
49748
49749#define S_SEEDB1 0
49750#define M_SEEDB1 0xffffU
49751#define V_SEEDB1(x) ((x) << S_SEEDB1)
49752#define G_SEEDB1(x) (((x) >> S_SEEDB1) & M_SEEDB1)
49753
49754#define A_MAC_PORT_MTIP_10GBASER_SEED_B2 0x1ea0
49755
49756#define S_SEEDB2 0
49757#define M_SEEDB2 0xffffU
49758#define V_SEEDB2(x) ((x) << S_SEEDB2)
49759#define G_SEEDB2(x) (((x) >> S_SEEDB2) & M_SEEDB2)
49760
49761#define A_MAC_PORT_MTIP_10GBASER_SEED_B3 0x1ea4
49762
49763#define S_SEEDB3 0
49764#define M_SEEDB3 0x3ffU
49765#define V_SEEDB3(x) ((x) << S_SEEDB3)
49766#define G_SEEDB3(x) (((x) >> S_SEEDB3) & M_SEEDB3)
49767
49768#define A_MAC_PORT_MTIP_BASER_TEST_CTRL 0x1ea8
49769
49770#define S_TXPRBS9 6
49771#define V_TXPRBS9(x) ((x) << S_TXPRBS9)
49772#define F_TXPRBS9 V_TXPRBS9(1U)
49773
49774#define S_RXPRBS31 5
49775#define V_RXPRBS31(x) ((x) << S_RXPRBS31)
49776#define F_RXPRBS31 V_RXPRBS31(1U)
49777
49778#define S_TXPRBS31 4
49779#define V_TXPRBS31(x) ((x) << S_TXPRBS31)
49780#define F_TXPRBS31 V_TXPRBS31(1U)
49781
49782#define S_TXTESTPATEN 3
49783#define V_TXTESTPATEN(x) ((x) << S_TXTESTPATEN)
49784#define F_TXTESTPATEN V_TXTESTPATEN(1U)
49785
49786#define S_RXTESTPATEN 2
49787#define V_RXTESTPATEN(x) ((x) << S_RXTESTPATEN)
49788#define F_RXTESTPATEN V_RXTESTPATEN(1U)
49789
49790#define S_TESTPATSEL 1
49791#define V_TESTPATSEL(x) ((x) << S_TESTPATSEL)
49792#define F_TESTPATSEL V_TESTPATSEL(1U)
49793
49794#define S_DATAPATSEL 0
49795#define V_DATAPATSEL(x) ((x) << S_DATAPATSEL)
49796#define F_DATAPATSEL V_DATAPATSEL(1U)
49797
49798#define A_MAC_PORT_MTIP_BASER_TEST_ERR_CNT 0x1eac
49799
49800#define S_TEST_ERR_CNT 0
49801#define M_TEST_ERR_CNT 0xffffU
49802#define V_TEST_ERR_CNT(x) ((x) << S_TEST_ERR_CNT)
49803#define G_TEST_ERR_CNT(x) (((x) >> S_TEST_ERR_CNT) & M_TEST_ERR_CNT)
49804
49805#define A_MAC_PORT_MTIP_BER_HIGH_ORDER_CNT 0x1eb0
49806
49807#define S_BER_CNT_HI 0
49808#define M_BER_CNT_HI 0xffffU
49809#define V_BER_CNT_HI(x) ((x) << S_BER_CNT_HI)
49810#define G_BER_CNT_HI(x) (((x) >> S_BER_CNT_HI) & M_BER_CNT_HI)
49811
49812#define A_MAC_PORT_MTIP_BLK_HIGH_ORDER_CNT 0x1eb4
49813
49814#define S_HICOUNTPRSNT 15
49815#define V_HICOUNTPRSNT(x) ((x) << S_HICOUNTPRSNT)
49816#define F_HICOUNTPRSNT V_HICOUNTPRSNT(1U)
49817
49818#define S_BLOCK_CNT_HI 0
49819#define M_BLOCK_CNT_HI 0x3fffU
49820#define V_BLOCK_CNT_HI(x) ((x) << S_BLOCK_CNT_HI)
49821#define G_BLOCK_CNT_HI(x) (((x) >> S_BLOCK_CNT_HI) & M_BLOCK_CNT_HI)
49822
49823#define A_MAC_PORT_MTIP_PCS_MULTI_LANE_ALIGN_STATUS1 0x1ec8
49824
49825#define S_ALIGNSTATUS 12
49826#define V_ALIGNSTATUS(x) ((x) << S_ALIGNSTATUS)
49827#define F_ALIGNSTATUS V_ALIGNSTATUS(1U)
49828
49829#define S_LANE7 7
49830#define V_LANE7(x) ((x) << S_LANE7)
49831#define F_LANE7 V_LANE7(1U)
49832
49833#define S_LANE6 6
49834#define V_LANE6(x) ((x) << S_LANE6)
49835#define F_LANE6 V_LANE6(1U)
49836
49837#define S_LANE5 5
49838#define V_LANE5(x) ((x) << S_LANE5)
49839#define F_LANE5 V_LANE5(1U)
49840
49841#define S_LANE4 4
49842#define V_LANE4(x) ((x) << S_LANE4)
49843#define F_LANE4 V_LANE4(1U)
49844
49845#define S_LANE3 3
49846#define V_LANE3(x) ((x) << S_LANE3)
49847#define F_LANE3 V_LANE3(1U)
49848
49849#define S_LANE2 2
49850#define V_LANE2(x) ((x) << S_LANE2)
49851#define F_LANE2 V_LANE2(1U)
49852
49853#define S_LANE1 1
49854#define V_LANE1(x) ((x) << S_LANE1)
49855#define F_LANE1 V_LANE1(1U)
49856
49857#define S_LANE0 0
49858#define V_LANE0(x) ((x) << S_LANE0)
49859#define F_LANE0 V_LANE0(1U)
49860
49861#define A_MAC_PORT_MTIP_PCS_MULTI_LANE_ALIGN_STATUS2 0x1ecc
49862
49863#define S_LANE19 11
49864#define V_LANE19(x) ((x) << S_LANE19)
49865#define F_LANE19 V_LANE19(1U)
49866
49867#define S_LANE18 10
49868#define V_LANE18(x) ((x) << S_LANE18)
49869#define F_LANE18 V_LANE18(1U)
49870
49871#define S_LANE17 9
49872#define V_LANE17(x) ((x) << S_LANE17)
49873#define F_LANE17 V_LANE17(1U)
49874
49875#define S_LANE16 8
49876#define V_LANE16(x) ((x) << S_LANE16)
49877#define F_LANE16 V_LANE16(1U)
49878
49879#define S_LANE15 7
49880#define V_LANE15(x) ((x) << S_LANE15)
49881#define F_LANE15 V_LANE15(1U)
49882
49883#define S_LANE14 6
49884#define V_LANE14(x) ((x) << S_LANE14)
49885#define F_LANE14 V_LANE14(1U)
49886
49887#define S_LANE13 5
49888#define V_LANE13(x) ((x) << S_LANE13)
49889#define F_LANE13 V_LANE13(1U)
49890
49891#define S_LANE12 4
49892#define V_LANE12(x) ((x) << S_LANE12)
49893#define F_LANE12 V_LANE12(1U)
49894
49895#define S_LANE11 3
49896#define V_LANE11(x) ((x) << S_LANE11)
49897#define F_LANE11 V_LANE11(1U)
49898
49899#define S_LANE10 2
49900#define V_LANE10(x) ((x) << S_LANE10)
49901#define F_LANE10 V_LANE10(1U)
49902
49903#define S_LANE9 1
49904#define V_LANE9(x) ((x) << S_LANE9)
49905#define F_LANE9 V_LANE9(1U)
49906
49907#define S_LANE8 0
49908#define V_LANE8(x) ((x) << S_LANE8)
49909#define F_LANE8 V_LANE8(1U)
49910
49911#define A_MAC_PORT_MTIP_PCS_MULTI_LANE_ALIGN_STATUS3 0x1ed0
49912
49913#define S_AMLOCK7 7
49914#define V_AMLOCK7(x) ((x) << S_AMLOCK7)
49915#define F_AMLOCK7 V_AMLOCK7(1U)
49916
49917#define S_AMLOCK6 6
49918#define V_AMLOCK6(x) ((x) << S_AMLOCK6)
49919#define F_AMLOCK6 V_AMLOCK6(1U)
49920
49921#define S_AMLOCK5 5
49922#define V_AMLOCK5(x) ((x) << S_AMLOCK5)
49923#define F_AMLOCK5 V_AMLOCK5(1U)
49924
49925#define S_AMLOCK4 4
49926#define V_AMLOCK4(x) ((x) << S_AMLOCK4)
49927#define F_AMLOCK4 V_AMLOCK4(1U)
49928
49929#define S_AMLOCK3 3
49930#define V_AMLOCK3(x) ((x) << S_AMLOCK3)
49931#define F_AMLOCK3 V_AMLOCK3(1U)
49932
49933#define S_AMLOCK2 2
49934#define V_AMLOCK2(x) ((x) << S_AMLOCK2)
49935#define F_AMLOCK2 V_AMLOCK2(1U)
49936
49937#define S_AMLOCK1 1
49938#define V_AMLOCK1(x) ((x) << S_AMLOCK1)
49939#define F_AMLOCK1 V_AMLOCK1(1U)
49940
49941#define S_AMLOCK0 0
49942#define V_AMLOCK0(x) ((x) << S_AMLOCK0)
49943#define F_AMLOCK0 V_AMLOCK0(1U)
49944
49945#define A_MAC_PORT_MTIP_PCS_MULTI_LANE_ALIGN_STATUS4 0x1ed4
49946
49947#define S_AMLOCK19 11
49948#define V_AMLOCK19(x) ((x) << S_AMLOCK19)
49949#define F_AMLOCK19 V_AMLOCK19(1U)
49950
49951#define S_AMLOCK18 10
49952#define V_AMLOCK18(x) ((x) << S_AMLOCK18)
49953#define F_AMLOCK18 V_AMLOCK18(1U)
49954
49955#define S_AMLOCK17 9
49956#define V_AMLOCK17(x) ((x) << S_AMLOCK17)
49957#define F_AMLOCK17 V_AMLOCK17(1U)
49958
49959#define S_AMLOCK16 8
49960#define V_AMLOCK16(x) ((x) << S_AMLOCK16)
49961#define F_AMLOCK16 V_AMLOCK16(1U)
49962
49963#define S_AMLOCK15 7
49964#define V_AMLOCK15(x) ((x) << S_AMLOCK15)
49965#define F_AMLOCK15 V_AMLOCK15(1U)
49966
49967#define S_AMLOCK14 6
49968#define V_AMLOCK14(x) ((x) << S_AMLOCK14)
49969#define F_AMLOCK14 V_AMLOCK14(1U)
49970
49971#define S_AMLOCK13 5
49972#define V_AMLOCK13(x) ((x) << S_AMLOCK13)
49973#define F_AMLOCK13 V_AMLOCK13(1U)
49974
49975#define S_AMLOCK12 4
49976#define V_AMLOCK12(x) ((x) << S_AMLOCK12)
49977#define F_AMLOCK12 V_AMLOCK12(1U)
49978
49979#define S_AMLOCK11 3
49980#define V_AMLOCK11(x) ((x) << S_AMLOCK11)
49981#define F_AMLOCK11 V_AMLOCK11(1U)
49982
49983#define S_AMLOCK10 2
49984#define V_AMLOCK10(x) ((x) << S_AMLOCK10)
49985#define F_AMLOCK10 V_AMLOCK10(1U)
49986
49987#define S_AMLOCK9 1
49988#define V_AMLOCK9(x) ((x) << S_AMLOCK9)
49989#define F_AMLOCK9 V_AMLOCK9(1U)
49990
49991#define S_AMLOCK8 0
49992#define V_AMLOCK8(x) ((x) << S_AMLOCK8)
49993#define F_AMLOCK8 V_AMLOCK8(1U)
49994
49995#define A_MAC_PORT_MTIP_PCS_BIP_ERR_CNT_0 0x1f68
49996
49997#define S_BIPERR_CNT 0
49998#define M_BIPERR_CNT 0xffffU
49999#define V_BIPERR_CNT(x) ((x) << S_BIPERR_CNT)
50000#define G_BIPERR_CNT(x) (((x) >> S_BIPERR_CNT) & M_BIPERR_CNT)
50001
50002#define A_MAC_PORT_MTIP_PCS_BIP_ERR_CNT_1 0x1f6c
50003#define A_MAC_PORT_MTIP_PCS_BIP_ERR_CNT_2 0x1f70
50004#define A_MAC_PORT_MTIP_PCS_BIP_ERR_CNT_3 0x1f74
50005#define A_MAC_PORT_MTIP_PCS_BIP_ERR_CNT_4 0x1f78
50006#define A_MAC_PORT_MTIP_PCS_BIP_ERR_CNT_5 0x1f7c
50007#define A_MAC_PORT_MTIP_PCS_BIP_ERR_CNT_6 0x1f80
50008#define A_MAC_PORT_MTIP_PCS_BIP_ERR_CNT_7 0x1f84
50009#define A_MAC_PORT_MTIP_PCS_BIP_ERR_CNT_8 0x1f88
50010#define A_MAC_PORT_MTIP_PCS_BIP_ERR_CNT_9 0x1f8c
50011#define A_MAC_PORT_MTIP_PCS_BIP_ERR_CNT_10 0x1f90
50012#define A_MAC_PORT_MTIP_PCS_BIP_ERR_CNT_11 0x1f94
50013#define A_MAC_PORT_MTIP_PCS_BIP_ERR_CNT_12 0x1f98
50014#define A_MAC_PORT_MTIP_PCS_BIP_ERR_CNT_13 0x1f9c
50015#define A_MAC_PORT_MTIP_PCS_BIP_ERR_CNT_14 0x1fa0
50016#define A_MAC_PORT_MTIP_PCS_BIP_ERR_CNT_15 0x1fa4
50017#define A_MAC_PORT_MTIP_PCS_BIP_ERR_CNT_16 0x1fa8
50018#define A_MAC_PORT_MTIP_PCS_BIP_ERR_CNT_17 0x1fac
50019#define A_MAC_PORT_MTIP_PCS_BIP_ERR_CNT_18 0x1fb0
50020#define A_MAC_PORT_MTIP_PCS_BIP_ERR_CNT_19 0x1fb4
50021#define A_MAC_PORT_MTIP_PCS_LANE_MAP_0 0x1fb8
50022
50023#define S_MAP 0
50024#define M_MAP 0x1fU
50025#define V_MAP(x) ((x) << S_MAP)
50026#define G_MAP(x) (((x) >> S_MAP) & M_MAP)
50027
50028#define A_MAC_PORT_MTIP_PCS_LANE_MAP_1 0x1fbc
50029#define A_MAC_PORT_MTIP_PCS_LANE_MAP_2 0x1fc0
50030#define A_MAC_PORT_MTIP_PCS_LANE_MAP_3 0x1fc4
50031#define A_MAC_PORT_MTIP_PCS_LANE_MAP_4 0x1fc8
50032#define A_MAC_PORT_MTIP_PCS_LANE_MAP_5 0x1fcc
50033#define A_MAC_PORT_MTIP_PCS_LANE_MAP_6 0x1fd0
50034#define A_MAC_PORT_MTIP_PCS_LANE_MAP_7 0x1fd4
50035#define A_MAC_PORT_MTIP_PCS_LANE_MAP_8 0x1fd8
50036#define A_MAC_PORT_MTIP_PCS_LANE_MAP_9 0x1fdc
50037#define A_MAC_PORT_MTIP_PCS_LANE_MAP_10 0x1fe0
50038#define A_MAC_PORT_MTIP_PCS_LANE_MAP_11 0x1fe4
50039#define A_MAC_PORT_MTIP_PCS_LANE_MAP_12 0x1fe8
50040#define A_MAC_PORT_MTIP_PCS_LANE_MAP_13 0x1fec
50041#define A_MAC_PORT_MTIP_PCS_LANE_MAP_14 0x1ff0
50042#define A_MAC_PORT_MTIP_PCS_LANE_MAP_15 0x1ff4
50043#define A_MAC_PORT_MTIP_PCS_LANE_MAP_16 0x1ff8
50044#define A_MAC_PORT_MTIP_PCS_LANE_MAP_17 0x1ffc
50045#define A_MAC_PORT_MTIP_PCS_LANE_MAP_18 0x2000
50046#define A_MAC_PORT_MTIP_PCS_LANE_MAP_19 0x2004
50047#define A_MAC_PORT_MTIP_CR4_LANE_0_MAPPING 0x2140
50048
50049#define S_LANE_0_MAPPING 0
50050#define M_LANE_0_MAPPING 0x3fU
50051#define V_LANE_0_MAPPING(x) ((x) << S_LANE_0_MAPPING)
50052#define G_LANE_0_MAPPING(x) (((x) >> S_LANE_0_MAPPING) & M_LANE_0_MAPPING)
50053
50054#define A_MAC_PORT_MTIP_CR4_LANE_1_MAPPING 0x2144
50055
50056#define S_LANE_1_MAPPING 0
50057#define M_LANE_1_MAPPING 0x3fU
50058#define V_LANE_1_MAPPING(x) ((x) << S_LANE_1_MAPPING)
50059#define G_LANE_1_MAPPING(x) (((x) >> S_LANE_1_MAPPING) & M_LANE_1_MAPPING)
50060
50061#define A_MAC_PORT_MTIP_CR4_LANE_2_MAPPING 0x2148
50062
50063#define S_LANE_2_MAPPING 0
50064#define M_LANE_2_MAPPING 0x3fU
50065#define V_LANE_2_MAPPING(x) ((x) << S_LANE_2_MAPPING)
50066#define G_LANE_2_MAPPING(x) (((x) >> S_LANE_2_MAPPING) & M_LANE_2_MAPPING)
50067
50068#define A_MAC_PORT_MTIP_CR4_LANE_3_MAPPING 0x214c
50069
50070#define S_LANE_3_MAPPING 0
50071#define M_LANE_3_MAPPING 0x3fU
50072#define V_LANE_3_MAPPING(x) ((x) << S_LANE_3_MAPPING)
50073#define G_LANE_3_MAPPING(x) (((x) >> S_LANE_3_MAPPING) & M_LANE_3_MAPPING)
50074
50075#define A_MAC_PORT_MTIP_CR4_LANE_4_MAPPING 0x2150
50076
50077#define S_LANE_4_MAPPING 0
50078#define M_LANE_4_MAPPING 0x3fU
50079#define V_LANE_4_MAPPING(x) ((x) << S_LANE_4_MAPPING)
50080#define G_LANE_4_MAPPING(x) (((x) >> S_LANE_4_MAPPING) & M_LANE_4_MAPPING)
50081
50082#define A_MAC_PORT_MTIP_CR4_LANE_5_MAPPING 0x2154
50083
50084#define S_LANE_5_MAPPING 0
50085#define M_LANE_5_MAPPING 0x3fU
50086#define V_LANE_5_MAPPING(x) ((x) << S_LANE_5_MAPPING)
50087#define G_LANE_5_MAPPING(x) (((x) >> S_LANE_5_MAPPING) & M_LANE_5_MAPPING)
50088
50089#define A_MAC_PORT_MTIP_CR4_LANE_6_MAPPING 0x2158
50090
50091#define S_LANE_6_MAPPING 0
50092#define M_LANE_6_MAPPING 0x3fU
50093#define V_LANE_6_MAPPING(x) ((x) << S_LANE_6_MAPPING)
50094#define G_LANE_6_MAPPING(x) (((x) >> S_LANE_6_MAPPING) & M_LANE_6_MAPPING)
50095
50096#define A_MAC_PORT_MTIP_CR4_LANE_7_MAPPING 0x215c
50097
50098#define S_LANE_7_MAPPING 0
50099#define M_LANE_7_MAPPING 0x3fU
50100#define V_LANE_7_MAPPING(x) ((x) << S_LANE_7_MAPPING)
50101#define G_LANE_7_MAPPING(x) (((x) >> S_LANE_7_MAPPING) & M_LANE_7_MAPPING)
50102
50103#define A_MAC_PORT_MTIP_CR4_LANE_8_MAPPING 0x2160
50104
50105#define S_LANE_8_MAPPING 0
50106#define M_LANE_8_MAPPING 0x3fU
50107#define V_LANE_8_MAPPING(x) ((x) << S_LANE_8_MAPPING)
50108#define G_LANE_8_MAPPING(x) (((x) >> S_LANE_8_MAPPING) & M_LANE_8_MAPPING)
50109
50110#define A_MAC_PORT_MTIP_CR4_LANE_9_MAPPING 0x2164
50111
50112#define S_LANE_9_MAPPING 0
50113#define M_LANE_9_MAPPING 0x3fU
50114#define V_LANE_9_MAPPING(x) ((x) << S_LANE_9_MAPPING)
50115#define G_LANE_9_MAPPING(x) (((x) >> S_LANE_9_MAPPING) & M_LANE_9_MAPPING)
50116
50117#define A_MAC_PORT_MTIP_CR4_LANE_10_MAPPING 0x2168
50118
50119#define S_LANE_10_MAPPING 0
50120#define M_LANE_10_MAPPING 0x3fU
50121#define V_LANE_10_MAPPING(x) ((x) << S_LANE_10_MAPPING)
50122#define G_LANE_10_MAPPING(x) (((x) >> S_LANE_10_MAPPING) & M_LANE_10_MAPPING)
50123
50124#define A_MAC_PORT_MTIP_CR4_LANE_11_MAPPING 0x216c
50125
50126#define S_LANE_11_MAPPING 0
50127#define M_LANE_11_MAPPING 0x3fU
50128#define V_LANE_11_MAPPING(x) ((x) << S_LANE_11_MAPPING)
50129#define G_LANE_11_MAPPING(x) (((x) >> S_LANE_11_MAPPING) & M_LANE_11_MAPPING)
50130
50131#define A_MAC_PORT_MTIP_CR4_LANE_12_MAPPING 0x2170
50132
50133#define S_LANE_12_MAPPING 0
50134#define M_LANE_12_MAPPING 0x3fU
50135#define V_LANE_12_MAPPING(x) ((x) << S_LANE_12_MAPPING)
50136#define G_LANE_12_MAPPING(x) (((x) >> S_LANE_12_MAPPING) & M_LANE_12_MAPPING)
50137
50138#define A_MAC_PORT_MTIP_CR4_LANE_13_MAPPING 0x2174
50139
50140#define S_LANE_13_MAPPING 0
50141#define M_LANE_13_MAPPING 0x3fU
50142#define V_LANE_13_MAPPING(x) ((x) << S_LANE_13_MAPPING)
50143#define G_LANE_13_MAPPING(x) (((x) >> S_LANE_13_MAPPING) & M_LANE_13_MAPPING)
50144
50145#define A_MAC_PORT_MTIP_CR4_LANE_14_MAPPING 0x2178
50146
50147#define S_LANE_14_MAPPING 0
50148#define M_LANE_14_MAPPING 0x3fU
50149#define V_LANE_14_MAPPING(x) ((x) << S_LANE_14_MAPPING)
50150#define G_LANE_14_MAPPING(x) (((x) >> S_LANE_14_MAPPING) & M_LANE_14_MAPPING)
50151
50152#define A_MAC_PORT_MTIP_CR4_LANE_15_MAPPING 0x217c
50153
50154#define S_LANE_15_MAPPING 0
50155#define M_LANE_15_MAPPING 0x3fU
50156#define V_LANE_15_MAPPING(x) ((x) << S_LANE_15_MAPPING)
50157#define G_LANE_15_MAPPING(x) (((x) >> S_LANE_15_MAPPING) & M_LANE_15_MAPPING)
50158
50159#define A_MAC_PORT_MTIP_CR4_LANE_16_MAPPING 0x2180
50160
50161#define S_LANE_16_MAPPING 0
50162#define M_LANE_16_MAPPING 0x3fU
50163#define V_LANE_16_MAPPING(x) ((x) << S_LANE_16_MAPPING)
50164#define G_LANE_16_MAPPING(x) (((x) >> S_LANE_16_MAPPING) & M_LANE_16_MAPPING)
50165
50166#define A_MAC_PORT_MTIP_CR4_LANE_17_MAPPING 0x2184
50167
50168#define S_LANE_17_MAPPING 0
50169#define M_LANE_17_MAPPING 0x3fU
50170#define V_LANE_17_MAPPING(x) ((x) << S_LANE_17_MAPPING)
50171#define G_LANE_17_MAPPING(x) (((x) >> S_LANE_17_MAPPING) & M_LANE_17_MAPPING)
50172
50173#define A_MAC_PORT_MTIP_CR4_LANE_18_MAPPING 0x2188
50174
50175#define S_LANE_18_MAPPING 0
50176#define M_LANE_18_MAPPING 0x3fU
50177#define V_LANE_18_MAPPING(x) ((x) << S_LANE_18_MAPPING)
50178#define G_LANE_18_MAPPING(x) (((x) >> S_LANE_18_MAPPING) & M_LANE_18_MAPPING)
50179
50180#define A_MAC_PORT_MTIP_CR4_LANE_19_MAPPING 0x218c
50181
50182#define S_LANE_19_MAPPING 0
50183#define M_LANE_19_MAPPING 0x3fU
50184#define V_LANE_19_MAPPING(x) ((x) << S_LANE_19_MAPPING)
50185#define G_LANE_19_MAPPING(x) (((x) >> S_LANE_19_MAPPING) & M_LANE_19_MAPPING)
50186
50187#define A_MAC_PORT_MTIP_CR4_SCRATCH 0x21f0
50188#define A_MAC_PORT_MTIP_CR4_CORE_REVISION 0x21f4
50189
50190#define S_CORE_REVISION 0
50191#define M_CORE_REVISION 0xffffU
50192#define V_CORE_REVISION(x) ((x) << S_CORE_REVISION)
50193#define G_CORE_REVISION(x) (((x) >> S_CORE_REVISION) & M_CORE_REVISION)
50194
50195#define A_MAC_PORT_BEAN_CTL 0x2200
50196
50197#define S_AN_RESET 15
50198#define V_AN_RESET(x) ((x) << S_AN_RESET)
50199#define F_AN_RESET V_AN_RESET(1U)
50200
50201#define S_EXT_NXP_CTRL 13
50202#define V_EXT_NXP_CTRL(x) ((x) << S_EXT_NXP_CTRL)
50203#define F_EXT_NXP_CTRL V_EXT_NXP_CTRL(1U)
50204
50205#define S_BEAN_EN 12
50206#define V_BEAN_EN(x) ((x) << S_BEAN_EN)
50207#define F_BEAN_EN V_BEAN_EN(1U)
50208
50209#define S_RESTART_BEAN 9
50210#define V_RESTART_BEAN(x) ((x) << S_RESTART_BEAN)
50211#define F_RESTART_BEAN V_RESTART_BEAN(1U)
50212
50213#define A_MAC_PORT_MTIP_RS_FEC_CONTROL 0x2200
50214
50215#define S_RS_FEC_BYPASS_ERROR_INDICATION 1
50216#define V_RS_FEC_BYPASS_ERROR_INDICATION(x) ((x) << S_RS_FEC_BYPASS_ERROR_INDICATION)
50217#define F_RS_FEC_BYPASS_ERROR_INDICATION V_RS_FEC_BYPASS_ERROR_INDICATION(1U)
50218
50219#define S_RS_FEC_BYPASS_CORRECTION 0
50220#define V_RS_FEC_BYPASS_CORRECTION(x) ((x) << S_RS_FEC_BYPASS_CORRECTION)
50221#define F_RS_FEC_BYPASS_CORRECTION V_RS_FEC_BYPASS_CORRECTION(1U)
50222
50223#define A_MAC_PORT_BEAN_STATUS 0x2204
50224
50225#define S_PDF 9
50226#define V_PDF(x) ((x) << S_PDF)
50227#define F_PDF V_PDF(1U)
50228
50229#define S_EXT_NXP_STATUS 7
50230#define V_EXT_NXP_STATUS(x) ((x) << S_EXT_NXP_STATUS)
50231#define F_EXT_NXP_STATUS V_EXT_NXP_STATUS(1U)
50232
50233#define S_PAGE_RCVD 6
50234#define V_PAGE_RCVD(x) ((x) << S_PAGE_RCVD)
50235#define F_PAGE_RCVD V_PAGE_RCVD(1U)
50236
50237#define S_BEAN_COMPLETE 5
50238#define V_BEAN_COMPLETE(x) ((x) << S_BEAN_COMPLETE)
50239#define F_BEAN_COMPLETE V_BEAN_COMPLETE(1U)
50240
50241#define S_REM_FAULT_STATUS 4
50242#define V_REM_FAULT_STATUS(x) ((x) << S_REM_FAULT_STATUS)
50243#define F_REM_FAULT_STATUS V_REM_FAULT_STATUS(1U)
50244
50245#define S_BEAN_ABILITY 3
50246#define V_BEAN_ABILITY(x) ((x) << S_BEAN_ABILITY)
50247#define F_BEAN_ABILITY V_BEAN_ABILITY(1U)
50248
50249#define S_LP_BEAN_ABILITY 0
50250#define V_LP_BEAN_ABILITY(x) ((x) << S_LP_BEAN_ABILITY)
50251#define F_LP_BEAN_ABILITY V_LP_BEAN_ABILITY(1U)
50252
50253#define A_MAC_PORT_MTIP_RS_FEC_STATUS 0x2204
50254
50255#define S_RS_FEC_PCS_ALIGN_STATUS 15
50256#define V_RS_FEC_PCS_ALIGN_STATUS(x) ((x) << S_RS_FEC_PCS_ALIGN_STATUS)
50257#define F_RS_FEC_PCS_ALIGN_STATUS V_RS_FEC_PCS_ALIGN_STATUS(1U)
50258
50259#define S_FEC_ALIGN_STATUS 14
50260#define V_FEC_ALIGN_STATUS(x) ((x) << S_FEC_ALIGN_STATUS)
50261#define F_FEC_ALIGN_STATUS V_FEC_ALIGN_STATUS(1U)
50262
50263#define S_RS_FEC_HIGH_SER 2
50264#define V_RS_FEC_HIGH_SER(x) ((x) << S_RS_FEC_HIGH_SER)
50265#define F_RS_FEC_HIGH_SER V_RS_FEC_HIGH_SER(1U)
50266
50267#define S_RS_FEC_BYPASS_ERROR_INDICATION_ABILITY 1
50268#define V_RS_FEC_BYPASS_ERROR_INDICATION_ABILITY(x) ((x) << S_RS_FEC_BYPASS_ERROR_INDICATION_ABILITY)
50269#define F_RS_FEC_BYPASS_ERROR_INDICATION_ABILITY V_RS_FEC_BYPASS_ERROR_INDICATION_ABILITY(1U)
50270
50271#define S_RS_FEC_BYPASS_CORRECTION_ABILITY 0
50272#define V_RS_FEC_BYPASS_CORRECTION_ABILITY(x) ((x) << S_RS_FEC_BYPASS_CORRECTION_ABILITY)
50273#define F_RS_FEC_BYPASS_CORRECTION_ABILITY V_RS_FEC_BYPASS_CORRECTION_ABILITY(1U)
50274
50275#define A_MAC_PORT_BEAN_ABILITY_0 0x2208
50276
50277#define S_NXP 15
50278#define V_NXP(x) ((x) << S_NXP)
50279#define F_NXP V_NXP(1U)
50280
50281#define S_REM_FAULT 13
50282#define V_REM_FAULT(x) ((x) << S_REM_FAULT)
50283#define F_REM_FAULT V_REM_FAULT(1U)
50284
50285#define S_PAUSE_ABILITY 10
50286#define M_PAUSE_ABILITY 0x7U
50287#define V_PAUSE_ABILITY(x) ((x) << S_PAUSE_ABILITY)
50288#define G_PAUSE_ABILITY(x) (((x) >> S_PAUSE_ABILITY) & M_PAUSE_ABILITY)
50289
50290#define S_ECHO_NONCE 5
50291#define M_ECHO_NONCE 0x1fU
50292#define V_ECHO_NONCE(x) ((x) << S_ECHO_NONCE)
50293#define G_ECHO_NONCE(x) (((x) >> S_ECHO_NONCE) & M_ECHO_NONCE)
50294
50295#define S_SELECTOR 0
50296#define M_SELECTOR 0x1fU
50297#define V_SELECTOR(x) ((x) << S_SELECTOR)
50298#define G_SELECTOR(x) (((x) >> S_SELECTOR) & M_SELECTOR)
50299
50300#define A_MAC_PORT_MTIP_RS_FEC_CCW_LO 0x2208
50301
50302#define S_RS_RS_FEC_CCW_LO 0
50303#define M_RS_RS_FEC_CCW_LO 0xffffU
50304#define V_RS_RS_FEC_CCW_LO(x) ((x) << S_RS_RS_FEC_CCW_LO)
50305#define G_RS_RS_FEC_CCW_LO(x) (((x) >> S_RS_RS_FEC_CCW_LO) & M_RS_RS_FEC_CCW_LO)
50306
50307#define A_MAC_PORT_BEAN_ABILITY_1 0x220c
50308
50309#define S_TECH_ABILITY_1 5
50310#define M_TECH_ABILITY_1 0x7ffU
50311#define V_TECH_ABILITY_1(x) ((x) << S_TECH_ABILITY_1)
50312#define G_TECH_ABILITY_1(x) (((x) >> S_TECH_ABILITY_1) & M_TECH_ABILITY_1)
50313
50314#define S_TX_NONCE 0
50315#define M_TX_NONCE 0x1fU
50316#define V_TX_NONCE(x) ((x) << S_TX_NONCE)
50317#define G_TX_NONCE(x) (((x) >> S_TX_NONCE) & M_TX_NONCE)
50318
50319#define A_MAC_PORT_MTIP_RS_FEC_CCW_HI 0x220c
50320
50321#define S_RS_RS_FEC_CCW_HI 0
50322#define M_RS_RS_FEC_CCW_HI 0xffffU
50323#define V_RS_RS_FEC_CCW_HI(x) ((x) << S_RS_RS_FEC_CCW_HI)
50324#define G_RS_RS_FEC_CCW_HI(x) (((x) >> S_RS_RS_FEC_CCW_HI) & M_RS_RS_FEC_CCW_HI)
50325
50326#define A_MAC_PORT_BEAN_ABILITY_2 0x2210
50327
50328#define S_T5_FEC_ABILITY 14
50329#define M_T5_FEC_ABILITY 0x3U
50330#define V_T5_FEC_ABILITY(x) ((x) << S_T5_FEC_ABILITY)
50331#define G_T5_FEC_ABILITY(x) (((x) >> S_T5_FEC_ABILITY) & M_T5_FEC_ABILITY)
50332
50333#define S_TECH_ABILITY_2 0
50334#define M_TECH_ABILITY_2 0x3fffU
50335#define V_TECH_ABILITY_2(x) ((x) << S_TECH_ABILITY_2)
50336#define G_TECH_ABILITY_2(x) (((x) >> S_TECH_ABILITY_2) & M_TECH_ABILITY_2)
50337
50338#define A_MAC_PORT_MTIP_RS_FEC_NCCW_LO 0x2210
50339
50340#define S_RS_RS_FEC_NCCW_LO 0
50341#define M_RS_RS_FEC_NCCW_LO 0xffffU
50342#define V_RS_RS_FEC_NCCW_LO(x) ((x) << S_RS_RS_FEC_NCCW_LO)
50343#define G_RS_RS_FEC_NCCW_LO(x) (((x) >> S_RS_RS_FEC_NCCW_LO) & M_RS_RS_FEC_NCCW_LO)
50344
50345#define A_MAC_PORT_BEAN_REM_ABILITY_0 0x2214
50346#define A_MAC_PORT_MTIP_RS_FEC_NCCW_HI 0x2214
50347
50348#define S_RS_RS_FEC_NCCW_HI 0
50349#define M_RS_RS_FEC_NCCW_HI 0xffffU
50350#define V_RS_RS_FEC_NCCW_HI(x) ((x) << S_RS_RS_FEC_NCCW_HI)
50351#define G_RS_RS_FEC_NCCW_HI(x) (((x) >> S_RS_RS_FEC_NCCW_HI) & M_RS_RS_FEC_NCCW_HI)
50352
50353#define A_MAC_PORT_BEAN_REM_ABILITY_1 0x2218
50354#define A_MAC_PORT_MTIP_RS_FEC_LANEMAPRS_FEC_NCCW_HI 0x2218
50355
50356#define S_PMA_MAPPING 0
50357#define M_PMA_MAPPING 0xffU
50358#define V_PMA_MAPPING(x) ((x) << S_PMA_MAPPING)
50359#define G_PMA_MAPPING(x) (((x) >> S_PMA_MAPPING) & M_PMA_MAPPING)
50360
50361#define A_MAC_PORT_BEAN_REM_ABILITY_2 0x221c
50362#define A_MAC_PORT_BEAN_MS_COUNT 0x2220
50363
50364#define S_MS_COUNT 0
50365#define M_MS_COUNT 0xffffU
50366#define V_MS_COUNT(x) ((x) << S_MS_COUNT)
50367#define G_MS_COUNT(x) (((x) >> S_MS_COUNT) & M_MS_COUNT)
50368
50369#define A_MAC_PORT_BEAN_XNP_0 0x2224
50370
50371#define S_XNP 15
50372#define V_XNP(x) ((x) << S_XNP)
50373#define F_XNP V_XNP(1U)
50374
50375#define S_ACKNOWLEDGE 14
50376#define V_ACKNOWLEDGE(x) ((x) << S_ACKNOWLEDGE)
50377#define F_ACKNOWLEDGE V_ACKNOWLEDGE(1U)
50378
50379#define S_MP 13
50380#define V_MP(x) ((x) << S_MP)
50381#define F_MP V_MP(1U)
50382
50383#define S_ACK2 12
50384#define V_ACK2(x) ((x) << S_ACK2)
50385#define F_ACK2 V_ACK2(1U)
50386
50387#define S_MU 0
50388#define M_MU 0x7ffU
50389#define V_MU(x) ((x) << S_MU)
50390#define G_MU(x) (((x) >> S_MU) & M_MU)
50391
50392#define A_MAC_PORT_BEAN_XNP_1 0x2228
50393
50394#define S_UNFORMATED 0
50395#define M_UNFORMATED 0xffffU
50396#define V_UNFORMATED(x) ((x) << S_UNFORMATED)
50397#define G_UNFORMATED(x) (((x) >> S_UNFORMATED) & M_UNFORMATED)
50398
50399#define A_MAC_PORT_MTIP_RS_FEC_SYMBLERR0_LO 0x2228
50400
50401#define S_RS_FEC_SYMBLERR0_LO 0
50402#define V_RS_FEC_SYMBLERR0_LO(x) ((x) << S_RS_FEC_SYMBLERR0_LO)
50403#define F_RS_FEC_SYMBLERR0_LO V_RS_FEC_SYMBLERR0_LO(1U)
50404
50405#define A_MAC_PORT_BEAN_XNP_2 0x222c
50406#define A_MAC_PORT_MTIP_RS_FEC_SYMBLERR0_HI 0x222c
50407
50408#define S_RS_FEC_SYMBLERR0_HI 0
50409#define V_RS_FEC_SYMBLERR0_HI(x) ((x) << S_RS_FEC_SYMBLERR0_HI)
50410#define F_RS_FEC_SYMBLERR0_HI V_RS_FEC_SYMBLERR0_HI(1U)
50411
50412#define A_MAC_PORT_LP_BEAN_XNP_0 0x2230
50413#define A_MAC_PORT_MTIP_RS_FEC_SYMBLERR1_LO 0x2230
50414
50415#define S_RS_FEC_SYMBLERR1_LO 0
50416#define V_RS_FEC_SYMBLERR1_LO(x) ((x) << S_RS_FEC_SYMBLERR1_LO)
50417#define F_RS_FEC_SYMBLERR1_LO V_RS_FEC_SYMBLERR1_LO(1U)
50418
50419#define A_MAC_PORT_LP_BEAN_XNP_1 0x2234
50420#define A_MAC_PORT_MTIP_RS_FEC_SYMBLERR1_HI 0x2234
50421
50422#define S_RS_FEC_SYMBLERR1_HI 0
50423#define V_RS_FEC_SYMBLERR1_HI(x) ((x) << S_RS_FEC_SYMBLERR1_HI)
50424#define F_RS_FEC_SYMBLERR1_HI V_RS_FEC_SYMBLERR1_HI(1U)
50425
50426#define A_MAC_PORT_LP_BEAN_XNP_2 0x2238
50427#define A_MAC_PORT_MTIP_RS_FEC_SYMBLERR2_LO 0x2238
50428
50429#define S_RS_FEC_SYMBLERR2_LO 0
50430#define V_RS_FEC_SYMBLERR2_LO(x) ((x) << S_RS_FEC_SYMBLERR2_LO)
50431#define F_RS_FEC_SYMBLERR2_LO V_RS_FEC_SYMBLERR2_LO(1U)
50432
50433#define A_MAC_PORT_BEAN_ETH_STATUS 0x223c
50434
50435#define S_100GCR10 8
50436#define V_100GCR10(x) ((x) << S_100GCR10)
50437#define F_100GCR10 V_100GCR10(1U)
50438
50439#define S_40GCR4 6
50440#define V_40GCR4(x) ((x) << S_40GCR4)
50441#define F_40GCR4 V_40GCR4(1U)
50442
50443#define S_40GKR4 5
50444#define V_40GKR4(x) ((x) << S_40GKR4)
50445#define F_40GKR4 V_40GKR4(1U)
50446
50447#define S_FEC 4
50448#define V_FEC(x) ((x) << S_FEC)
50449#define F_FEC V_FEC(1U)
50450
50451#define S_10GKR 3
50452#define V_10GKR(x) ((x) << S_10GKR)
50453#define F_10GKR V_10GKR(1U)
50454
50455#define S_10GKX4 2
50456#define V_10GKX4(x) ((x) << S_10GKX4)
50457#define F_10GKX4 V_10GKX4(1U)
50458
50459#define S_1GKX 1
50460#define V_1GKX(x) ((x) << S_1GKX)
50461#define F_1GKX V_1GKX(1U)
50462
50463#define A_MAC_PORT_MTIP_RS_FEC_SYMBLERR2_HI 0x223c
50464
50465#define S_RS_FEC_SYMBLERR2_HI 0
50466#define V_RS_FEC_SYMBLERR2_HI(x) ((x) << S_RS_FEC_SYMBLERR2_HI)
50467#define F_RS_FEC_SYMBLERR2_HI V_RS_FEC_SYMBLERR2_HI(1U)
50468
50469#define A_MAC_PORT_BEAN_CTL_LANE1 0x2240
50470#define A_MAC_PORT_MTIP_RS_FEC_SYMBLERR3_LO 0x2240
50471
50472#define S_RS_FEC_SYMBLERR3_LO 0
50473#define V_RS_FEC_SYMBLERR3_LO(x) ((x) << S_RS_FEC_SYMBLERR3_LO)
50474#define F_RS_FEC_SYMBLERR3_LO V_RS_FEC_SYMBLERR3_LO(1U)
50475
50476#define A_MAC_PORT_BEAN_STATUS_LANE1 0x2244
50477#define A_MAC_PORT_MTIP_RS_FEC_SYMBLERR3_HI 0x2244
50478
50479#define S_RS_FEC_SYMBLERR3_HI 0
50480#define V_RS_FEC_SYMBLERR3_HI(x) ((x) << S_RS_FEC_SYMBLERR3_HI)
50481#define F_RS_FEC_SYMBLERR3_HI V_RS_FEC_SYMBLERR3_HI(1U)
50482
50483#define A_MAC_PORT_BEAN_ABILITY_0_LANE1 0x2248
50484#define A_MAC_PORT_BEAN_ABILITY_1_LANE1 0x224c
50485#define A_MAC_PORT_BEAN_ABILITY_2_LANE1 0x2250
50486#define A_MAC_PORT_BEAN_REM_ABILITY_0_LANE1 0x2254
50487#define A_MAC_PORT_BEAN_REM_ABILITY_1_LANE1 0x2258
50488#define A_MAC_PORT_BEAN_REM_ABILITY_2_LANE1 0x225c
50489#define A_MAC_PORT_BEAN_MS_COUNT_LANE1 0x2260
50490#define A_MAC_PORT_BEAN_XNP_0_LANE1 0x2264
50491#define A_MAC_PORT_BEAN_XNP_1_LANE1 0x2268
50492#define A_MAC_PORT_BEAN_XNP_2_LANE1 0x226c
50493#define A_MAC_PORT_LP_BEAN_XNP_0_LANE1 0x2270
50494#define A_MAC_PORT_LP_BEAN_XNP_1_LANE1 0x2274
50495#define A_MAC_PORT_LP_BEAN_XNP_2_LANE1 0x2278
50496#define A_MAC_PORT_BEAN_ETH_STATUS_LANE1 0x227c
50497#define A_MAC_PORT_BEAN_CTL_LANE2 0x2280
50498#define A_MAC_PORT_BEAN_STATUS_LANE2 0x2284
50499#define A_MAC_PORT_BEAN_ABILITY_0_LANE2 0x2288
50500#define A_MAC_PORT_BEAN_ABILITY_1_LANE2 0x228c
50501#define A_MAC_PORT_BEAN_ABILITY_2_LANE2 0x2290
50502#define A_MAC_PORT_BEAN_REM_ABILITY_0_LANE2 0x2294
50503#define A_MAC_PORT_BEAN_REM_ABILITY_1_LANE2 0x2298
50504#define A_MAC_PORT_BEAN_REM_ABILITY_2_LANE2 0x229c
50505#define A_MAC_PORT_BEAN_MS_COUNT_LANE2 0x22a0
50506#define A_MAC_PORT_BEAN_XNP_0_LANE2 0x22a4
50507#define A_MAC_PORT_BEAN_XNP_1_LANE2 0x22a8
50508#define A_MAC_PORT_BEAN_XNP_2_LANE2 0x22ac
50509#define A_MAC_PORT_LP_BEAN_XNP_0_LANE2 0x22b0
50510#define A_MAC_PORT_LP_BEAN_XNP_1_LANE2 0x22b4
50511#define A_MAC_PORT_LP_BEAN_XNP_2_LANE2 0x22b8
50512#define A_MAC_PORT_BEAN_ETH_STATUS_LANE2 0x22bc
50513#define A_MAC_PORT_BEAN_CTL_LANE3 0x22c0
50514#define A_MAC_PORT_BEAN_STATUS_LANE3 0x22c4
50515#define A_MAC_PORT_BEAN_ABILITY_0_LANE3 0x22c8
50516#define A_MAC_PORT_BEAN_ABILITY_1_LANE3 0x22cc
50517#define A_MAC_PORT_BEAN_ABILITY_2_LANE3 0x22d0
50518#define A_MAC_PORT_BEAN_REM_ABILITY_0_LANE3 0x22d4
50519#define A_MAC_PORT_BEAN_REM_ABILITY_1_LANE3 0x22d8
50520#define A_MAC_PORT_BEAN_REM_ABILITY_2_LANE3 0x22dc
50521#define A_MAC_PORT_BEAN_MS_COUNT_LANE3 0x22e0
50522#define A_MAC_PORT_BEAN_XNP_0_LANE3 0x22e4
50523#define A_MAC_PORT_BEAN_XNP_1_LANE3 0x22e8
50524#define A_MAC_PORT_BEAN_XNP_2_LANE3 0x22ec
50525#define A_MAC_PORT_LP_BEAN_XNP_0_LANE3 0x22f0
50526#define A_MAC_PORT_LP_BEAN_XNP_1_LANE3 0x22f4
50527#define A_MAC_PORT_LP_BEAN_XNP_2_LANE3 0x22f8
50528#define A_MAC_PORT_BEAN_ETH_STATUS_LANE3 0x22fc
50529#define A_MAC_PORT_MTIP_RS_FEC_VENDOR_CONTROL 0x2400
50530
50531#define S_RS_FEC_ENABLED_STATUS 15
50532#define V_RS_FEC_ENABLED_STATUS(x) ((x) << S_RS_FEC_ENABLED_STATUS)
50533#define F_RS_FEC_ENABLED_STATUS V_RS_FEC_ENABLED_STATUS(1U)
50534
50535#define S_RS_FEC_ENABLE 2
50536#define V_RS_FEC_ENABLE(x) ((x) << S_RS_FEC_ENABLE)
50537#define F_RS_FEC_ENABLE V_RS_FEC_ENABLE(1U)
50538
50539#define A_MAC_PORT_MTIP_RS_FEC_VENDOR_INFO_1 0x2404
50540
50541#define S_DESKEW_EMPTY 12
50542#define M_DESKEW_EMPTY 0xfU
50543#define V_DESKEW_EMPTY(x) ((x) << S_DESKEW_EMPTY)
50544#define G_DESKEW_EMPTY(x) (((x) >> S_DESKEW_EMPTY) & M_DESKEW_EMPTY)
50545
50546#define S_FEC_ALIGN_STATUS_LH 10
50547#define V_FEC_ALIGN_STATUS_LH(x) ((x) << S_FEC_ALIGN_STATUS_LH)
50548#define F_FEC_ALIGN_STATUS_LH V_FEC_ALIGN_STATUS_LH(1U)
50549
50550#define S_TX_DP_OVERFLOW 9
50551#define V_TX_DP_OVERFLOW(x) ((x) << S_TX_DP_OVERFLOW)
50552#define F_TX_DP_OVERFLOW V_TX_DP_OVERFLOW(1U)
50553
50554#define S_RX_DP_OVERFLOW 8
50555#define V_RX_DP_OVERFLOW(x) ((x) << S_RX_DP_OVERFLOW)
50556#define F_RX_DP_OVERFLOW V_RX_DP_OVERFLOW(1U)
50557
50558#define S_TX_DATAPATH_RESTART 7
50559#define V_TX_DATAPATH_RESTART(x) ((x) << S_TX_DATAPATH_RESTART)
50560#define F_TX_DATAPATH_RESTART V_TX_DATAPATH_RESTART(1U)
50561
50562#define S_RX_DATAPATH_RESTART 6
50563#define V_RX_DATAPATH_RESTART(x) ((x) << S_RX_DATAPATH_RESTART)
50564#define F_RX_DATAPATH_RESTART V_RX_DATAPATH_RESTART(1U)
50565
50566#define S_MARKER_CHECK_RESTART 5
50567#define V_MARKER_CHECK_RESTART(x) ((x) << S_MARKER_CHECK_RESTART)
50568#define F_MARKER_CHECK_RESTART V_MARKER_CHECK_RESTART(1U)
50569
50570#define S_FEC_ALIGN_STATUS_LL 4
50571#define V_FEC_ALIGN_STATUS_LL(x) ((x) << S_FEC_ALIGN_STATUS_LL)
50572#define F_FEC_ALIGN_STATUS_LL V_FEC_ALIGN_STATUS_LL(1U)
50573
50574#define S_AMPS_LOCK 0
50575#define M_AMPS_LOCK 0xfU
50576#define V_AMPS_LOCK(x) ((x) << S_AMPS_LOCK)
50577#define G_AMPS_LOCK(x) (((x) >> S_AMPS_LOCK) & M_AMPS_LOCK)
50578
50579#define A_MAC_PORT_MTIP_RS_FEC_VENDOR_INFO_2 0x2408
50580#define A_MAC_PORT_MTIP_RS_FEC_VENDOR_REVISION 0x240c
50581
50582#define S_RS_FEC_VENDOR_REVISION 0
50583#define M_RS_FEC_VENDOR_REVISION 0xffffU
50584#define V_RS_FEC_VENDOR_REVISION(x) ((x) << S_RS_FEC_VENDOR_REVISION)
50585#define G_RS_FEC_VENDOR_REVISION(x) (((x) >> S_RS_FEC_VENDOR_REVISION) & M_RS_FEC_VENDOR_REVISION)
50586
50587#define A_MAC_PORT_MTIP_RS_FEC_VENDOR_TX_TEST_KEY 0x2410
50588
50589#define S_RS_FEC_VENDOR_TX_TEST_KEY 0
50590#define M_RS_FEC_VENDOR_TX_TEST_KEY 0xffffU
50591#define V_RS_FEC_VENDOR_TX_TEST_KEY(x) ((x) << S_RS_FEC_VENDOR_TX_TEST_KEY)
50592#define G_RS_FEC_VENDOR_TX_TEST_KEY(x) (((x) >> S_RS_FEC_VENDOR_TX_TEST_KEY) & M_RS_FEC_VENDOR_TX_TEST_KEY)
50593
50594#define A_MAC_PORT_MTIP_RS_FEC_VENDOR_TX_TEST_SYMBOLS 0x2414
50595
50596#define S_RS_FEC_VENDOR_TX_TEST_SYMBOLS 0
50597#define M_RS_FEC_VENDOR_TX_TEST_SYMBOLS 0xffffU
50598#define V_RS_FEC_VENDOR_TX_TEST_SYMBOLS(x) ((x) << S_RS_FEC_VENDOR_TX_TEST_SYMBOLS)
50599#define G_RS_FEC_VENDOR_TX_TEST_SYMBOLS(x) (((x) >> S_RS_FEC_VENDOR_TX_TEST_SYMBOLS) & M_RS_FEC_VENDOR_TX_TEST_SYMBOLS)
50600
50601#define A_MAC_PORT_MTIP_RS_FEC_VENDOR_TX_TEST_PATTERN 0x2418
50602
50603#define S_RS_FEC_VENDOR_TX_TEST_PATTERN 0
50604#define M_RS_FEC_VENDOR_TX_TEST_PATTERN 0xffffU
50605#define V_RS_FEC_VENDOR_TX_TEST_PATTERN(x) ((x) << S_RS_FEC_VENDOR_TX_TEST_PATTERN)
50606#define G_RS_FEC_VENDOR_TX_TEST_PATTERN(x) (((x) >> S_RS_FEC_VENDOR_TX_TEST_PATTERN) & M_RS_FEC_VENDOR_TX_TEST_PATTERN)
50607
50608#define A_MAC_PORT_MTIP_RS_FEC_VENDOR_TX_TEST_TRIGGER 0x241c
50609
50610#define S_RS_FEC_VENDOR_TX_TEST_TRIGGER 0
50611#define M_RS_FEC_VENDOR_TX_TEST_TRIGGER 0xffffU
50612#define V_RS_FEC_VENDOR_TX_TEST_TRIGGER(x) ((x) << S_RS_FEC_VENDOR_TX_TEST_TRIGGER)
50613#define G_RS_FEC_VENDOR_TX_TEST_TRIGGER(x) (((x) >> S_RS_FEC_VENDOR_TX_TEST_TRIGGER) & M_RS_FEC_VENDOR_TX_TEST_TRIGGER)
50614
50615#define A_MAC_PORT_FEC_KR_CONTROL 0x2600
50616
50617#define S_ENABLE_TR 1
50618#define V_ENABLE_TR(x) ((x) << S_ENABLE_TR)
50619#define F_ENABLE_TR V_ENABLE_TR(1U)
50620
50621#define S_RESTART_TR 0
50622#define V_RESTART_TR(x) ((x) << S_RESTART_TR)
50623#define F_RESTART_TR V_RESTART_TR(1U)
50624
50625#define A_MAC_PORT_FEC_KR_STATUS 0x2604
50626
50627#define S_FECKRSIGDET 15
50628#define V_FECKRSIGDET(x) ((x) << S_FECKRSIGDET)
50629#define F_FECKRSIGDET V_FECKRSIGDET(1U)
50630
50631#define S_TRAIN_FAIL 3
50632#define V_TRAIN_FAIL(x) ((x) << S_TRAIN_FAIL)
50633#define F_TRAIN_FAIL V_TRAIN_FAIL(1U)
50634
50635#define S_STARTUP_STATUS 2
50636#define V_STARTUP_STATUS(x) ((x) << S_STARTUP_STATUS)
50637#define F_STARTUP_STATUS V_STARTUP_STATUS(1U)
50638
50639#define S_RX_STATUS 0
50640#define V_RX_STATUS(x) ((x) << S_RX_STATUS)
50641#define F_RX_STATUS V_RX_STATUS(1U)
50642
50643#define A_MAC_PORT_FEC_KR_LP_COEFF 0x2608
50644
50645#define S_PRESET 13
50646#define V_PRESET(x) ((x) << S_PRESET)
50647#define F_PRESET V_PRESET(1U)
50648
50649#define S_INITIALIZE 12
50650#define V_INITIALIZE(x) ((x) << S_INITIALIZE)
50651#define F_INITIALIZE V_INITIALIZE(1U)
50652
50653#define S_CP1_UPD 4
50654#define M_CP1_UPD 0x3U
50655#define V_CP1_UPD(x) ((x) << S_CP1_UPD)
50656#define G_CP1_UPD(x) (((x) >> S_CP1_UPD) & M_CP1_UPD)
50657
50658#define S_C0_UPD 2
50659#define M_C0_UPD 0x3U
50660#define V_C0_UPD(x) ((x) << S_C0_UPD)
50661#define G_C0_UPD(x) (((x) >> S_C0_UPD) & M_C0_UPD)
50662
50663#define S_CN1_UPD 0
50664#define M_CN1_UPD 0x3U
50665#define V_CN1_UPD(x) ((x) << S_CN1_UPD)
50666#define G_CN1_UPD(x) (((x) >> S_CN1_UPD) & M_CN1_UPD)
50667
50668#define A_MAC_PORT_FEC_KR_LP_STAT 0x260c
50669
50670#define S_RX_READY 15
50671#define V_RX_READY(x) ((x) << S_RX_READY)
50672#define F_RX_READY V_RX_READY(1U)
50673
50674#define S_CP1_STAT 4
50675#define M_CP1_STAT 0x3U
50676#define V_CP1_STAT(x) ((x) << S_CP1_STAT)
50677#define G_CP1_STAT(x) (((x) >> S_CP1_STAT) & M_CP1_STAT)
50678
50679#define S_C0_STAT 2
50680#define M_C0_STAT 0x3U
50681#define V_C0_STAT(x) ((x) << S_C0_STAT)
50682#define G_C0_STAT(x) (((x) >> S_C0_STAT) & M_C0_STAT)
50683
50684#define S_CN1_STAT 0
50685#define M_CN1_STAT 0x3U
50686#define V_CN1_STAT(x) ((x) << S_CN1_STAT)
50687#define G_CN1_STAT(x) (((x) >> S_CN1_STAT) & M_CN1_STAT)
50688
50689#define A_MAC_PORT_FEC_KR_LD_COEFF 0x2610
50690#define A_MAC_PORT_FEC_KR_LD_STAT 0x2614
50691#define A_MAC_PORT_FEC_ABILITY 0x2618
50692
50693#define S_FEC_IND_ABILITY 1
50694#define V_FEC_IND_ABILITY(x) ((x) << S_FEC_IND_ABILITY)
50695#define F_FEC_IND_ABILITY V_FEC_IND_ABILITY(1U)
50696
50697#define S_ABILITY 0
50698#define V_ABILITY(x) ((x) << S_ABILITY)
50699#define F_ABILITY V_ABILITY(1U)
50700
50701#define A_MAC_PORT_MTIP_FEC_ABILITY 0x2618
50702
50703#define S_BASE_R_FEC_ERROR_INDICATION_ABILITY 1
50704#define V_BASE_R_FEC_ERROR_INDICATION_ABILITY(x) ((x) << S_BASE_R_FEC_ERROR_INDICATION_ABILITY)
50705#define F_BASE_R_FEC_ERROR_INDICATION_ABILITY V_BASE_R_FEC_ERROR_INDICATION_ABILITY(1U)
50706
50707#define S_BASE_R_FEC_ABILITY 0
50708#define V_BASE_R_FEC_ABILITY(x) ((x) << S_BASE_R_FEC_ABILITY)
50709#define F_BASE_R_FEC_ABILITY V_BASE_R_FEC_ABILITY(1U)
50710
50711#define A_MAC_PORT_FEC_CONTROL 0x261c
50712
50713#define S_FEC_EN_ERR_IND 1
50714#define V_FEC_EN_ERR_IND(x) ((x) << S_FEC_EN_ERR_IND)
50715#define F_FEC_EN_ERR_IND V_FEC_EN_ERR_IND(1U)
50716
50717#define S_FEC_EN 0
50718#define V_FEC_EN(x) ((x) << S_FEC_EN)
50719#define F_FEC_EN V_FEC_EN(1U)
50720
50721#define A_MAC_PORT_FEC_STATUS 0x2620
50722
50723#define S_FEC_LOCKED_100 1
50724#define V_FEC_LOCKED_100(x) ((x) << S_FEC_LOCKED_100)
50725#define F_FEC_LOCKED_100 V_FEC_LOCKED_100(1U)
50726
50727#define S_FEC_LOCKED 0
50728#define V_FEC_LOCKED(x) ((x) << S_FEC_LOCKED)
50729#define F_FEC_LOCKED V_FEC_LOCKED(1U)
50730
50731#define S_FEC_LOCKED0 1
50732#define M_FEC_LOCKED0 0xfU
50733#define V_FEC_LOCKED0(x) ((x) << S_FEC_LOCKED0)
50734#define G_FEC_LOCKED0(x) (((x) >> S_FEC_LOCKED0) & M_FEC_LOCKED0)
50735
50736#define A_MAC_PORT_FEC_CERR_CNT_0 0x2624
50737
50738#define S_FEC_CERR_CNT_0 0
50739#define M_FEC_CERR_CNT_0 0xffffU
50740#define V_FEC_CERR_CNT_0(x) ((x) << S_FEC_CERR_CNT_0)
50741#define G_FEC_CERR_CNT_0(x) (((x) >> S_FEC_CERR_CNT_0) & M_FEC_CERR_CNT_0)
50742
50743#define A_MAC_PORT_MTIP_FEC0_CERR_CNT_0 0x2624
50744#define A_MAC_PORT_FEC_CERR_CNT_1 0x2628
50745
50746#define S_FEC_CERR_CNT_1 0
50747#define M_FEC_CERR_CNT_1 0xffffU
50748#define V_FEC_CERR_CNT_1(x) ((x) << S_FEC_CERR_CNT_1)
50749#define G_FEC_CERR_CNT_1(x) (((x) >> S_FEC_CERR_CNT_1) & M_FEC_CERR_CNT_1)
50750
50751#define A_MAC_PORT_MTIP_FEC0_CERR_CNT_1 0x2628
50752#define A_MAC_PORT_FEC_NCERR_CNT_0 0x262c
50753
50754#define S_FEC_NCERR_CNT_0 0
50755#define M_FEC_NCERR_CNT_0 0xffffU
50756#define V_FEC_NCERR_CNT_0(x) ((x) << S_FEC_NCERR_CNT_0)
50757#define G_FEC_NCERR_CNT_0(x) (((x) >> S_FEC_NCERR_CNT_0) & M_FEC_NCERR_CNT_0)
50758
50759#define A_MAC_PORT_MTIP_FEC0_NCERR_CNT_0 0x262c
50760
50761#define S_FEC0_NCERR_CNT_0 0
50762#define M_FEC0_NCERR_CNT_0 0xffffU
50763#define V_FEC0_NCERR_CNT_0(x) ((x) << S_FEC0_NCERR_CNT_0)
50764#define G_FEC0_NCERR_CNT_0(x) (((x) >> S_FEC0_NCERR_CNT_0) & M_FEC0_NCERR_CNT_0)
50765
50766#define A_MAC_PORT_FEC_NCERR_CNT_1 0x2630
50767
50768#define S_FEC_NCERR_CNT_1 0
50769#define M_FEC_NCERR_CNT_1 0xffffU
50770#define V_FEC_NCERR_CNT_1(x) ((x) << S_FEC_NCERR_CNT_1)
50771#define G_FEC_NCERR_CNT_1(x) (((x) >> S_FEC_NCERR_CNT_1) & M_FEC_NCERR_CNT_1)
50772
50773#define A_MAC_PORT_MTIP_FEC0_NCERR_CNT_1 0x2630
50774
50775#define S_FEC0_NCERR_CNT_1 0
50776#define M_FEC0_NCERR_CNT_1 0xffffU
50777#define V_FEC0_NCERR_CNT_1(x) ((x) << S_FEC0_NCERR_CNT_1)
50778#define G_FEC0_NCERR_CNT_1(x) (((x) >> S_FEC0_NCERR_CNT_1) & M_FEC0_NCERR_CNT_1)
50779
50780#define A_MAC_PORT_MTIP_FEC_STATUS1 0x2664
50781#define A_MAC_PORT_MTIP_FEC1_CERR_CNT_0 0x2668
50782#define A_MAC_PORT_MTIP_FEC1_CERR_CNT_1 0x266c
50783#define A_MAC_PORT_MTIP_FEC1_NCERR_CNT_0 0x2670
50784#define A_MAC_PORT_MTIP_FEC1_NCERR_CNT_1 0x2674
50785#define A_MAC_PORT_MTIP_FEC_STATUS2 0x26a8
50786#define A_MAC_PORT_MTIP_FEC2_CERR_CNT_0 0x26ac
50787#define A_MAC_PORT_MTIP_FEC2_CERR_CNT_1 0x26b0
50788#define A_MAC_PORT_MTIP_FEC2_NCERR_CNT_0 0x26b4
50789#define A_MAC_PORT_MTIP_FEC2_NCERR_CNT_1 0x26b8
50790#define A_MAC_PORT_MTIP_FEC_STATUS3 0x26ec
50791#define A_MAC_PORT_MTIP_FEC3_CERR_CNT_0 0x26f0
50792#define A_MAC_PORT_MTIP_FEC3_CERR_CNT_1 0x26f4
50793#define A_MAC_PORT_MTIP_FEC3_NCERR_CNT_0 0x26f8
50794#define A_MAC_PORT_MTIP_FEC3_NCERR_CNT_1 0x26fc
50795#define A_MAC_PORT_AE_RX_COEF_REQ 0x2a00
50796
50797#define S_T5_RXREQ_C2 4
50798#define M_T5_RXREQ_C2 0x3U
50799#define V_T5_RXREQ_C2(x) ((x) << S_T5_RXREQ_C2)
50800#define G_T5_RXREQ_C2(x) (((x) >> S_T5_RXREQ_C2) & M_T5_RXREQ_C2)
50801
50802#define S_T5_RXREQ_C1 2
50803#define M_T5_RXREQ_C1 0x3U
50804#define V_T5_RXREQ_C1(x) ((x) << S_T5_RXREQ_C1)
50805#define G_T5_RXREQ_C1(x) (((x) >> S_T5_RXREQ_C1) & M_T5_RXREQ_C1)
50806
50807#define S_T5_RXREQ_C0 0
50808#define M_T5_RXREQ_C0 0x3U
50809#define V_T5_RXREQ_C0(x) ((x) << S_T5_RXREQ_C0)
50810#define G_T5_RXREQ_C0(x) (((x) >> S_T5_RXREQ_C0) & M_T5_RXREQ_C0)
50811
50812#define S_T5_RXREQ_C3 6
50813#define M_T5_RXREQ_C3 0x3U
50814#define V_T5_RXREQ_C3(x) ((x) << S_T5_RXREQ_C3)
50815#define G_T5_RXREQ_C3(x) (((x) >> S_T5_RXREQ_C3) & M_T5_RXREQ_C3)
50816
50817#define A_MAC_PORT_AE_RX_COEF_STAT 0x2a04
50818
50819#define S_T5_AE0_RXSTAT_RDY 15
50820#define V_T5_AE0_RXSTAT_RDY(x) ((x) << S_T5_AE0_RXSTAT_RDY)
50821#define F_T5_AE0_RXSTAT_RDY V_T5_AE0_RXSTAT_RDY(1U)
50822
50823#define S_T5_AE0_RXSTAT_C2 4
50824#define M_T5_AE0_RXSTAT_C2 0x3U
50825#define V_T5_AE0_RXSTAT_C2(x) ((x) << S_T5_AE0_RXSTAT_C2)
50826#define G_T5_AE0_RXSTAT_C2(x) (((x) >> S_T5_AE0_RXSTAT_C2) & M_T5_AE0_RXSTAT_C2)
50827
50828#define S_T5_AE0_RXSTAT_C1 2
50829#define M_T5_AE0_RXSTAT_C1 0x3U
50830#define V_T5_AE0_RXSTAT_C1(x) ((x) << S_T5_AE0_RXSTAT_C1)
50831#define G_T5_AE0_RXSTAT_C1(x) (((x) >> S_T5_AE0_RXSTAT_C1) & M_T5_AE0_RXSTAT_C1)
50832
50833#define S_T5_AE0_RXSTAT_C0 0
50834#define M_T5_AE0_RXSTAT_C0 0x3U
50835#define V_T5_AE0_RXSTAT_C0(x) ((x) << S_T5_AE0_RXSTAT_C0)
50836#define G_T5_AE0_RXSTAT_C0(x) (((x) >> S_T5_AE0_RXSTAT_C0) & M_T5_AE0_RXSTAT_C0)
50837
50838#define S_T5_AE0_RXSTAT_LSNA 14
50839#define V_T5_AE0_RXSTAT_LSNA(x) ((x) << S_T5_AE0_RXSTAT_LSNA)
50840#define F_T5_AE0_RXSTAT_LSNA V_T5_AE0_RXSTAT_LSNA(1U)
50841
50842#define S_T5_AE0_RXSTAT_FEC 13
50843#define V_T5_AE0_RXSTAT_FEC(x) ((x) << S_T5_AE0_RXSTAT_FEC)
50844#define F_T5_AE0_RXSTAT_FEC V_T5_AE0_RXSTAT_FEC(1U)
50845
50846#define S_T5_AE0_RXSTAT_TF 12
50847#define V_T5_AE0_RXSTAT_TF(x) ((x) << S_T5_AE0_RXSTAT_TF)
50848#define F_T5_AE0_RXSTAT_TF V_T5_AE0_RXSTAT_TF(1U)
50849
50850#define S_T5_AE0_RXSTAT_C3 6
50851#define M_T5_AE0_RXSTAT_C3 0x3U
50852#define V_T5_AE0_RXSTAT_C3(x) ((x) << S_T5_AE0_RXSTAT_C3)
50853#define G_T5_AE0_RXSTAT_C3(x) (((x) >> S_T5_AE0_RXSTAT_C3) & M_T5_AE0_RXSTAT_C3)
50854
50855#define A_MAC_PORT_AE_TX_COEF_REQ 0x2a08
50856
50857#define S_T5_TXREQ_C2 4
50858#define M_T5_TXREQ_C2 0x3U
50859#define V_T5_TXREQ_C2(x) ((x) << S_T5_TXREQ_C2)
50860#define G_T5_TXREQ_C2(x) (((x) >> S_T5_TXREQ_C2) & M_T5_TXREQ_C2)
50861
50862#define S_T5_TXREQ_C1 2
50863#define M_T5_TXREQ_C1 0x3U
50864#define V_T5_TXREQ_C1(x) ((x) << S_T5_TXREQ_C1)
50865#define G_T5_TXREQ_C1(x) (((x) >> S_T5_TXREQ_C1) & M_T5_TXREQ_C1)
50866
50867#define S_T5_TXREQ_C0 0
50868#define M_T5_TXREQ_C0 0x3U
50869#define V_T5_TXREQ_C0(x) ((x) << S_T5_TXREQ_C0)
50870#define G_T5_TXREQ_C0(x) (((x) >> S_T5_TXREQ_C0) & M_T5_TXREQ_C0)
50871
50872#define S_TXREQ_FEC 11
50873#define V_TXREQ_FEC(x) ((x) << S_TXREQ_FEC)
50874#define F_TXREQ_FEC V_TXREQ_FEC(1U)
50875
50876#define S_T5_TXREQ_C3 6
50877#define M_T5_TXREQ_C3 0x3U
50878#define V_T5_TXREQ_C3(x) ((x) << S_T5_TXREQ_C3)
50879#define G_T5_TXREQ_C3(x) (((x) >> S_T5_TXREQ_C3) & M_T5_TXREQ_C3)
50880
50881#define A_MAC_PORT_AE_TX_COEF_STAT 0x2a0c
50882
50883#define S_T5_TXSTAT_C2 4
50884#define M_T5_TXSTAT_C2 0x3U
50885#define V_T5_TXSTAT_C2(x) ((x) << S_T5_TXSTAT_C2)
50886#define G_T5_TXSTAT_C2(x) (((x) >> S_T5_TXSTAT_C2) & M_T5_TXSTAT_C2)
50887
50888#define S_T5_TXSTAT_C1 2
50889#define M_T5_TXSTAT_C1 0x3U
50890#define V_T5_TXSTAT_C1(x) ((x) << S_T5_TXSTAT_C1)
50891#define G_T5_TXSTAT_C1(x) (((x) >> S_T5_TXSTAT_C1) & M_T5_TXSTAT_C1)
50892
50893#define S_T5_TXSTAT_C0 0
50894#define M_T5_TXSTAT_C0 0x3U
50895#define V_T5_TXSTAT_C0(x) ((x) << S_T5_TXSTAT_C0)
50896#define G_T5_TXSTAT_C0(x) (((x) >> S_T5_TXSTAT_C0) & M_T5_TXSTAT_C0)
50897
50898#define S_T5_TXSTAT_C3 6
50899#define M_T5_TXSTAT_C3 0x3U
50900#define V_T5_TXSTAT_C3(x) ((x) << S_T5_TXSTAT_C3)
50901#define G_T5_TXSTAT_C3(x) (((x) >> S_T5_TXSTAT_C3) & M_T5_TXSTAT_C3)
50902
50903#define A_MAC_PORT_AE_REG_MODE 0x2a10
50904
50905#define S_AET_RSVD 7
50906#define V_AET_RSVD(x) ((x) << S_AET_RSVD)
50907#define F_AET_RSVD V_AET_RSVD(1U)
50908
50909#define S_AET_ENABLE 6
50910#define V_AET_ENABLE(x) ((x) << S_AET_ENABLE)
50911#define F_AET_ENABLE V_AET_ENABLE(1U)
50912
50913#define S_SET_WAIT_TIMER 13
50914#define M_SET_WAIT_TIMER 0x3U
50915#define V_SET_WAIT_TIMER(x) ((x) << S_SET_WAIT_TIMER)
50916#define G_SET_WAIT_TIMER(x) (((x) >> S_SET_WAIT_TIMER) & M_SET_WAIT_TIMER)
50917
50918#define S_C2_C3_STATE_SEL 12
50919#define V_C2_C3_STATE_SEL(x) ((x) << S_C2_C3_STATE_SEL)
50920#define F_C2_C3_STATE_SEL V_C2_C3_STATE_SEL(1U)
50921
50922#define S_FFE4_EN 11
50923#define V_FFE4_EN(x) ((x) << S_FFE4_EN)
50924#define F_FFE4_EN V_FFE4_EN(1U)
50925
50926#define S_FEC_REQUEST 10
50927#define V_FEC_REQUEST(x) ((x) << S_FEC_REQUEST)
50928#define F_FEC_REQUEST V_FEC_REQUEST(1U)
50929
50930#define S_FEC_SUPPORTED 9
50931#define V_FEC_SUPPORTED(x) ((x) << S_FEC_SUPPORTED)
50932#define F_FEC_SUPPORTED V_FEC_SUPPORTED(1U)
50933
50934#define S_TX_FIXED 8
50935#define V_TX_FIXED(x) ((x) << S_TX_FIXED)
50936#define F_TX_FIXED V_TX_FIXED(1U)
50937
50938#define A_MAC_PORT_AE_PRBS_CTL 0x2a14
50939#define A_MAC_PORT_AE_FSM_CTL 0x2a18
50940
50941#define S_CIN_ENABLE 15
50942#define V_CIN_ENABLE(x) ((x) << S_CIN_ENABLE)
50943#define F_CIN_ENABLE V_CIN_ENABLE(1U)
50944
50945#define A_MAC_PORT_AE_FSM_STATE 0x2a1c
50946#define A_MAC_PORT_AE_RX_COEF_REQ_1 0x2a20
50947#define A_MAC_PORT_AE_RX_COEF_STAT_1 0x2a24
50948
50949#define S_T5_AE1_RXSTAT_RDY 15
50950#define V_T5_AE1_RXSTAT_RDY(x) ((x) << S_T5_AE1_RXSTAT_RDY)
50951#define F_T5_AE1_RXSTAT_RDY V_T5_AE1_RXSTAT_RDY(1U)
50952
50953#define S_T5_AE1_RXSTAT_C2 4
50954#define M_T5_AE1_RXSTAT_C2 0x3U
50955#define V_T5_AE1_RXSTAT_C2(x) ((x) << S_T5_AE1_RXSTAT_C2)
50956#define G_T5_AE1_RXSTAT_C2(x) (((x) >> S_T5_AE1_RXSTAT_C2) & M_T5_AE1_RXSTAT_C2)
50957
50958#define S_T5_AE1_RXSTAT_C1 2
50959#define M_T5_AE1_RXSTAT_C1 0x3U
50960#define V_T5_AE1_RXSTAT_C1(x) ((x) << S_T5_AE1_RXSTAT_C1)
50961#define G_T5_AE1_RXSTAT_C1(x) (((x) >> S_T5_AE1_RXSTAT_C1) & M_T5_AE1_RXSTAT_C1)
50962
50963#define S_T5_AE1_RXSTAT_C0 0
50964#define M_T5_AE1_RXSTAT_C0 0x3U
50965#define V_T5_AE1_RXSTAT_C0(x) ((x) << S_T5_AE1_RXSTAT_C0)
50966#define G_T5_AE1_RXSTAT_C0(x) (((x) >> S_T5_AE1_RXSTAT_C0) & M_T5_AE1_RXSTAT_C0)
50967
50968#define S_T5_AE1_RXSTAT_LSNA 14
50969#define V_T5_AE1_RXSTAT_LSNA(x) ((x) << S_T5_AE1_RXSTAT_LSNA)
50970#define F_T5_AE1_RXSTAT_LSNA V_T5_AE1_RXSTAT_LSNA(1U)
50971
50972#define S_T5_AE1_RXSTAT_FEC 13
50973#define V_T5_AE1_RXSTAT_FEC(x) ((x) << S_T5_AE1_RXSTAT_FEC)
50974#define F_T5_AE1_RXSTAT_FEC V_T5_AE1_RXSTAT_FEC(1U)
50975
50976#define S_T5_AE1_RXSTAT_TF 12
50977#define V_T5_AE1_RXSTAT_TF(x) ((x) << S_T5_AE1_RXSTAT_TF)
50978#define F_T5_AE1_RXSTAT_TF V_T5_AE1_RXSTAT_TF(1U)
50979
50980#define S_T5_AE1_RXSTAT_C3 6
50981#define M_T5_AE1_RXSTAT_C3 0x3U
50982#define V_T5_AE1_RXSTAT_C3(x) ((x) << S_T5_AE1_RXSTAT_C3)
50983#define G_T5_AE1_RXSTAT_C3(x) (((x) >> S_T5_AE1_RXSTAT_C3) & M_T5_AE1_RXSTAT_C3)
50984
50985#define A_MAC_PORT_AE_TX_COEF_REQ_1 0x2a28
50986#define A_MAC_PORT_AE_TX_COEF_STAT_1 0x2a2c
50987#define A_MAC_PORT_AE_REG_MODE_1 0x2a30
50988#define A_MAC_PORT_AE_PRBS_CTL_1 0x2a34
50989#define A_MAC_PORT_AE_FSM_CTL_1 0x2a38
50990#define A_MAC_PORT_AE_FSM_STATE_1 0x2a3c
50991#define A_MAC_PORT_AE_RX_COEF_REQ_2 0x2a40
50992#define A_MAC_PORT_AE_RX_COEF_STAT_2 0x2a44
50993
50994#define S_T5_AE2_RXSTAT_RDY 15
50995#define V_T5_AE2_RXSTAT_RDY(x) ((x) << S_T5_AE2_RXSTAT_RDY)
50996#define F_T5_AE2_RXSTAT_RDY V_T5_AE2_RXSTAT_RDY(1U)
50997
50998#define S_T5_AE2_RXSTAT_C2 4
50999#define M_T5_AE2_RXSTAT_C2 0x3U
51000#define V_T5_AE2_RXSTAT_C2(x) ((x) << S_T5_AE2_RXSTAT_C2)
51001#define G_T5_AE2_RXSTAT_C2(x) (((x) >> S_T5_AE2_RXSTAT_C2) & M_T5_AE2_RXSTAT_C2)
51002
51003#define S_T5_AE2_RXSTAT_C1 2
51004#define M_T5_AE2_RXSTAT_C1 0x3U
51005#define V_T5_AE2_RXSTAT_C1(x) ((x) << S_T5_AE2_RXSTAT_C1)
51006#define G_T5_AE2_RXSTAT_C1(x) (((x) >> S_T5_AE2_RXSTAT_C1) & M_T5_AE2_RXSTAT_C1)
51007
51008#define S_T5_AE2_RXSTAT_C0 0
51009#define M_T5_AE2_RXSTAT_C0 0x3U
51010#define V_T5_AE2_RXSTAT_C0(x) ((x) << S_T5_AE2_RXSTAT_C0)
51011#define G_T5_AE2_RXSTAT_C0(x) (((x) >> S_T5_AE2_RXSTAT_C0) & M_T5_AE2_RXSTAT_C0)
51012
51013#define S_T5_AE2_RXSTAT_LSNA 14
51014#define V_T5_AE2_RXSTAT_LSNA(x) ((x) << S_T5_AE2_RXSTAT_LSNA)
51015#define F_T5_AE2_RXSTAT_LSNA V_T5_AE2_RXSTAT_LSNA(1U)
51016
51017#define S_T5_AE2_RXSTAT_FEC 13
51018#define V_T5_AE2_RXSTAT_FEC(x) ((x) << S_T5_AE2_RXSTAT_FEC)
51019#define F_T5_AE2_RXSTAT_FEC V_T5_AE2_RXSTAT_FEC(1U)
51020
51021#define S_T5_AE2_RXSTAT_TF 12
51022#define V_T5_AE2_RXSTAT_TF(x) ((x) << S_T5_AE2_RXSTAT_TF)
51023#define F_T5_AE2_RXSTAT_TF V_T5_AE2_RXSTAT_TF(1U)
51024
51025#define S_T5_AE2_RXSTAT_C3 6
51026#define M_T5_AE2_RXSTAT_C3 0x3U
51027#define V_T5_AE2_RXSTAT_C3(x) ((x) << S_T5_AE2_RXSTAT_C3)
51028#define G_T5_AE2_RXSTAT_C3(x) (((x) >> S_T5_AE2_RXSTAT_C3) & M_T5_AE2_RXSTAT_C3)
51029
51030#define A_MAC_PORT_AE_TX_COEF_REQ_2 0x2a48
51031#define A_MAC_PORT_AE_TX_COEF_STAT_2 0x2a4c
51032#define A_MAC_PORT_AE_REG_MODE_2 0x2a50
51033#define A_MAC_PORT_AE_PRBS_CTL_2 0x2a54
51034#define A_MAC_PORT_AE_FSM_CTL_2 0x2a58
51035#define A_MAC_PORT_AE_FSM_STATE_2 0x2a5c
51036#define A_MAC_PORT_AE_RX_COEF_REQ_3 0x2a60
51037#define A_MAC_PORT_AE_RX_COEF_STAT_3 0x2a64
51038
51039#define S_T5_AE3_RXSTAT_RDY 15
51040#define V_T5_AE3_RXSTAT_RDY(x) ((x) << S_T5_AE3_RXSTAT_RDY)
51041#define F_T5_AE3_RXSTAT_RDY V_T5_AE3_RXSTAT_RDY(1U)
51042
51043#define S_T5_AE3_RXSTAT_C2 4
51044#define M_T5_AE3_RXSTAT_C2 0x3U
51045#define V_T5_AE3_RXSTAT_C2(x) ((x) << S_T5_AE3_RXSTAT_C2)
51046#define G_T5_AE3_RXSTAT_C2(x) (((x) >> S_T5_AE3_RXSTAT_C2) & M_T5_AE3_RXSTAT_C2)
51047
51048#define S_T5_AE3_RXSTAT_C1 2
51049#define M_T5_AE3_RXSTAT_C1 0x3U
51050#define V_T5_AE3_RXSTAT_C1(x) ((x) << S_T5_AE3_RXSTAT_C1)
51051#define G_T5_AE3_RXSTAT_C1(x) (((x) >> S_T5_AE3_RXSTAT_C1) & M_T5_AE3_RXSTAT_C1)
51052
51053#define S_T5_AE3_RXSTAT_C0 0
51054#define M_T5_AE3_RXSTAT_C0 0x3U
51055#define V_T5_AE3_RXSTAT_C0(x) ((x) << S_T5_AE3_RXSTAT_C0)
51056#define G_T5_AE3_RXSTAT_C0(x) (((x) >> S_T5_AE3_RXSTAT_C0) & M_T5_AE3_RXSTAT_C0)
51057
51058#define S_T5_AE3_RXSTAT_LSNA 14
51059#define V_T5_AE3_RXSTAT_LSNA(x) ((x) << S_T5_AE3_RXSTAT_LSNA)
51060#define F_T5_AE3_RXSTAT_LSNA V_T5_AE3_RXSTAT_LSNA(1U)
51061
51062#define S_T5_AE3_RXSTAT_FEC 13
51063#define V_T5_AE3_RXSTAT_FEC(x) ((x) << S_T5_AE3_RXSTAT_FEC)
51064#define F_T5_AE3_RXSTAT_FEC V_T5_AE3_RXSTAT_FEC(1U)
51065
51066#define S_T5_AE3_RXSTAT_TF 12
51067#define V_T5_AE3_RXSTAT_TF(x) ((x) << S_T5_AE3_RXSTAT_TF)
51068#define F_T5_AE3_RXSTAT_TF V_T5_AE3_RXSTAT_TF(1U)
51069
51070#define S_T5_AE3_RXSTAT_C3 6
51071#define M_T5_AE3_RXSTAT_C3 0x3U
51072#define V_T5_AE3_RXSTAT_C3(x) ((x) << S_T5_AE3_RXSTAT_C3)
51073#define G_T5_AE3_RXSTAT_C3(x) (((x) >> S_T5_AE3_RXSTAT_C3) & M_T5_AE3_RXSTAT_C3)
51074
51075#define A_MAC_PORT_AE_TX_COEF_REQ_3 0x2a68
51076#define A_MAC_PORT_AE_TX_COEF_STAT_3 0x2a6c
51077#define A_MAC_PORT_AE_REG_MODE_3 0x2a70
51078#define A_MAC_PORT_AE_PRBS_CTL_3 0x2a74
51079#define A_MAC_PORT_AE_FSM_CTL_3 0x2a78
51080#define A_MAC_PORT_AE_FSM_STATE_3 0x2a7c
51081#define A_MAC_PORT_AE_TX_DIS 0x2a80
51082#define A_MAC_PORT_AE_KR_CTRL 0x2a84
51083#define A_MAC_PORT_AE_RX_SIGDET 0x2a88
51084#define A_MAC_PORT_AE_KR_STATUS 0x2a8c
51085#define A_MAC_PORT_AE_TX_DIS_1 0x2a90
51086#define A_MAC_PORT_AE_KR_CTRL_1 0x2a94
51087#define A_MAC_PORT_AE_RX_SIGDET_1 0x2a98
51088#define A_MAC_PORT_AE_KR_STATUS_1 0x2a9c
51089#define A_MAC_PORT_AE_TX_DIS_2 0x2aa0
51090#define A_MAC_PORT_AE_KR_CTRL_2 0x2aa4
51091#define A_MAC_PORT_AE_RX_SIGDET_2 0x2aa8
51092#define A_MAC_PORT_AE_KR_STATUS_2 0x2aac
51093#define A_MAC_PORT_AE_TX_DIS_3 0x2ab0
51094#define A_MAC_PORT_AE_KR_CTRL_3 0x2ab4
51095#define A_MAC_PORT_AE_RX_SIGDET_3 0x2ab8
51096#define A_MAC_PORT_AE_KR_STATUS_3 0x2abc
51097#define A_MAC_PORT_AET_STAGE_CONFIGURATION_0 0x2b00
51098
51099#define S_EN_HOLD_FAIL 14
51100#define V_EN_HOLD_FAIL(x) ((x) << S_EN_HOLD_FAIL)
51101#define F_EN_HOLD_FAIL V_EN_HOLD_FAIL(1U)
51102
51103#define S_INIT_METH 12
51104#define M_INIT_METH 0x3U
51105#define V_INIT_METH(x) ((x) << S_INIT_METH)
51106#define G_INIT_METH(x) (((x) >> S_INIT_METH) & M_INIT_METH)
51107
51108#define S_CE_DECS 8
51109#define M_CE_DECS 0xfU
51110#define V_CE_DECS(x) ((x) << S_CE_DECS)
51111#define G_CE_DECS(x) (((x) >> S_CE_DECS) & M_CE_DECS)
51112
51113#define S_EN_ZFE 7
51114#define V_EN_ZFE(x) ((x) << S_EN_ZFE)
51115#define F_EN_ZFE V_EN_ZFE(1U)
51116
51117#define S_EN_GAIN_TOG 6
51118#define V_EN_GAIN_TOG(x) ((x) << S_EN_GAIN_TOG)
51119#define F_EN_GAIN_TOG V_EN_GAIN_TOG(1U)
51120
51121#define S_EN_AI_C1 5
51122#define V_EN_AI_C1(x) ((x) << S_EN_AI_C1)
51123#define F_EN_AI_C1 V_EN_AI_C1(1U)
51124
51125#define S_EN_MAX_ST 4
51126#define V_EN_MAX_ST(x) ((x) << S_EN_MAX_ST)
51127#define F_EN_MAX_ST V_EN_MAX_ST(1U)
51128
51129#define S_EN_H1T_EQ 3
51130#define V_EN_H1T_EQ(x) ((x) << S_EN_H1T_EQ)
51131#define F_EN_H1T_EQ V_EN_H1T_EQ(1U)
51132
51133#define S_H1TEQ_GOAL 0
51134#define M_H1TEQ_GOAL 0x7U
51135#define V_H1TEQ_GOAL(x) ((x) << S_H1TEQ_GOAL)
51136#define G_H1TEQ_GOAL(x) (((x) >> S_H1TEQ_GOAL) & M_H1TEQ_GOAL)
51137
51138#define S_T6_INIT_METH 12
51139#define M_T6_INIT_METH 0xfU
51140#define V_T6_INIT_METH(x) ((x) << S_T6_INIT_METH)
51141#define G_T6_INIT_METH(x) (((x) >> S_T6_INIT_METH) & M_T6_INIT_METH)
51142
51143#define S_INIT_CNT 8
51144#define M_INIT_CNT 0xfU
51145#define V_INIT_CNT(x) ((x) << S_INIT_CNT)
51146#define G_INIT_CNT(x) (((x) >> S_INIT_CNT) & M_INIT_CNT)
51147
51148#define S_EN_AI_N0 5
51149#define V_EN_AI_N0(x) ((x) << S_EN_AI_N0)
51150#define F_EN_AI_N0 V_EN_AI_N0(1U)
51151
51152#define A_MAC_PORT_AET_SIGNAL_LOSS_DETECTION_0 0x2b04
51153
51154#define S_GAIN_TH 6
51155#define M_GAIN_TH 0x1fU
51156#define V_GAIN_TH(x) ((x) << S_GAIN_TH)
51157#define G_GAIN_TH(x) (((x) >> S_GAIN_TH) & M_GAIN_TH)
51158
51159#define S_EN_SD_TH 5
51160#define V_EN_SD_TH(x) ((x) << S_EN_SD_TH)
51161#define F_EN_SD_TH V_EN_SD_TH(1U)
51162
51163#define S_EN_AMIN_TH 4
51164#define V_EN_AMIN_TH(x) ((x) << S_EN_AMIN_TH)
51165#define F_EN_AMIN_TH V_EN_AMIN_TH(1U)
51166
51167#define S_AMIN_TH 0
51168#define M_AMIN_TH 0xfU
51169#define V_AMIN_TH(x) ((x) << S_AMIN_TH)
51170#define G_AMIN_TH(x) (((x) >> S_AMIN_TH) & M_AMIN_TH)
51171
51172#define S_FEC_CNV 15
51173#define V_FEC_CNV(x) ((x) << S_FEC_CNV)
51174#define F_FEC_CNV V_FEC_CNV(1U)
51175
51176#define S_EN_RETRY 14
51177#define V_EN_RETRY(x) ((x) << S_EN_RETRY)
51178#define F_EN_RETRY V_EN_RETRY(1U)
51179
51180#define S_DPC_METH 12
51181#define M_DPC_METH 0x3U
51182#define V_DPC_METH(x) ((x) << S_DPC_METH)
51183#define G_DPC_METH(x) (((x) >> S_DPC_METH) & M_DPC_METH)
51184
51185#define S_EN_P2 11
51186#define V_EN_P2(x) ((x) << S_EN_P2)
51187#define F_EN_P2 V_EN_P2(1U)
51188
51189#define A_MAC_PORT_AET_ZFE_LIMITS_0 0x2b08
51190
51191#define S_ACC_LIM 8
51192#define M_ACC_LIM 0xfU
51193#define V_ACC_LIM(x) ((x) << S_ACC_LIM)
51194#define G_ACC_LIM(x) (((x) >> S_ACC_LIM) & M_ACC_LIM)
51195
51196#define S_CNV_LIM 4
51197#define M_CNV_LIM 0xfU
51198#define V_CNV_LIM(x) ((x) << S_CNV_LIM)
51199#define G_CNV_LIM(x) (((x) >> S_CNV_LIM) & M_CNV_LIM)
51200
51201#define S_TOG_LIM 0
51202#define M_TOG_LIM 0xfU
51203#define V_TOG_LIM(x) ((x) << S_TOG_LIM)
51204#define G_TOG_LIM(x) (((x) >> S_TOG_LIM) & M_TOG_LIM)
51205
51206#define A_MAC_PORT_AET_BOOTSTRAP_LOOKUP_TABLE_0 0x2b0c
51207
51208#define S_BOOT_LUT7 12
51209#define M_BOOT_LUT7 0xfU
51210#define V_BOOT_LUT7(x) ((x) << S_BOOT_LUT7)
51211#define G_BOOT_LUT7(x) (((x) >> S_BOOT_LUT7) & M_BOOT_LUT7)
51212
51213#define S_BOOT_LUT6 8
51214#define M_BOOT_LUT6 0xfU
51215#define V_BOOT_LUT6(x) ((x) << S_BOOT_LUT6)
51216#define G_BOOT_LUT6(x) (((x) >> S_BOOT_LUT6) & M_BOOT_LUT6)
51217
51218#define S_BOOT_LUT45 4
51219#define M_BOOT_LUT45 0xfU
51220#define V_BOOT_LUT45(x) ((x) << S_BOOT_LUT45)
51221#define G_BOOT_LUT45(x) (((x) >> S_BOOT_LUT45) & M_BOOT_LUT45)
51222
51223#define S_BOOT_LUT0123 2
51224#define M_BOOT_LUT0123 0x3U
51225#define V_BOOT_LUT0123(x) ((x) << S_BOOT_LUT0123)
51226#define G_BOOT_LUT0123(x) (((x) >> S_BOOT_LUT0123) & M_BOOT_LUT0123)
51227
51228#define S_BOOT_DEC_C0 1
51229#define V_BOOT_DEC_C0(x) ((x) << S_BOOT_DEC_C0)
51230#define F_BOOT_DEC_C0 V_BOOT_DEC_C0(1U)
51231
51232#define S_BOOT_LUT5 8
51233#define M_BOOT_LUT5 0xfU
51234#define V_BOOT_LUT5(x) ((x) << S_BOOT_LUT5)
51235#define G_BOOT_LUT5(x) (((x) >> S_BOOT_LUT5) & M_BOOT_LUT5)
51236
51237#define A_MAC_PORT_AET_STATUS_0 0x2b10
51238
51239#define S_AET_STAT 9
51240#define M_AET_STAT 0xfU
51241#define V_AET_STAT(x) ((x) << S_AET_STAT)
51242#define G_AET_STAT(x) (((x) >> S_AET_STAT) & M_AET_STAT)
51243
51244#define S_NEU_STATE 5
51245#define M_NEU_STATE 0xfU
51246#define V_NEU_STATE(x) ((x) << S_NEU_STATE)
51247#define G_NEU_STATE(x) (((x) >> S_NEU_STATE) & M_NEU_STATE)
51248
51249#define S_CTRL_STATE 0
51250#define M_CTRL_STATE 0x1fU
51251#define V_CTRL_STATE(x) ((x) << S_CTRL_STATE)
51252#define G_CTRL_STATE(x) (((x) >> S_CTRL_STATE) & M_CTRL_STATE)
51253
51254#define S_CTRL_STAT 8
51255#define M_CTRL_STAT 0x1fU
51256#define V_CTRL_STAT(x) ((x) << S_CTRL_STAT)
51257#define G_CTRL_STAT(x) (((x) >> S_CTRL_STAT) & M_CTRL_STAT)
51258
51259#define S_T6_NEU_STATE 4
51260#define M_T6_NEU_STATE 0xfU
51261#define V_T6_NEU_STATE(x) ((x) << S_T6_NEU_STATE)
51262#define G_T6_NEU_STATE(x) (((x) >> S_T6_NEU_STATE) & M_T6_NEU_STATE)
51263
51264#define S_T6_CTRL_STATE 0
51265#define M_T6_CTRL_STATE 0xfU
51266#define V_T6_CTRL_STATE(x) ((x) << S_T6_CTRL_STATE)
51267#define G_T6_CTRL_STATE(x) (((x) >> S_T6_CTRL_STATE) & M_T6_CTRL_STATE)
51268
51269#define A_MAC_PORT_AET_STATUS_20 0x2b14
51270
51271#define S_FRAME_LOCK_CNT 0
51272#define M_FRAME_LOCK_CNT 0x7U
51273#define V_FRAME_LOCK_CNT(x) ((x) << S_FRAME_LOCK_CNT)
51274#define G_FRAME_LOCK_CNT(x) (((x) >> S_FRAME_LOCK_CNT) & M_FRAME_LOCK_CNT)
51275
51276#define A_MAC_PORT_AET_LIMITS0 0x2b18
51277
51278#define S_DPC_TIME_LIM 0
51279#define M_DPC_TIME_LIM 0x3U
51280#define V_DPC_TIME_LIM(x) ((x) << S_DPC_TIME_LIM)
51281#define G_DPC_TIME_LIM(x) (((x) >> S_DPC_TIME_LIM) & M_DPC_TIME_LIM)
51282
51283#define A_MAC_PORT_AET_STAGE_CONFIGURATION_1 0x2b20
51284
51285#define S_T6_INIT_METH 12
51286#define M_T6_INIT_METH 0xfU
51287#define V_T6_INIT_METH(x) ((x) << S_T6_INIT_METH)
51288#define G_T6_INIT_METH(x) (((x) >> S_T6_INIT_METH) & M_T6_INIT_METH)
51289
51290#define A_MAC_PORT_AET_SIGNAL_LOSS_DETECTION_1 0x2b24
51291#define A_MAC_PORT_AET_ZFE_LIMITS_1 0x2b28
51292#define A_MAC_PORT_AET_BOOTSTRAP_LOOKUP_TABLE_1 0x2b2c
51293#define A_MAC_PORT_AET_STATUS_1 0x2b30
51294
51295#define S_T6_NEU_STATE 4
51296#define M_T6_NEU_STATE 0xfU
51297#define V_T6_NEU_STATE(x) ((x) << S_T6_NEU_STATE)
51298#define G_T6_NEU_STATE(x) (((x) >> S_T6_NEU_STATE) & M_T6_NEU_STATE)
51299
51300#define S_T6_CTRL_STATE 0
51301#define M_T6_CTRL_STATE 0xfU
51302#define V_T6_CTRL_STATE(x) ((x) << S_T6_CTRL_STATE)
51303#define G_T6_CTRL_STATE(x) (((x) >> S_T6_CTRL_STATE) & M_T6_CTRL_STATE)
51304
51305#define A_MAC_PORT_AET_STATUS_21 0x2b34
51306#define A_MAC_PORT_AET_LIMITS1 0x2b38
51307#define A_MAC_PORT_AET_STAGE_CONFIGURATION_2 0x2b40
51308
51309#define S_T6_INIT_METH 12
51310#define M_T6_INIT_METH 0xfU
51311#define V_T6_INIT_METH(x) ((x) << S_T6_INIT_METH)
51312#define G_T6_INIT_METH(x) (((x) >> S_T6_INIT_METH) & M_T6_INIT_METH)
51313
51314#define A_MAC_PORT_AET_SIGNAL_LOSS_DETECTION_2 0x2b44
51315#define A_MAC_PORT_AET_ZFE_LIMITS_2 0x2b48
51316#define A_MAC_PORT_AET_BOOTSTRAP_LOOKUP_TABLE_2 0x2b4c
51317#define A_MAC_PORT_AET_STATUS_2 0x2b50
51318
51319#define S_T6_NEU_STATE 4
51320#define M_T6_NEU_STATE 0xfU
51321#define V_T6_NEU_STATE(x) ((x) << S_T6_NEU_STATE)
51322#define G_T6_NEU_STATE(x) (((x) >> S_T6_NEU_STATE) & M_T6_NEU_STATE)
51323
51324#define S_T6_CTRL_STATE 0
51325#define M_T6_CTRL_STATE 0xfU
51326#define V_T6_CTRL_STATE(x) ((x) << S_T6_CTRL_STATE)
51327#define G_T6_CTRL_STATE(x) (((x) >> S_T6_CTRL_STATE) & M_T6_CTRL_STATE)
51328
51329#define A_MAC_PORT_AET_STATUS_22 0x2b54
51330#define A_MAC_PORT_AET_LIMITS2 0x2b58
51331#define A_MAC_PORT_AET_STAGE_CONFIGURATION_3 0x2b60
51332
51333#define S_T6_INIT_METH 12
51334#define M_T6_INIT_METH 0xfU
51335#define V_T6_INIT_METH(x) ((x) << S_T6_INIT_METH)
51336#define G_T6_INIT_METH(x) (((x) >> S_T6_INIT_METH) & M_T6_INIT_METH)
51337
51338#define A_MAC_PORT_AET_SIGNAL_LOSS_DETECTION_3 0x2b64
51339#define A_MAC_PORT_AET_ZFE_LIMITS_3 0x2b68
51340#define A_MAC_PORT_AET_BOOTSTRAP_LOOKUP_TABLE_3 0x2b6c
51341#define A_MAC_PORT_AET_STATUS_3 0x2b70
51342
51343#define S_T6_NEU_STATE 4
51344#define M_T6_NEU_STATE 0xfU
51345#define V_T6_NEU_STATE(x) ((x) << S_T6_NEU_STATE)
51346#define G_T6_NEU_STATE(x) (((x) >> S_T6_NEU_STATE) & M_T6_NEU_STATE)
51347
51348#define S_T6_CTRL_STATE 0
51349#define M_T6_CTRL_STATE 0xfU
51350#define V_T6_CTRL_STATE(x) ((x) << S_T6_CTRL_STATE)
51351#define G_T6_CTRL_STATE(x) (((x) >> S_T6_CTRL_STATE) & M_T6_CTRL_STATE)
51352
51353#define A_MAC_PORT_AET_STATUS_23 0x2b74
51354#define A_MAC_PORT_AET_LIMITS3 0x2b78
51355#define A_T6_MAC_PORT_BEAN_CTL 0x2c00
51356#define A_T6_MAC_PORT_BEAN_STATUS 0x2c04
51357#define A_T6_MAC_PORT_BEAN_ABILITY_0 0x2c08
51358
51359#define S_BEAN_REM_FAULT 13
51360#define V_BEAN_REM_FAULT(x) ((x) << S_BEAN_REM_FAULT)
51361#define F_BEAN_REM_FAULT V_BEAN_REM_FAULT(1U)
51362
51363#define A_T6_MAC_PORT_BEAN_ABILITY_1 0x2c0c
51364#define A_T6_MAC_PORT_BEAN_ABILITY_2 0x2c10
51365#define A_T6_MAC_PORT_BEAN_REM_ABILITY_0 0x2c14
51366
51367#define S_BEAN_ABL_REM_FAULT 13
51368#define V_BEAN_ABL_REM_FAULT(x) ((x) << S_BEAN_ABL_REM_FAULT)
51369#define F_BEAN_ABL_REM_FAULT V_BEAN_ABL_REM_FAULT(1U)
51370
51371#define A_T6_MAC_PORT_BEAN_REM_ABILITY_1 0x2c18
51372#define A_T6_MAC_PORT_BEAN_REM_ABILITY_2 0x2c1c
51373#define A_T6_MAC_PORT_BEAN_MS_COUNT 0x2c20
51374#define A_T6_MAC_PORT_BEAN_XNP_0 0x2c24
51375#define A_T6_MAC_PORT_BEAN_XNP_1 0x2c28
51376#define A_T6_MAC_PORT_BEAN_XNP_2 0x2c2c
51377#define A_T6_MAC_PORT_LP_BEAN_XNP_0 0x2c30
51378#define A_T6_MAC_PORT_LP_BEAN_XNP_1 0x2c34
51379#define A_T6_MAC_PORT_LP_BEAN_XNP_2 0x2c38
51380#define A_T6_MAC_PORT_BEAN_ETH_STATUS 0x2c3c
51381
51382#define S_100GCR4 11
51383#define V_100GCR4(x) ((x) << S_100GCR4)
51384#define F_100GCR4 V_100GCR4(1U)
51385
51386#define S_100GKR4 10
51387#define V_100GKR4(x) ((x) << S_100GKR4)
51388#define F_100GKR4 V_100GKR4(1U)
51389
51390#define S_100GKP4 9
51391#define V_100GKP4(x) ((x) << S_100GKP4)
51392#define F_100GKP4 V_100GKP4(1U)
51393
51394#define A_MAC_PORT_TX_LINKA_TRANSMIT_CONFIGURATION_MODE 0x3000
51395
51396#define S_T5_TX_LINKEN 15
51397#define V_T5_TX_LINKEN(x) ((x) << S_T5_TX_LINKEN)
51398#define F_T5_TX_LINKEN V_T5_TX_LINKEN(1U)
51399
51400#define S_T5_TX_LINKRST 14
51401#define V_T5_TX_LINKRST(x) ((x) << S_T5_TX_LINKRST)
51402#define F_T5_TX_LINKRST V_T5_TX_LINKRST(1U)
51403
51404#define S_T5_TX_CFGWRT 13
51405#define V_T5_TX_CFGWRT(x) ((x) << S_T5_TX_CFGWRT)
51406#define F_T5_TX_CFGWRT V_T5_TX_CFGWRT(1U)
51407
51408#define S_T5_TX_CFGPTR 11
51409#define M_T5_TX_CFGPTR 0x3U
51410#define V_T5_TX_CFGPTR(x) ((x) << S_T5_TX_CFGPTR)
51411#define G_T5_TX_CFGPTR(x) (((x) >> S_T5_TX_CFGPTR) & M_T5_TX_CFGPTR)
51412
51413#define S_T5_TX_CFGEXT 10
51414#define V_T5_TX_CFGEXT(x) ((x) << S_T5_TX_CFGEXT)
51415#define F_T5_TX_CFGEXT V_T5_TX_CFGEXT(1U)
51416
51417#define S_T5_TX_CFGACT 9
51418#define V_T5_TX_CFGACT(x) ((x) << S_T5_TX_CFGACT)
51419#define F_T5_TX_CFGACT V_T5_TX_CFGACT(1U)
51420
51421#define S_T5_TX_RSYNCC 8
51422#define V_T5_TX_RSYNCC(x) ((x) << S_T5_TX_RSYNCC)
51423#define F_T5_TX_RSYNCC V_T5_TX_RSYNCC(1U)
51424
51425#define S_T5_TX_PLLSEL 6
51426#define M_T5_TX_PLLSEL 0x3U
51427#define V_T5_TX_PLLSEL(x) ((x) << S_T5_TX_PLLSEL)
51428#define G_T5_TX_PLLSEL(x) (((x) >> S_T5_TX_PLLSEL) & M_T5_TX_PLLSEL)
51429
51430#define S_T5_TX_EXTC16 5
51431#define V_T5_TX_EXTC16(x) ((x) << S_T5_TX_EXTC16)
51432#define F_T5_TX_EXTC16 V_T5_TX_EXTC16(1U)
51433
51434#define S_T5_TX_DCKSEL 4
51435#define V_T5_TX_DCKSEL(x) ((x) << S_T5_TX_DCKSEL)
51436#define F_T5_TX_DCKSEL V_T5_TX_DCKSEL(1U)
51437
51438#define S_T5_TX_RXLOOP 3
51439#define V_T5_TX_RXLOOP(x) ((x) << S_T5_TX_RXLOOP)
51440#define F_T5_TX_RXLOOP V_T5_TX_RXLOOP(1U)
51441
51442#define S_T5_TX_BWSEL 2
51443#define V_T5_TX_BWSEL(x) ((x) << S_T5_TX_BWSEL)
51444#define F_T5_TX_BWSEL V_T5_TX_BWSEL(1U)
51445
51446#define S_T5_TX_RTSEL 0
51447#define M_T5_TX_RTSEL 0x3U
51448#define V_T5_TX_RTSEL(x) ((x) << S_T5_TX_RTSEL)
51449#define G_T5_TX_RTSEL(x) (((x) >> S_T5_TX_RTSEL) & M_T5_TX_RTSEL)
51450
51451#define S_T6_T5_TX_RXLOOP 5
51452#define V_T6_T5_TX_RXLOOP(x) ((x) << S_T6_T5_TX_RXLOOP)
51453#define F_T6_T5_TX_RXLOOP V_T6_T5_TX_RXLOOP(1U)
51454
51455#define S_T5_TX_ENFFE4 4
51456#define V_T5_TX_ENFFE4(x) ((x) << S_T5_TX_ENFFE4)
51457#define F_T5_TX_ENFFE4 V_T5_TX_ENFFE4(1U)
51458
51459#define S_T6_T5_TX_BWSEL 2
51460#define M_T6_T5_TX_BWSEL 0x3U
51461#define V_T6_T5_TX_BWSEL(x) ((x) << S_T6_T5_TX_BWSEL)
51462#define G_T6_T5_TX_BWSEL(x) (((x) >> S_T6_T5_TX_BWSEL) & M_T6_T5_TX_BWSEL)
51463
51464#define A_MAC_PORT_TX_LINKA_TRANSMIT_TEST_CONTROL 0x3004
51465
51466#define S_SPSEL 11
51467#define M_SPSEL 0x7U
51468#define V_SPSEL(x) ((x) << S_SPSEL)
51469#define G_SPSEL(x) (((x) >> S_SPSEL) & M_SPSEL)
51470
51471#define S_AFDWEN 7
51472#define V_AFDWEN(x) ((x) << S_AFDWEN)
51473#define F_AFDWEN V_AFDWEN(1U)
51474
51475#define S_TPGMD 3
51476#define V_TPGMD(x) ((x) << S_TPGMD)
51477#define F_TPGMD V_TPGMD(1U)
51478
51479#define S_TC_FRCERR 10
51480#define V_TC_FRCERR(x) ((x) << S_TC_FRCERR)
51481#define F_TC_FRCERR V_TC_FRCERR(1U)
51482
51483#define S_T6_ERROR 9
51484#define V_T6_ERROR(x) ((x) << S_T6_ERROR)
51485#define F_T6_ERROR V_T6_ERROR(1U)
51486
51487#define S_SYNC 8
51488#define V_SYNC(x) ((x) << S_SYNC)
51489#define F_SYNC V_SYNC(1U)
51490
51491#define S_P7CHK 5
51492#define V_P7CHK(x) ((x) << S_P7CHK)
51493#define F_P7CHK V_P7CHK(1U)
51494
51495#define A_MAC_PORT_TX_LINKA_TRANSMIT_COEFFICIENT_CONTROL 0x3008
51496
51497#define S_ZCALOVRD 8
51498#define V_ZCALOVRD(x) ((x) << S_ZCALOVRD)
51499#define F_ZCALOVRD V_ZCALOVRD(1U)
51500
51501#define S_AMMODE 7
51502#define V_AMMODE(x) ((x) << S_AMMODE)
51503#define F_AMMODE V_AMMODE(1U)
51504
51505#define S_AEPOL 6
51506#define V_AEPOL(x) ((x) << S_AEPOL)
51507#define F_AEPOL V_AEPOL(1U)
51508
51509#define S_AESRC 5
51510#define V_AESRC(x) ((x) << S_AESRC)
51511#define F_AESRC V_AESRC(1U)
51512
51513#define S_SASMODE 7
51514#define V_SASMODE(x) ((x) << S_SASMODE)
51515#define F_SASMODE V_SASMODE(1U)
51516
51517#define A_MAC_PORT_TX_LINKA_TRANSMIT_DRIVER_MODE_CONTROL 0x300c
51518
51519#define S_T5DRVHIZ 5
51520#define V_T5DRVHIZ(x) ((x) << S_T5DRVHIZ)
51521#define F_T5DRVHIZ V_T5DRVHIZ(1U)
51522
51523#define S_T5SASIMP 4
51524#define V_T5SASIMP(x) ((x) << S_T5SASIMP)
51525#define F_T5SASIMP V_T5SASIMP(1U)
51526
51527#define S_T5SLEW 2
51528#define M_T5SLEW 0x3U
51529#define V_T5SLEW(x) ((x) << S_T5SLEW)
51530#define G_T5SLEW(x) (((x) >> S_T5SLEW) & M_T5SLEW)
51531
51532#define A_MAC_PORT_TX_LINKA_TRANSMIT_DRIVER_OVERRIDE_CONTROL 0x3010
51533
51534#define S_T5C2BUFDCEN 5
51535#define V_T5C2BUFDCEN(x) ((x) << S_T5C2BUFDCEN)
51536#define F_T5C2BUFDCEN V_T5C2BUFDCEN(1U)
51537
51538#define S_T5DCCEN 4
51539#define V_T5DCCEN(x) ((x) << S_T5DCCEN)
51540#define F_T5DCCEN V_T5DCCEN(1U)
51541
51542#define S_T5REGBYP 3
51543#define V_T5REGBYP(x) ((x) << S_T5REGBYP)
51544#define F_T5REGBYP V_T5REGBYP(1U)
51545
51546#define S_T5REGAEN 2
51547#define V_T5REGAEN(x) ((x) << S_T5REGAEN)
51548#define F_T5REGAEN V_T5REGAEN(1U)
51549
51550#define S_T5REGAMP 0
51551#define M_T5REGAMP 0x3U
51552#define V_T5REGAMP(x) ((x) << S_T5REGAMP)
51553#define G_T5REGAMP(x) (((x) >> S_T5REGAMP) & M_T5REGAMP)
51554
51555#define A_MAC_PORT_TX_LINKA_TRANSMIT_DCLK_ROTATOR_OVERRIDE 0x3014
51556
51557#define S_RSTEP 15
51558#define V_RSTEP(x) ((x) << S_RSTEP)
51559#define F_RSTEP V_RSTEP(1U)
51560
51561#define S_RLOCK 14
51562#define V_RLOCK(x) ((x) << S_RLOCK)
51563#define F_RLOCK V_RLOCK(1U)
51564
51565#define S_RPOS 8
51566#define M_RPOS 0x3fU
51567#define V_RPOS(x) ((x) << S_RPOS)
51568#define G_RPOS(x) (((x) >> S_RPOS) & M_RPOS)
51569
51570#define S_DCLKSAM 7
51571#define V_DCLKSAM(x) ((x) << S_DCLKSAM)
51572#define F_DCLKSAM V_DCLKSAM(1U)
51573
51574#define A_MAC_PORT_TX_LINKA_TRANSMIT_IMPEDANCE_CALIBRATION_OVERRIDE 0x3018
51575
51576#define S_CALSSTN 3
51577#define M_CALSSTN 0x7U
51578#define V_CALSSTN(x) ((x) << S_CALSSTN)
51579#define G_CALSSTN(x) (((x) >> S_CALSSTN) & M_CALSSTN)
51580
51581#define S_CALSSTP 0
51582#define M_CALSSTP 0x7U
51583#define V_CALSSTP(x) ((x) << S_CALSSTP)
51584#define G_CALSSTP(x) (((x) >> S_CALSSTP) & M_CALSSTP)
51585
51586#define S_T6_CALSSTN 8
51587#define M_T6_CALSSTN 0x3fU
51588#define V_T6_CALSSTN(x) ((x) << S_T6_CALSSTN)
51589#define G_T6_CALSSTN(x) (((x) >> S_T6_CALSSTN) & M_T6_CALSSTN)
51590
51591#define S_T6_CALSSTP 0
51592#define M_T6_CALSSTP 0x3fU
51593#define V_T6_CALSSTP(x) ((x) << S_T6_CALSSTP)
51594#define G_T6_CALSSTP(x) (((x) >> S_T6_CALSSTP) & M_T6_CALSSTP)
51595
51596#define A_MAC_PORT_TX_LINKA_TRANSMIT_DCLK_DRIFT_TOLERANCE 0x301c
51597
51598#define S_DRTOL 0
51599#define M_DRTOL 0x1fU
51600#define V_DRTOL(x) ((x) << S_DRTOL)
51601#define G_DRTOL(x) (((x) >> S_DRTOL) & M_DRTOL)
51602
51603#define S_T6_DRTOL 2
51604#define M_T6_DRTOL 0x7U
51605#define V_T6_DRTOL(x) ((x) << S_T6_DRTOL)
51606#define G_T6_DRTOL(x) (((x) >> S_T6_DRTOL) & M_T6_DRTOL)
51607
51608#define A_MAC_PORT_TX_LINKA_TRANSMIT_TAP_0_COEFFICIENT 0x3020
51609
51610#define S_T5NXTT0 0
51611#define M_T5NXTT0 0x1fU
51612#define V_T5NXTT0(x) ((x) << S_T5NXTT0)
51613#define G_T5NXTT0(x) (((x) >> S_T5NXTT0) & M_T5NXTT0)
51614
51615#define S_T6_NXTT0 0
51616#define M_T6_NXTT0 0x3fU
51617#define V_T6_NXTT0(x) ((x) << S_T6_NXTT0)
51618#define G_T6_NXTT0(x) (((x) >> S_T6_NXTT0) & M_T6_NXTT0)
51619
51620#define A_MAC_PORT_TX_LINKA_TRANSMIT_TAP_1_COEFFICIENT 0x3024
51621
51622#define S_T5NXTT1 0
51623#define M_T5NXTT1 0x3fU
51624#define V_T5NXTT1(x) ((x) << S_T5NXTT1)
51625#define G_T5NXTT1(x) (((x) >> S_T5NXTT1) & M_T5NXTT1)
51626
51627#define A_MAC_PORT_TX_LINKA_TRANSMIT_TAP_2_COEFFICIENT 0x3028
51628
51629#define S_T5NXTT2 0
51630#define M_T5NXTT2 0x3fU
51631#define V_T5NXTT2(x) ((x) << S_T5NXTT2)
51632#define G_T5NXTT2(x) (((x) >> S_T5NXTT2) & M_T5NXTT2)
51633
51634#define S_T6_NXTT2 0
51635#define M_T6_NXTT2 0x3fU
51636#define V_T6_NXTT2(x) ((x) << S_T6_NXTT2)
51637#define G_T6_NXTT2(x) (((x) >> S_T6_NXTT2) & M_T6_NXTT2)
51638
51639#define A_MAC_PORT_TX_LINKA_TRANSMIT_TAP_3_COEFFICIENT 0x302c
51640
51641#define S_NXTT3 0
51642#define M_NXTT3 0x3fU
51643#define V_NXTT3(x) ((x) << S_NXTT3)
51644#define G_NXTT3(x) (((x) >> S_NXTT3) & M_NXTT3)
51645
51646#define A_MAC_PORT_TX_LINKA_TRANSMIT_AMPLITUDE 0x3030
51647
51648#define S_T5TXPWR 0
51649#define M_T5TXPWR 0x3fU
51650#define V_T5TXPWR(x) ((x) << S_T5TXPWR)
51651#define G_T5TXPWR(x) (((x) >> S_T5TXPWR) & M_T5TXPWR)
51652
51653#define A_MAC_PORT_TX_LINKA_TRANSMIT_POLARITY 0x3034
51654
51655#define S_NXTPOL 0
51656#define M_NXTPOL 0x7U
51657#define V_NXTPOL(x) ((x) << S_NXTPOL)
51658#define G_NXTPOL(x) (((x) >> S_NXTPOL) & M_NXTPOL)
51659
51660#define S_T6_NXTPOL 0
51661#define M_T6_NXTPOL 0xfU
51662#define V_T6_NXTPOL(x) ((x) << S_T6_NXTPOL)
51663#define G_T6_NXTPOL(x) (((x) >> S_T6_NXTPOL) & M_T6_NXTPOL)
51664
51665#define A_MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_COMMAND 0x3038
51666
51667#define S_CPREST 13
51668#define V_CPREST(x) ((x) << S_CPREST)
51669#define F_CPREST V_CPREST(1U)
51670
51671#define S_CINIT 12
51672#define V_CINIT(x) ((x) << S_CINIT)
51673#define F_CINIT V_CINIT(1U)
51674
51675#define S_SASCMD 10
51676#define M_SASCMD 0x3U
51677#define V_SASCMD(x) ((x) << S_SASCMD)
51678#define G_SASCMD(x) (((x) >> S_SASCMD) & M_SASCMD)
51679
51680#define S_T6_C0UPDT 6
51681#define M_T6_C0UPDT 0x3U
51682#define V_T6_C0UPDT(x) ((x) << S_T6_C0UPDT)
51683#define G_T6_C0UPDT(x) (((x) >> S_T6_C0UPDT) & M_T6_C0UPDT)
51684
51685#define S_C3UPDT 4
51686#define M_C3UPDT 0x3U
51687#define V_C3UPDT(x) ((x) << S_C3UPDT)
51688#define G_C3UPDT(x) (((x) >> S_C3UPDT) & M_C3UPDT)
51689
51690#define S_T6_C2UPDT 2
51691#define M_T6_C2UPDT 0x3U
51692#define V_T6_C2UPDT(x) ((x) << S_T6_C2UPDT)
51693#define G_T6_C2UPDT(x) (((x) >> S_T6_C2UPDT) & M_T6_C2UPDT)
51694
51695#define S_T6_C1UPDT 0
51696#define M_T6_C1UPDT 0x3U
51697#define V_T6_C1UPDT(x) ((x) << S_T6_C1UPDT)
51698#define G_T6_C1UPDT(x) (((x) >> S_T6_C1UPDT) & M_T6_C1UPDT)
51699
51700#define A_MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_STATUS 0x303c
51701
51702#define S_T6_C0STAT 6
51703#define M_T6_C0STAT 0x3U
51704#define V_T6_C0STAT(x) ((x) << S_T6_C0STAT)
51705#define G_T6_C0STAT(x) (((x) >> S_T6_C0STAT) & M_T6_C0STAT)
51706
51707#define S_C3STAT 4
51708#define M_C3STAT 0x3U
51709#define V_C3STAT(x) ((x) << S_C3STAT)
51710#define G_C3STAT(x) (((x) >> S_C3STAT) & M_C3STAT)
51711
51712#define S_T6_C2STAT 2
51713#define M_T6_C2STAT 0x3U
51714#define V_T6_C2STAT(x) ((x) << S_T6_C2STAT)
51715#define G_T6_C2STAT(x) (((x) >> S_T6_C2STAT) & M_T6_C2STAT)
51716
51717#define S_T6_C1STAT 0
51718#define M_T6_C1STAT 0x3U
51719#define V_T6_C1STAT(x) ((x) << S_T6_C1STAT)
51720#define G_T6_C1STAT(x) (((x) >> S_T6_C1STAT) & M_T6_C1STAT)
51721
51722#define A_MAC_PORT_TX_LINKA_TRANSMIT_TAP_0_COEFFICIENT_OVERRIDE 0x3040
51723#define A_MAC_PORT_TX_LINKA_TRANSMIT_AE_TAP_0_COEFFICIENT_OVERRIDE 0x3040
51724
51725#define S_AETAP0 0
51726#define M_AETAP0 0x7fU
51727#define V_AETAP0(x) ((x) << S_AETAP0)
51728#define G_AETAP0(x) (((x) >> S_AETAP0) & M_AETAP0)
51729
51730#define A_MAC_PORT_TX_LINKA_TRANSMIT_TAP_1_COEFFICIENT_OVERRIDE 0x3044
51731
51732#define S_T5NIDAC1 0
51733#define M_T5NIDAC1 0x3fU
51734#define V_T5NIDAC1(x) ((x) << S_T5NIDAC1)
51735#define G_T5NIDAC1(x) (((x) >> S_T5NIDAC1) & M_T5NIDAC1)
51736
51737#define A_MAC_PORT_TX_LINKA_TRANSMIT_AE_TAP_1_COEFFICIENT_OVERRIDE 0x3044
51738
51739#define S_AETAP1 0
51740#define M_AETAP1 0x7fU
51741#define V_AETAP1(x) ((x) << S_AETAP1)
51742#define G_AETAP1(x) (((x) >> S_AETAP1) & M_AETAP1)
51743
51744#define A_MAC_PORT_TX_LINKA_TRANSMIT_TAP_2_COEFFICIENT_OVERRIDE 0x3048
51745
51746#define S_T5NIDAC2 0
51747#define M_T5NIDAC2 0x3fU
51748#define V_T5NIDAC2(x) ((x) << S_T5NIDAC2)
51749#define G_T5NIDAC2(x) (((x) >> S_T5NIDAC2) & M_T5NIDAC2)
51750
51751#define A_MAC_PORT_TX_LINKA_TRANSMIT_AE_TAP_2_COEFFICIENT_OVERRIDE 0x3048
51752
51753#define S_AETAP2 0
51754#define M_AETAP2 0x7fU
51755#define V_AETAP2(x) ((x) << S_AETAP2)
51756#define G_AETAP2(x) (((x) >> S_AETAP2) & M_AETAP2)
51757
51758#define A_MAC_PORT_TX_LINKA_TRANSMIT_AE_TAP_3_COEFFICIENT_OVERRIDE 0x304c
51759
51760#define S_AETAP3 0
51761#define M_AETAP3 0x7fU
51762#define V_AETAP3(x) ((x) << S_AETAP3)
51763#define G_AETAP3(x) (((x) >> S_AETAP3) & M_AETAP3)
51764
51765#define A_MAC_PORT_TX_LINKA_TRANSMIT_APPLIED_TUNE_REGISTER 0x3050
51766
51767#define S_ATUNEN 8
51768#define M_ATUNEN 0xffU
51769#define V_ATUNEN(x) ((x) << S_ATUNEN)
51770#define G_ATUNEN(x) (((x) >> S_ATUNEN) & M_ATUNEN)
51771
51772#define S_ATUNEP 0
51773#define M_ATUNEP 0xffU
51774#define V_ATUNEP(x) ((x) << S_ATUNEP)
51775#define G_ATUNEP(x) (((x) >> S_ATUNEP) & M_ATUNEP)
51776
51777#define A_MAC_PORT_TX_LINKA_TRANSMIT_ANALOG_DIAGNOSTICS_REGISTER 0x3058
51778
51779#define S_DCCCOMPINV 8
51780#define V_DCCCOMPINV(x) ((x) << S_DCCCOMPINV)
51781#define F_DCCCOMPINV V_DCCCOMPINV(1U)
51782
51783#define A_MAC_PORT_TX_LINKA_TRANSMIT_TAP_0_COEFFICIENT_APPLIED 0x3060
51784#define A_MAC_PORT_TX_LINKA_TRANSMIT_4X_SEGMENT_APPLIED 0x3060
51785
51786#define S_AS4X7 14
51787#define M_AS4X7 0x3U
51788#define V_AS4X7(x) ((x) << S_AS4X7)
51789#define G_AS4X7(x) (((x) >> S_AS4X7) & M_AS4X7)
51790
51791#define S_AS4X6 12
51792#define M_AS4X6 0x3U
51793#define V_AS4X6(x) ((x) << S_AS4X6)
51794#define G_AS4X6(x) (((x) >> S_AS4X6) & M_AS4X6)
51795
51796#define S_AS4X5 10
51797#define M_AS4X5 0x3U
51798#define V_AS4X5(x) ((x) << S_AS4X5)
51799#define G_AS4X5(x) (((x) >> S_AS4X5) & M_AS4X5)
51800
51801#define S_AS4X4 8
51802#define M_AS4X4 0x3U
51803#define V_AS4X4(x) ((x) << S_AS4X4)
51804#define G_AS4X4(x) (((x) >> S_AS4X4) & M_AS4X4)
51805
51806#define S_AS4X3 6
51807#define M_AS4X3 0x3U
51808#define V_AS4X3(x) ((x) << S_AS4X3)
51809#define G_AS4X3(x) (((x) >> S_AS4X3) & M_AS4X3)
51810
51811#define S_AS4X2 4
51812#define M_AS4X2 0x3U
51813#define V_AS4X2(x) ((x) << S_AS4X2)
51814#define G_AS4X2(x) (((x) >> S_AS4X2) & M_AS4X2)
51815
51816#define S_AS4X1 2
51817#define M_AS4X1 0x3U
51818#define V_AS4X1(x) ((x) << S_AS4X1)
51819#define G_AS4X1(x) (((x) >> S_AS4X1) & M_AS4X1)
51820
51821#define S_AS4X0 0
51822#define M_AS4X0 0x3U
51823#define V_AS4X0(x) ((x) << S_AS4X0)
51824#define G_AS4X0(x) (((x) >> S_AS4X0) & M_AS4X0)
51825
51826#define A_MAC_PORT_TX_LINKA_TRANSMIT_TAP_1_COEFFICIENT_APPLIED 0x3064
51827
51828#define S_T5AIDAC1 0
51829#define M_T5AIDAC1 0x3fU
51830#define V_T5AIDAC1(x) ((x) << S_T5AIDAC1)
51831#define G_T5AIDAC1(x) (((x) >> S_T5AIDAC1) & M_T5AIDAC1)
51832
51833#define A_MAC_PORT_TX_LINKA_TRANSMIT_2X_SEGMENT_APPLIED 0x3064
51834
51835#define S_AS2X3 6
51836#define M_AS2X3 0x3U
51837#define V_AS2X3(x) ((x) << S_AS2X3)
51838#define G_AS2X3(x) (((x) >> S_AS2X3) & M_AS2X3)
51839
51840#define S_AS2X2 4
51841#define M_AS2X2 0x3U
51842#define V_AS2X2(x) ((x) << S_AS2X2)
51843#define G_AS2X2(x) (((x) >> S_AS2X2) & M_AS2X2)
51844
51845#define S_AS2X1 2
51846#define M_AS2X1 0x3U
51847#define V_AS2X1(x) ((x) << S_AS2X1)
51848#define G_AS2X1(x) (((x) >> S_AS2X1) & M_AS2X1)
51849
51850#define S_AS2X0 0
51851#define M_AS2X0 0x3U
51852#define V_AS2X0(x) ((x) << S_AS2X0)
51853#define G_AS2X0(x) (((x) >> S_AS2X0) & M_AS2X0)
51854
51855#define A_MAC_PORT_TX_LINKA_TRANSMIT_TAP_2_COEFFICIENT_APPLIED 0x3068
51856#define A_MAC_PORT_TX_LINKA_TRANSMIT_1X_SEGMENT_APPLIED 0x3068
51857
51858#define S_AS1X7 14
51859#define M_AS1X7 0x3U
51860#define V_AS1X7(x) ((x) << S_AS1X7)
51861#define G_AS1X7(x) (((x) >> S_AS1X7) & M_AS1X7)
51862
51863#define S_AS1X6 12
51864#define M_AS1X6 0x3U
51865#define V_AS1X6(x) ((x) << S_AS1X6)
51866#define G_AS1X6(x) (((x) >> S_AS1X6) & M_AS1X6)
51867
51868#define S_AS1X5 10
51869#define M_AS1X5 0x3U
51870#define V_AS1X5(x) ((x) << S_AS1X5)
51871#define G_AS1X5(x) (((x) >> S_AS1X5) & M_AS1X5)
51872
51873#define S_AS1X4 8
51874#define M_AS1X4 0x3U
51875#define V_AS1X4(x) ((x) << S_AS1X4)
51876#define G_AS1X4(x) (((x) >> S_AS1X4) & M_AS1X4)
51877
51878#define S_AS1X3 6
51879#define M_AS1X3 0x3U
51880#define V_AS1X3(x) ((x) << S_AS1X3)
51881#define G_AS1X3(x) (((x) >> S_AS1X3) & M_AS1X3)
51882
51883#define S_AS1X2 4
51884#define M_AS1X2 0x3U
51885#define V_AS1X2(x) ((x) << S_AS1X2)
51886#define G_AS1X2(x) (((x) >> S_AS1X2) & M_AS1X2)
51887
51888#define S_AS1X1 2
51889#define M_AS1X1 0x3U
51890#define V_AS1X1(x) ((x) << S_AS1X1)
51891#define G_AS1X1(x) (((x) >> S_AS1X1) & M_AS1X1)
51892
51893#define S_AS1X0 0
51894#define M_AS1X0 0x3U
51895#define V_AS1X0(x) ((x) << S_AS1X0)
51896#define G_AS1X0(x) (((x) >> S_AS1X0) & M_AS1X0)
51897
51898#define A_MAC_PORT_TX_LINKA_TRANSMIT_SEGMENT_4X_TERMINATION_APPLIED 0x306c
51899
51900#define S_AT4X 0
51901#define M_AT4X 0xffU
51902#define V_AT4X(x) ((x) << S_AT4X)
51903#define G_AT4X(x) (((x) >> S_AT4X) & M_AT4X)
51904
51905#define A_MAC_PORT_TX_LINKA_TRANSMIT_SEGMENT_DISABLE_APPLIED_1 0x3070
51906
51907#define S_MAINSC 6
51908#define M_MAINSC 0x3fU
51909#define V_MAINSC(x) ((x) << S_MAINSC)
51910#define G_MAINSC(x) (((x) >> S_MAINSC) & M_MAINSC)
51911
51912#define S_POSTSC 0
51913#define M_POSTSC 0x3fU
51914#define V_POSTSC(x) ((x) << S_POSTSC)
51915#define G_POSTSC(x) (((x) >> S_POSTSC) & M_POSTSC)
51916
51917#define A_MAC_PORT_TX_LINKA_TRANSMIT_SEGMENT_2X1X_TERMINATION_APPLIED 0x3070
51918
51919#define S_AT2X 8
51920#define M_AT2X 0xfU
51921#define V_AT2X(x) ((x) << S_AT2X)
51922#define G_AT2X(x) (((x) >> S_AT2X) & M_AT2X)
51923
51924#define A_MAC_PORT_TX_LINKA_TRANSMIT_SEGMENT_DISABLE_APPLIED_2 0x3074
51925
51926#define S_PRESC 0
51927#define M_PRESC 0x1fU
51928#define V_PRESC(x) ((x) << S_PRESC)
51929#define G_PRESC(x) (((x) >> S_PRESC) & M_PRESC)
51930
51931#define A_MAC_PORT_TX_LINKA_TRANSMIT_TAP_SIGN_APPLIED_REGISTER 0x3074
51932
51933#define S_ATSIGN 0
51934#define M_ATSIGN 0xfU
51935#define V_ATSIGN(x) ((x) << S_ATSIGN)
51936#define G_ATSIGN(x) (((x) >> S_ATSIGN) & M_ATSIGN)
51937
51938#define A_MAC_PORT_TX_LINKA_TRANSMIT_EXTENDED_ADDRESS_DATA 0x3078
51939#define A_MAC_PORT_TX_LINKA_TRANSMIT_EXTENDED_ADDRESS_ADDR 0x307c
51940
51941#define S_T5XADDR 1
51942#define M_T5XADDR 0x1fU
51943#define V_T5XADDR(x) ((x) << S_T5XADDR)
51944#define G_T5XADDR(x) (((x) >> S_T5XADDR) & M_T5XADDR)
51945
51946#define S_T5XWR 0
51947#define V_T5XWR(x) ((x) << S_T5XWR)
51948#define F_T5XWR V_T5XWR(1U)
51949
51950#define S_T6_XADDR 1
51951#define M_T6_XADDR 0x1fU
51952#define V_T6_XADDR(x) ((x) << S_T6_XADDR)
51953#define G_T6_XADDR(x) (((x) >> S_T6_XADDR) & M_T6_XADDR)
51954
51955#define A_MAC_PORT_TX_LINKA_TRANSMIT_PATTERN_BUFFER_BYTES_1_0 0x3080
51956
51957#define S_XDAT10 0
51958#define M_XDAT10 0xffffU
51959#define V_XDAT10(x) ((x) << S_XDAT10)
51960#define G_XDAT10(x) (((x) >> S_XDAT10) & M_XDAT10)
51961
51962#define A_MAC_PORT_TX_LINKA_TRANSMIT_PATTERN_BUFFER_BYTES_3_2 0x3084
51963
51964#define S_XDAT32 0
51965#define M_XDAT32 0xffffU
51966#define V_XDAT32(x) ((x) << S_XDAT32)
51967#define G_XDAT32(x) (((x) >> S_XDAT32) & M_XDAT32)
51968
51969#define A_MAC_PORT_TX_LINKA_TRANSMIT_PATTERN_BUFFER_BYTE_4 0x3088
51970
51971#define S_XDAT4 0
51972#define M_XDAT4 0xffU
51973#define V_XDAT4(x) ((x) << S_XDAT4)
51974#define G_XDAT4(x) (((x) >> S_XDAT4) & M_XDAT4)
51975
51976#define A_MAC_PORT_TX_LINKA_TRANSMIT_PATTERN_BUFFER_BYTES_5_4 0x3088
51977
51978#define S_XDAT54 0
51979#define M_XDAT54 0xffffU
51980#define V_XDAT54(x) ((x) << S_XDAT54)
51981#define G_XDAT54(x) (((x) >> S_XDAT54) & M_XDAT54)
51982
51983#define A_MAC_PORT_TX_LINKA_TRANSMIT_DCC_CONTROL 0x308c
51984
51985#define S_DCCTIMEDOUT 15
51986#define V_DCCTIMEDOUT(x) ((x) << S_DCCTIMEDOUT)
51987#define F_DCCTIMEDOUT V_DCCTIMEDOUT(1U)
51988
51989#define S_DCCTIMEEN 14
51990#define V_DCCTIMEEN(x) ((x) << S_DCCTIMEEN)
51991#define F_DCCTIMEEN V_DCCTIMEEN(1U)
51992
51993#define S_DCCLOCK 13
51994#define V_DCCLOCK(x) ((x) << S_DCCLOCK)
51995#define F_DCCLOCK V_DCCLOCK(1U)
51996
51997#define S_DCCOFFSET 8
51998#define M_DCCOFFSET 0x1fU
51999#define V_DCCOFFSET(x) ((x) << S_DCCOFFSET)
52000#define G_DCCOFFSET(x) (((x) >> S_DCCOFFSET) & M_DCCOFFSET)
52001
52002#define S_DCCSTEP 6
52003#define M_DCCSTEP 0x3U
52004#define V_DCCSTEP(x) ((x) << S_DCCSTEP)
52005#define G_DCCSTEP(x) (((x) >> S_DCCSTEP) & M_DCCSTEP)
52006
52007#define S_DCCASTEP 1
52008#define M_DCCASTEP 0x1fU
52009#define V_DCCASTEP(x) ((x) << S_DCCASTEP)
52010#define G_DCCASTEP(x) (((x) >> S_DCCASTEP) & M_DCCASTEP)
52011
52012#define S_DCCAEN 0
52013#define V_DCCAEN(x) ((x) << S_DCCAEN)
52014#define F_DCCAEN V_DCCAEN(1U)
52015
52016#define A_MAC_PORT_TX_LINKA_TRANSMIT_PATTERN_BUFFER_BYTES_7_6 0x308c
52017
52018#define S_XDAT76 0
52019#define M_XDAT76 0xffffU
52020#define V_XDAT76(x) ((x) << S_XDAT76)
52021#define G_XDAT76(x) (((x) >> S_XDAT76) & M_XDAT76)
52022
52023#define A_MAC_PORT_TX_LINKA_TRANSMIT_DCC_OVERRIDE 0x3090
52024
52025#define S_DCCOUT 12
52026#define V_DCCOUT(x) ((x) << S_DCCOUT)
52027#define F_DCCOUT V_DCCOUT(1U)
52028
52029#define S_DCCCLK 11
52030#define V_DCCCLK(x) ((x) << S_DCCCLK)
52031#define F_DCCCLK V_DCCCLK(1U)
52032
52033#define S_DCCHOLD 10
52034#define V_DCCHOLD(x) ((x) << S_DCCHOLD)
52035#define F_DCCHOLD V_DCCHOLD(1U)
52036
52037#define S_DCCSIGN 8
52038#define M_DCCSIGN 0x3U
52039#define V_DCCSIGN(x) ((x) << S_DCCSIGN)
52040#define G_DCCSIGN(x) (((x) >> S_DCCSIGN) & M_DCCSIGN)
52041
52042#define S_DCCAMP 1
52043#define M_DCCAMP 0x7fU
52044#define V_DCCAMP(x) ((x) << S_DCCAMP)
52045#define G_DCCAMP(x) (((x) >> S_DCCAMP) & M_DCCAMP)
52046
52047#define S_DCCOEN 0
52048#define V_DCCOEN(x) ((x) << S_DCCOEN)
52049#define F_DCCOEN V_DCCOEN(1U)
52050
52051#define A_MAC_PORT_TX_LINKA_TRANSMIT_DCC_APPLIED 0x3094
52052
52053#define S_DCCASIGN 7
52054#define M_DCCASIGN 0x3U
52055#define V_DCCASIGN(x) ((x) << S_DCCASIGN)
52056#define G_DCCASIGN(x) (((x) >> S_DCCASIGN) & M_DCCASIGN)
52057
52058#define S_DCCAAMP 0
52059#define M_DCCAAMP 0x7fU
52060#define V_DCCAAMP(x) ((x) << S_DCCAAMP)
52061#define G_DCCAAMP(x) (((x) >> S_DCCAAMP) & M_DCCAAMP)
52062
52063#define A_MAC_PORT_TX_LINKA_TRANSMIT_DCC_TIME_OUT 0x3098
52064
52065#define S_DCCTIMEOUTVAL 0
52066#define M_DCCTIMEOUTVAL 0xffffU
52067#define V_DCCTIMEOUTVAL(x) ((x) << S_DCCTIMEOUTVAL)
52068#define G_DCCTIMEOUTVAL(x) (((x) >> S_DCCTIMEOUTVAL) & M_DCCTIMEOUTVAL)
52069
52070#define A_MAC_PORT_TX_LINKA_TRANSMIT_802_3AZ_CONTROL 0x309c
52071
52072#define S_LPIDCLK 4
52073#define V_LPIDCLK(x) ((x) << S_LPIDCLK)
52074#define F_LPIDCLK V_LPIDCLK(1U)
52075
52076#define S_LPITERM 2
52077#define M_LPITERM 0x3U
52078#define V_LPITERM(x) ((x) << S_LPITERM)
52079#define G_LPITERM(x) (((x) >> S_LPITERM) & M_LPITERM)
52080
52081#define S_LPIPRCD 0
52082#define M_LPIPRCD 0x3U
52083#define V_LPIPRCD(x) ((x) << S_LPIPRCD)
52084#define G_LPIPRCD(x) (((x) >> S_LPIPRCD) & M_LPIPRCD)
52085
52086#define A_T6_MAC_PORT_TX_LINKA_TRANSMIT_DCC_CONTROL 0x30a0
52087
52088#define S_T6_DCCTIMEEN 13
52089#define M_T6_DCCTIMEEN 0x3U
52090#define V_T6_DCCTIMEEN(x) ((x) << S_T6_DCCTIMEEN)
52091#define G_T6_DCCTIMEEN(x) (((x) >> S_T6_DCCTIMEEN) & M_T6_DCCTIMEEN)
52092
52093#define S_T6_DCCLOCK 11
52094#define M_T6_DCCLOCK 0x3U
52095#define V_T6_DCCLOCK(x) ((x) << S_T6_DCCLOCK)
52096#define G_T6_DCCLOCK(x) (((x) >> S_T6_DCCLOCK) & M_T6_DCCLOCK)
52097
52098#define S_T6_DCCOFFSET 8
52099#define M_T6_DCCOFFSET 0x7U
52100#define V_T6_DCCOFFSET(x) ((x) << S_T6_DCCOFFSET)
52101#define G_T6_DCCOFFSET(x) (((x) >> S_T6_DCCOFFSET) & M_T6_DCCOFFSET)
52102
52103#define S_TX_LINKA_DCCSTEP_CTL 6
52104#define M_TX_LINKA_DCCSTEP_CTL 0x3U
52105#define V_TX_LINKA_DCCSTEP_CTL(x) ((x) << S_TX_LINKA_DCCSTEP_CTL)
52106#define G_TX_LINKA_DCCSTEP_CTL(x) (((x) >> S_TX_LINKA_DCCSTEP_CTL) & M_TX_LINKA_DCCSTEP_CTL)
52107
52108#define A_T6_MAC_PORT_TX_LINKA_TRANSMIT_DCC_OVERRIDE 0x30a4
52109#define A_T6_MAC_PORT_TX_LINKA_TRANSMIT_DCC_APPLIED 0x30a8
52110#define A_T6_MAC_PORT_TX_LINKA_TRANSMIT_DCC_TIME_OUT 0x30ac
52111#define A_MAC_PORT_TX_LINKA_TRANSMIT_TAP_SIGN_OVERRIDE 0x30c0
52112
52113#define S_OSIGN 0
52114#define M_OSIGN 0xfU
52115#define V_OSIGN(x) ((x) << S_OSIGN)
52116#define G_OSIGN(x) (((x) >> S_OSIGN) & M_OSIGN)
52117
52118#define A_MAC_PORT_TX_LINKA_TRANSMIT_SEGMENT_4X_OVERRIDE 0x30c8
52119
52120#define S_OS4X7 14
52121#define M_OS4X7 0x3U
52122#define V_OS4X7(x) ((x) << S_OS4X7)
52123#define G_OS4X7(x) (((x) >> S_OS4X7) & M_OS4X7)
52124
52125#define S_OS4X6 12
52126#define M_OS4X6 0x3U
52127#define V_OS4X6(x) ((x) << S_OS4X6)
52128#define G_OS4X6(x) (((x) >> S_OS4X6) & M_OS4X6)
52129
52130#define S_OS4X5 10
52131#define M_OS4X5 0x3U
52132#define V_OS4X5(x) ((x) << S_OS4X5)
52133#define G_OS4X5(x) (((x) >> S_OS4X5) & M_OS4X5)
52134
52135#define S_OS4X4 8
52136#define M_OS4X4 0x3U
52137#define V_OS4X4(x) ((x) << S_OS4X4)
52138#define G_OS4X4(x) (((x) >> S_OS4X4) & M_OS4X4)
52139
52140#define S_OS4X3 6
52141#define M_OS4X3 0x3U
52142#define V_OS4X3(x) ((x) << S_OS4X3)
52143#define G_OS4X3(x) (((x) >> S_OS4X3) & M_OS4X3)
52144
52145#define S_OS4X2 4
52146#define M_OS4X2 0x3U
52147#define V_OS4X2(x) ((x) << S_OS4X2)
52148#define G_OS4X2(x) (((x) >> S_OS4X2) & M_OS4X2)
52149
52150#define S_OS4X1 2
52151#define M_OS4X1 0x3U
52152#define V_OS4X1(x) ((x) << S_OS4X1)
52153#define G_OS4X1(x) (((x) >> S_OS4X1) & M_OS4X1)
52154
52155#define S_OS4X0 0
52156#define M_OS4X0 0x3U
52157#define V_OS4X0(x) ((x) << S_OS4X0)
52158#define G_OS4X0(x) (((x) >> S_OS4X0) & M_OS4X0)
52159
52160#define A_MAC_PORT_TX_LINKA_TRANSMIT_SEGMENT_2X_OVERRIDE 0x30cc
52161
52162#define S_OS2X3 6
52163#define M_OS2X3 0x3U
52164#define V_OS2X3(x) ((x) << S_OS2X3)
52165#define G_OS2X3(x) (((x) >> S_OS2X3) & M_OS2X3)
52166
52167#define S_OS2X2 4
52168#define M_OS2X2 0x3U
52169#define V_OS2X2(x) ((x) << S_OS2X2)
52170#define G_OS2X2(x) (((x) >> S_OS2X2) & M_OS2X2)
52171
52172#define S_OS2X1 2
52173#define M_OS2X1 0x3U
52174#define V_OS2X1(x) ((x) << S_OS2X1)
52175#define G_OS2X1(x) (((x) >> S_OS2X1) & M_OS2X1)
52176
52177#define S_OS2X0 0
52178#define M_OS2X0 0x3U
52179#define V_OS2X0(x) ((x) << S_OS2X0)
52180#define G_OS2X0(x) (((x) >> S_OS2X0) & M_OS2X0)
52181
52182#define A_MAC_PORT_TX_LINKA_TRANSMIT_SEGMENT_1X_OVERRIDE 0x30d0
52183
52184#define S_OS1X7 14
52185#define M_OS1X7 0x3U
52186#define V_OS1X7(x) ((x) << S_OS1X7)
52187#define G_OS1X7(x) (((x) >> S_OS1X7) & M_OS1X7)
52188
52189#define S_OS1X6 12
52190#define M_OS1X6 0x3U
52191#define V_OS1X6(x) ((x) << S_OS1X6)
52192#define G_OS1X6(x) (((x) >> S_OS1X6) & M_OS1X6)
52193
52194#define S_OS1X5 10
52195#define M_OS1X5 0x3U
52196#define V_OS1X5(x) ((x) << S_OS1X5)
52197#define G_OS1X5(x) (((x) >> S_OS1X5) & M_OS1X5)
52198
52199#define S_OS1X4 8
52200#define M_OS1X4 0x3U
52201#define V_OS1X4(x) ((x) << S_OS1X4)
52202#define G_OS1X4(x) (((x) >> S_OS1X4) & M_OS1X4)
52203
52204#define S_OS1X3 6
52205#define M_OS1X3 0x3U
52206#define V_OS1X3(x) ((x) << S_OS1X3)
52207#define G_OS1X3(x) (((x) >> S_OS1X3) & M_OS1X3)
52208
52209#define S_OS1X2 4
52210#define M_OS1X2 0x3U
52211#define V_OS1X2(x) ((x) << S_OS1X2)
52212#define G_OS1X2(x) (((x) >> S_OS1X2) & M_OS1X2)
52213
52214#define S_OS1X1 2
52215#define M_OS1X1 0x3U
52216#define V_OS1X1(x) ((x) << S_OS1X1)
52217#define G_OS1X1(x) (((x) >> S_OS1X1) & M_OS1X1)
52218
52219#define S_OS1X0 0
52220#define M_OS1X0 0x3U
52221#define V_OS1X0(x) ((x) << S_OS1X0)
52222#define G_OS1X0(x) (((x) >> S_OS1X0) & M_OS1X0)
52223
52224#define A_MAC_PORT_TX_LINKA_TRANSMIT_TAP_SEGMENT_4X_TERMINATION_OVERRIDE 0x30d8
52225
52226#define S_OT4X 0
52227#define M_OT4X 0xffU
52228#define V_OT4X(x) ((x) << S_OT4X)
52229#define G_OT4X(x) (((x) >> S_OT4X) & M_OT4X)
52230
52231#define A_MAC_PORT_TX_LINKA_TRANSMIT_TAP_SEGMENT_2X_TERMINATION_OVERRIDE 0x30dc
52232
52233#define S_OT2X 0
52234#define M_OT2X 0xfU
52235#define V_OT2X(x) ((x) << S_OT2X)
52236#define G_OT2X(x) (((x) >> S_OT2X) & M_OT2X)
52237
52238#define A_MAC_PORT_TX_LINKA_TRANSMIT_TAP_SEGMENT_1X_TERMINATION_OVERRIDE 0x30e0
52239
52240#define S_OT1X 0
52241#define M_OT1X 0xffU
52242#define V_OT1X(x) ((x) << S_OT1X)
52243#define G_OT1X(x) (((x) >> S_OT1X) & M_OT1X)
52244
52245#define A_MAC_PORT_TX_LINKA_TRANSMIT_MACRO_TEST_CONTROL_5 0x30ec
52246
52247#define S_ERRORP 15
52248#define V_ERRORP(x) ((x) << S_ERRORP)
52249#define F_ERRORP V_ERRORP(1U)
52250
52251#define S_ERRORN 14
52252#define V_ERRORN(x) ((x) << S_ERRORN)
52253#define F_ERRORN V_ERRORN(1U)
52254
52255#define S_TESTENA 13
52256#define V_TESTENA(x) ((x) << S_TESTENA)
52257#define F_TESTENA V_TESTENA(1U)
52258
52259#define S_TUNEBIT 10
52260#define M_TUNEBIT 0x7U
52261#define V_TUNEBIT(x) ((x) << S_TUNEBIT)
52262#define G_TUNEBIT(x) (((x) >> S_TUNEBIT) & M_TUNEBIT)
52263
52264#define S_DATAPOS 8
52265#define M_DATAPOS 0x3U
52266#define V_DATAPOS(x) ((x) << S_DATAPOS)
52267#define G_DATAPOS(x) (((x) >> S_DATAPOS) & M_DATAPOS)
52268
52269#define S_SEGSEL 3
52270#define M_SEGSEL 0x1fU
52271#define V_SEGSEL(x) ((x) << S_SEGSEL)
52272#define G_SEGSEL(x) (((x) >> S_SEGSEL) & M_SEGSEL)
52273
52274#define S_TAPSEL 1
52275#define M_TAPSEL 0x3U
52276#define V_TAPSEL(x) ((x) << S_TAPSEL)
52277#define G_TAPSEL(x) (((x) >> S_TAPSEL) & M_TAPSEL)
52278
52279#define S_DATASIGN 0
52280#define V_DATASIGN(x) ((x) << S_DATASIGN)
52281#define F_DATASIGN V_DATASIGN(1U)
52282
52283#define A_MAC_PORT_TX_LINKA_TRANSMIT_MACRO_TEST_CONTROL_4 0x30f0
52284
52285#define S_SDOVRDEN 8
52286#define V_SDOVRDEN(x) ((x) << S_SDOVRDEN)
52287#define F_SDOVRDEN V_SDOVRDEN(1U)
52288
52289#define S_SDOVRD 0
52290#define M_SDOVRD 0xffU
52291#define V_SDOVRD(x) ((x) << S_SDOVRD)
52292#define G_SDOVRD(x) (((x) >> S_SDOVRD) & M_SDOVRD)
52293
52294#define S_T6_SDOVRD 0
52295#define M_T6_SDOVRD 0xffffU
52296#define V_T6_SDOVRD(x) ((x) << S_T6_SDOVRD)
52297#define G_T6_SDOVRD(x) (((x) >> S_T6_SDOVRD) & M_T6_SDOVRD)
52298
52299#define A_MAC_PORT_TX_LINKA_TRANSMIT_MACRO_TEST_CONTROL_3 0x30f4
52300
52301#define S_SLEWCODE 1
52302#define M_SLEWCODE 0x3U
52303#define V_SLEWCODE(x) ((x) << S_SLEWCODE)
52304#define G_SLEWCODE(x) (((x) >> S_SLEWCODE) & M_SLEWCODE)
52305
52306#define S_ASEGEN 0
52307#define V_ASEGEN(x) ((x) << S_ASEGEN)
52308#define F_ASEGEN V_ASEGEN(1U)
52309
52310#define S_WCNT 0
52311#define M_WCNT 0x3ffU
52312#define V_WCNT(x) ((x) << S_WCNT)
52313#define G_WCNT(x) (((x) >> S_WCNT) & M_WCNT)
52314
52315#define A_MAC_PORT_TX_LINKA_TRANSMIT_MACRO_TEST_CONTROL_2 0x30f8
52316
52317#define S_AECMDVAL 14
52318#define V_AECMDVAL(x) ((x) << S_AECMDVAL)
52319#define F_AECMDVAL V_AECMDVAL(1U)
52320
52321#define S_AECMD1312 12
52322#define M_AECMD1312 0x3U
52323#define V_AECMD1312(x) ((x) << S_AECMD1312)
52324#define G_AECMD1312(x) (((x) >> S_AECMD1312) & M_AECMD1312)
52325
52326#define S_AECMD70 0
52327#define M_AECMD70 0xffU
52328#define V_AECMD70(x) ((x) << S_AECMD70)
52329#define G_AECMD70(x) (((x) >> S_AECMD70) & M_AECMD70)
52330
52331#define A_MAC_PORT_TX_LINKA_TRANSMIT_MACRO_TEST_CONTROL_1 0x30fc
52332
52333#define S_C48DIVCTL 12
52334#define M_C48DIVCTL 0x7U
52335#define V_C48DIVCTL(x) ((x) << S_C48DIVCTL)
52336#define G_C48DIVCTL(x) (((x) >> S_C48DIVCTL) & M_C48DIVCTL)
52337
52338#define S_RATEDIVCTL 9
52339#define M_RATEDIVCTL 0x7U
52340#define V_RATEDIVCTL(x) ((x) << S_RATEDIVCTL)
52341#define G_RATEDIVCTL(x) (((x) >> S_RATEDIVCTL) & M_RATEDIVCTL)
52342
52343#define S_ANLGFLSH 8
52344#define V_ANLGFLSH(x) ((x) << S_ANLGFLSH)
52345#define F_ANLGFLSH V_ANLGFLSH(1U)
52346
52347#define S_DCCTSTOUT 7
52348#define V_DCCTSTOUT(x) ((x) << S_DCCTSTOUT)
52349#define F_DCCTSTOUT V_DCCTSTOUT(1U)
52350
52351#define S_BSOUT 6
52352#define V_BSOUT(x) ((x) << S_BSOUT)
52353#define F_BSOUT V_BSOUT(1U)
52354
52355#define S_BSIN 5
52356#define V_BSIN(x) ((x) << S_BSIN)
52357#define F_BSIN V_BSIN(1U)
52358
52359#define S_JTAGAMPL 3
52360#define M_JTAGAMPL 0x3U
52361#define V_JTAGAMPL(x) ((x) << S_JTAGAMPL)
52362#define G_JTAGAMPL(x) (((x) >> S_JTAGAMPL) & M_JTAGAMPL)
52363
52364#define S_JTAGTS 2
52365#define V_JTAGTS(x) ((x) << S_JTAGTS)
52366#define F_JTAGTS V_JTAGTS(1U)
52367
52368#define S_TS 1
52369#define V_TS(x) ((x) << S_TS)
52370#define F_TS V_TS(1U)
52371
52372#define S_OBS 0
52373#define V_OBS(x) ((x) << S_OBS)
52374#define F_OBS V_OBS(1U)
52375
52376#define S_T6_SDOVRDEN 15
52377#define V_T6_SDOVRDEN(x) ((x) << S_T6_SDOVRDEN)
52378#define F_T6_SDOVRDEN V_T6_SDOVRDEN(1U)
52379
52380#define S_BSOUTN 7
52381#define V_BSOUTN(x) ((x) << S_BSOUTN)
52382#define F_BSOUTN V_BSOUTN(1U)
52383
52384#define S_BSOUTP 6
52385#define V_BSOUTP(x) ((x) << S_BSOUTP)
52386#define F_BSOUTP V_BSOUTP(1U)
52387
52388#define A_MAC_PORT_TX_LINKB_TRANSMIT_CONFIGURATION_MODE 0x3100
52389
52390#define S_T6_T5_TX_RXLOOP 5
52391#define V_T6_T5_TX_RXLOOP(x) ((x) << S_T6_T5_TX_RXLOOP)
52392#define F_T6_T5_TX_RXLOOP V_T6_T5_TX_RXLOOP(1U)
52393
52394#define S_T6_T5_TX_BWSEL 2
52395#define M_T6_T5_TX_BWSEL 0x3U
52396#define V_T6_T5_TX_BWSEL(x) ((x) << S_T6_T5_TX_BWSEL)
52397#define G_T6_T5_TX_BWSEL(x) (((x) >> S_T6_T5_TX_BWSEL) & M_T6_T5_TX_BWSEL)
52398
52399#define A_MAC_PORT_TX_LINKB_TRANSMIT_TEST_CONTROL 0x3104
52400
52401#define S_T6_ERROR 9
52402#define V_T6_ERROR(x) ((x) << S_T6_ERROR)
52403#define F_T6_ERROR V_T6_ERROR(1U)
52404
52405#define A_MAC_PORT_TX_LINKB_TRANSMIT_COEFFICIENT_CONTROL 0x3108
52406#define A_MAC_PORT_TX_LINKB_TRANSMIT_DRIVER_MODE_CONTROL 0x310c
52407#define A_MAC_PORT_TX_LINKB_TRANSMIT_DRIVER_OVERRIDE_CONTROL 0x3110
52408#define A_MAC_PORT_TX_LINKB_TRANSMIT_DCLK_ROTATOR_OVERRIDE 0x3114
52409#define A_MAC_PORT_TX_LINKB_TRANSMIT_IMPEDANCE_CALIBRATION_OVERRIDE 0x3118
52410
52411#define S_T6_CALSSTN 8
52412#define M_T6_CALSSTN 0x3fU
52413#define V_T6_CALSSTN(x) ((x) << S_T6_CALSSTN)
52414#define G_T6_CALSSTN(x) (((x) >> S_T6_CALSSTN) & M_T6_CALSSTN)
52415
52416#define S_T6_CALSSTP 0
52417#define M_T6_CALSSTP 0x3fU
52418#define V_T6_CALSSTP(x) ((x) << S_T6_CALSSTP)
52419#define G_T6_CALSSTP(x) (((x) >> S_T6_CALSSTP) & M_T6_CALSSTP)
52420
52421#define A_MAC_PORT_TX_LINKB_TRANSMIT_DCLK_DRIFT_TOLERANCE 0x311c
52422
52423#define S_T6_DRTOL 2
52424#define M_T6_DRTOL 0x7U
52425#define V_T6_DRTOL(x) ((x) << S_T6_DRTOL)
52426#define G_T6_DRTOL(x) (((x) >> S_T6_DRTOL) & M_T6_DRTOL)
52427
52428#define A_MAC_PORT_TX_LINKB_TRANSMIT_TAP_0_COEFFICIENT 0x3120
52429
52430#define S_T6_NXTT0 0
52431#define M_T6_NXTT0 0x3fU
52432#define V_T6_NXTT0(x) ((x) << S_T6_NXTT0)
52433#define G_T6_NXTT0(x) (((x) >> S_T6_NXTT0) & M_T6_NXTT0)
52434
52435#define A_MAC_PORT_TX_LINKB_TRANSMIT_TAP_1_COEFFICIENT 0x3124
52436#define A_MAC_PORT_TX_LINKB_TRANSMIT_TAP_2_COEFFICIENT 0x3128
52437
52438#define S_T6_NXTT2 0
52439#define M_T6_NXTT2 0x3fU
52440#define V_T6_NXTT2(x) ((x) << S_T6_NXTT2)
52441#define G_T6_NXTT2(x) (((x) >> S_T6_NXTT2) & M_T6_NXTT2)
52442
52443#define A_MAC_PORT_TX_LINKB_TRANSMIT_TAP_3_COEFFICIENT 0x312c
52444#define A_MAC_PORT_TX_LINKB_TRANSMIT_AMPLITUDE 0x3130
52445#define A_MAC_PORT_TX_LINKB_TRANSMIT_POLARITY 0x3134
52446
52447#define S_T6_NXTPOL 0
52448#define M_T6_NXTPOL 0xfU
52449#define V_T6_NXTPOL(x) ((x) << S_T6_NXTPOL)
52450#define G_T6_NXTPOL(x) (((x) >> S_T6_NXTPOL) & M_T6_NXTPOL)
52451
52452#define A_MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_COMMAND 0x3138
52453
52454#define S_T6_C0UPDT 6
52455#define M_T6_C0UPDT 0x3U
52456#define V_T6_C0UPDT(x) ((x) << S_T6_C0UPDT)
52457#define G_T6_C0UPDT(x) (((x) >> S_T6_C0UPDT) & M_T6_C0UPDT)
52458
52459#define S_T6_C2UPDT 2
52460#define M_T6_C2UPDT 0x3U
52461#define V_T6_C2UPDT(x) ((x) << S_T6_C2UPDT)
52462#define G_T6_C2UPDT(x) (((x) >> S_T6_C2UPDT) & M_T6_C2UPDT)
52463
52464#define S_T6_C1UPDT 0
52465#define M_T6_C1UPDT 0x3U
52466#define V_T6_C1UPDT(x) ((x) << S_T6_C1UPDT)
52467#define G_T6_C1UPDT(x) (((x) >> S_T6_C1UPDT) & M_T6_C1UPDT)
52468
52469#define A_MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_STATUS 0x313c
52470
52471#define S_T6_C0STAT 6
52472#define M_T6_C0STAT 0x3U
52473#define V_T6_C0STAT(x) ((x) << S_T6_C0STAT)
52474#define G_T6_C0STAT(x) (((x) >> S_T6_C0STAT) & M_T6_C0STAT)
52475
52476#define S_T6_C2STAT 2
52477#define M_T6_C2STAT 0x3U
52478#define V_T6_C2STAT(x) ((x) << S_T6_C2STAT)
52479#define G_T6_C2STAT(x) (((x) >> S_T6_C2STAT) & M_T6_C2STAT)
52480
52481#define S_T6_C1STAT 0
52482#define M_T6_C1STAT 0x3U
52483#define V_T6_C1STAT(x) ((x) << S_T6_C1STAT)
52484#define G_T6_C1STAT(x) (((x) >> S_T6_C1STAT) & M_T6_C1STAT)
52485
52486#define A_MAC_PORT_TX_LINKB_TRANSMIT_TAP_0_COEFFICIENT_OVERRIDE 0x3140
52487#define A_MAC_PORT_TX_LINKB_TRANSMIT_AE_TAP_0_COEFFICIENT_OVERRIDE 0x3140
52488#define A_MAC_PORT_TX_LINKB_TRANSMIT_TAP_1_COEFFICIENT_OVERRIDE 0x3144
52489#define A_MAC_PORT_TX_LINKB_TRANSMIT_AE_TAP_1_COEFFICIENT_OVERRIDE 0x3144
52490#define A_MAC_PORT_TX_LINKB_TRANSMIT_TAP_2_COEFFICIENT_OVERRIDE 0x3148
52491#define A_MAC_PORT_TX_LINKB_TRANSMIT_AE_TAP_2_COEFFICIENT_OVERRIDE 0x3148
52492#define A_MAC_PORT_TX_LINKB_TRANSMIT_AE_TAP_3_COEFFICIENT_OVERRIDE 0x314c
52493#define A_MAC_PORT_TX_LINKB_TRANSMIT_APPLIED_TUNE_REGISTER 0x3150
52494#define A_MAC_PORT_TX_LINKB_TRANSMIT_ANALOG_DIAGNOSTICS_REGISTER 0x3158
52495#define A_MAC_PORT_TX_LINKB_TRANSMIT_TAP_0_COEFFICIENT_APPLIED 0x3160
52496#define A_MAC_PORT_TX_LINKB_TRANSMIT_4X_SEGMENT_APPLIED 0x3160
52497#define A_MAC_PORT_TX_LINKB_TRANSMIT_TAP_1_COEFFICIENT_APPLIED 0x3164
52498#define A_MAC_PORT_TX_LINKB_TRANSMIT_2X_SEGMENT_APPLIED 0x3164
52499#define A_MAC_PORT_TX_LINKB_TRANSMIT_TAP_2_COEFFICIENT_APPLIED 0x3168
52500#define A_MAC_PORT_TX_LINKB_TRANSMIT_1X_SEGMENT_APPLIED 0x3168
52501#define A_MAC_PORT_TX_LINKB_TRANSMIT_SEGMENT_4X_TERMINATION_APPLIED 0x316c
52502#define A_MAC_PORT_TX_LINKB_TRANSMIT_SEGMENT_DISABLE_APPLIED_1 0x3170
52503#define A_MAC_PORT_TX_LINKB_TRANSMIT_SEGMENT_2X1X_TERMINATION_APPLIED 0x3170
52504#define A_MAC_PORT_TX_LINKB_TRANSMIT_SEGMENT_DISABLE_APPLIED_2 0x3174
52505#define A_MAC_PORT_TX_LINKB_TRANSMIT_TAP_SIGN_APPLIED_REGISTER 0x3174
52506#define A_MAC_PORT_TX_LINKB_TRANSMIT_EXTENDED_ADDRESS_DATA 0x3178
52507#define A_MAC_PORT_TX_LINKB_TRANSMIT_EXTENDED_ADDRESS_ADDR 0x317c
52508
52509#define S_T6_XADDR 1
52510#define M_T6_XADDR 0x1fU
52511#define V_T6_XADDR(x) ((x) << S_T6_XADDR)
52512#define G_T6_XADDR(x) (((x) >> S_T6_XADDR) & M_T6_XADDR)
52513
52514#define A_MAC_PORT_TX_LINKB_TRANSMIT_PATTERN_BUFFER_BYTES_1_0 0x3180
52515#define A_MAC_PORT_TX_LINKB_TRANSMIT_PATTERN_BUFFER_BYTES_3_2 0x3184
52516#define A_MAC_PORT_TX_LINKB_TRANSMIT_PATTERN_BUFFER_BYTE_4 0x3188
52517#define A_MAC_PORT_TX_LINKB_TRANSMIT_PATTERN_BUFFER_BYTES_5_4 0x3188
52518#define A_MAC_PORT_TX_LINKB_TRANSMIT_DCC_CONTROL 0x318c
52519#define A_MAC_PORT_TX_LINKB_TRANSMIT_PATTERN_BUFFER_BYTES_7_6 0x318c
52520#define A_MAC_PORT_TX_LINKB_TRANSMIT_DCC_OVERRIDE 0x3190
52521#define A_MAC_PORT_TX_LINKB_TRANSMIT_DCC_APPLIED 0x3194
52522#define A_MAC_PORT_TX_LINKB_TRANSMIT_DCC_TIME_OUT 0x3198
52523#define A_MAC_PORT_TX_LINKB_TRANSMIT_802_3AZ_CONTROL 0x319c
52524#define A_T6_MAC_PORT_TX_LINKB_TRANSMIT_DCC_CONTROL 0x31a0
52525
52526#define S_T6_DCCTIMEEN 13
52527#define M_T6_DCCTIMEEN 0x3U
52528#define V_T6_DCCTIMEEN(x) ((x) << S_T6_DCCTIMEEN)
52529#define G_T6_DCCTIMEEN(x) (((x) >> S_T6_DCCTIMEEN) & M_T6_DCCTIMEEN)
52530
52531#define S_T6_DCCLOCK 11
52532#define M_T6_DCCLOCK 0x3U
52533#define V_T6_DCCLOCK(x) ((x) << S_T6_DCCLOCK)
52534#define G_T6_DCCLOCK(x) (((x) >> S_T6_DCCLOCK) & M_T6_DCCLOCK)
52535
52536#define S_T6_DCCOFFSET 8
52537#define M_T6_DCCOFFSET 0x7U
52538#define V_T6_DCCOFFSET(x) ((x) << S_T6_DCCOFFSET)
52539#define G_T6_DCCOFFSET(x) (((x) >> S_T6_DCCOFFSET) & M_T6_DCCOFFSET)
52540
52541#define S_TX_LINKB_DCCSTEP_CTL 6
52542#define M_TX_LINKB_DCCSTEP_CTL 0x3U
52543#define V_TX_LINKB_DCCSTEP_CTL(x) ((x) << S_TX_LINKB_DCCSTEP_CTL)
52544#define G_TX_LINKB_DCCSTEP_CTL(x) (((x) >> S_TX_LINKB_DCCSTEP_CTL) & M_TX_LINKB_DCCSTEP_CTL)
52545
52546#define A_T6_MAC_PORT_TX_LINKB_TRANSMIT_DCC_OVERRIDE 0x31a4
52547#define A_T6_MAC_PORT_TX_LINKB_TRANSMIT_DCC_APPLIED 0x31a8
52548#define A_T6_MAC_PORT_TX_LINKB_TRANSMIT_DCC_TIME_OUT 0x31ac
52549#define A_MAC_PORT_TX_LINKB_TRANSMIT_TAP_SIGN_OVERRIDE 0x31c0
52550#define A_MAC_PORT_TX_LINKB_TRANSMIT_SEGMENT_4X_OVERRIDE 0x31c8
52551#define A_MAC_PORT_TX_LINKB_TRANSMIT_SEGMENT_2X_OVERRIDE 0x31cc
52552#define A_MAC_PORT_TX_LINKB_TRANSMIT_SEGMENT_1X_OVERRIDE 0x31d0
52553#define A_MAC_PORT_TX_LINKB_TRANSMIT_TAP_SEGMENT_4X_TERMINATION_OVERRIDE 0x31d8
52554#define A_MAC_PORT_TX_LINKB_TRANSMIT_TAP_SEGMENT_2X_TERMINATION_OVERRIDE 0x31dc
52555#define A_MAC_PORT_TX_LINKB_TRANSMIT_TAP_SEGMENT_1X_TERMINATION_OVERRIDE 0x31e0
52556#define A_MAC_PORT_TX_LINKB_TRANSMIT_MACRO_TEST_CONTROL_5 0x31ec
52557#define A_MAC_PORT_TX_LINKB_TRANSMIT_MACRO_TEST_CONTROL_4 0x31f0
52558
52559#define S_T6_SDOVRD 0
52560#define M_T6_SDOVRD 0xffffU
52561#define V_T6_SDOVRD(x) ((x) << S_T6_SDOVRD)
52562#define G_T6_SDOVRD(x) (((x) >> S_T6_SDOVRD) & M_T6_SDOVRD)
52563
52564#define A_MAC_PORT_TX_LINKB_TRANSMIT_MACRO_TEST_CONTROL_3 0x31f4
52565#define A_MAC_PORT_TX_LINKB_TRANSMIT_MACRO_TEST_CONTROL_2 0x31f8
52566#define A_MAC_PORT_TX_LINKB_TRANSMIT_MACRO_TEST_CONTROL_1 0x31fc
52567
52568#define S_T6_SDOVRDEN 15
52569#define V_T6_SDOVRDEN(x) ((x) << S_T6_SDOVRDEN)
52570#define F_T6_SDOVRDEN V_T6_SDOVRDEN(1U)
52571
52572#define A_MAC_PORT_RX_LINKA_RECEIVER_CONFIGURATION_MODE 0x3200
52573
52574#define S_T5_RX_LINKEN 15
52575#define V_T5_RX_LINKEN(x) ((x) << S_T5_RX_LINKEN)
52576#define F_T5_RX_LINKEN V_T5_RX_LINKEN(1U)
52577
52578#define S_T5_RX_LINKRST 14
52579#define V_T5_RX_LINKRST(x) ((x) << S_T5_RX_LINKRST)
52580#define F_T5_RX_LINKRST V_T5_RX_LINKRST(1U)
52581
52582#define S_T5_RX_CFGWRT 13
52583#define V_T5_RX_CFGWRT(x) ((x) << S_T5_RX_CFGWRT)
52584#define F_T5_RX_CFGWRT V_T5_RX_CFGWRT(1U)
52585
52586#define S_T5_RX_CFGPTR 11
52587#define M_T5_RX_CFGPTR 0x3U
52588#define V_T5_RX_CFGPTR(x) ((x) << S_T5_RX_CFGPTR)
52589#define G_T5_RX_CFGPTR(x) (((x) >> S_T5_RX_CFGPTR) & M_T5_RX_CFGPTR)
52590
52591#define S_T5_RX_CFGEXT 10
52592#define V_T5_RX_CFGEXT(x) ((x) << S_T5_RX_CFGEXT)
52593#define F_T5_RX_CFGEXT V_T5_RX_CFGEXT(1U)
52594
52595#define S_T5_RX_CFGACT 9
52596#define V_T5_RX_CFGACT(x) ((x) << S_T5_RX_CFGACT)
52597#define F_T5_RX_CFGACT V_T5_RX_CFGACT(1U)
52598
52599#define S_T5_RX_AUXCLK 8
52600#define V_T5_RX_AUXCLK(x) ((x) << S_T5_RX_AUXCLK)
52601#define F_T5_RX_AUXCLK V_T5_RX_AUXCLK(1U)
52602
52603#define S_T5_RX_PLLSEL 6
52604#define M_T5_RX_PLLSEL 0x3U
52605#define V_T5_RX_PLLSEL(x) ((x) << S_T5_RX_PLLSEL)
52606#define G_T5_RX_PLLSEL(x) (((x) >> S_T5_RX_PLLSEL) & M_T5_RX_PLLSEL)
52607
52608#define S_T5_RX_DMSEL 4
52609#define M_T5_RX_DMSEL 0x3U
52610#define V_T5_RX_DMSEL(x) ((x) << S_T5_RX_DMSEL)
52611#define G_T5_RX_DMSEL(x) (((x) >> S_T5_RX_DMSEL) & M_T5_RX_DMSEL)
52612
52613#define S_T5_RX_BWSEL 2
52614#define M_T5_RX_BWSEL 0x3U
52615#define V_T5_RX_BWSEL(x) ((x) << S_T5_RX_BWSEL)
52616#define G_T5_RX_BWSEL(x) (((x) >> S_T5_RX_BWSEL) & M_T5_RX_BWSEL)
52617
52618#define S_T5_RX_RTSEL 0
52619#define M_T5_RX_RTSEL 0x3U
52620#define V_T5_RX_RTSEL(x) ((x) << S_T5_RX_RTSEL)
52621#define G_T5_RX_RTSEL(x) (((x) >> S_T5_RX_RTSEL) & M_T5_RX_RTSEL)
52622
52623#define S_T5_RX_MODE8023AZ 8
52624#define V_T5_RX_MODE8023AZ(x) ((x) << S_T5_RX_MODE8023AZ)
52625#define F_T5_RX_MODE8023AZ V_T5_RX_MODE8023AZ(1U)
52626
52627#define A_MAC_PORT_RX_LINKA_RECEIVER_TEST_CONTROL 0x3204
52628
52629#define S_FERRST 10
52630#define V_FERRST(x) ((x) << S_FERRST)
52631#define F_FERRST V_FERRST(1U)
52632
52633#define S_ERRST 9
52634#define V_ERRST(x) ((x) << S_ERRST)
52635#define F_ERRST V_ERRST(1U)
52636
52637#define S_SYNCST 8
52638#define V_SYNCST(x) ((x) << S_SYNCST)
52639#define F_SYNCST V_SYNCST(1U)
52640
52641#define S_WRPSM 7
52642#define V_WRPSM(x) ((x) << S_WRPSM)
52643#define F_WRPSM V_WRPSM(1U)
52644
52645#define S_WPLPEN 6
52646#define V_WPLPEN(x) ((x) << S_WPLPEN)
52647#define F_WPLPEN V_WPLPEN(1U)
52648
52649#define S_WRPMD 5
52650#define V_WRPMD(x) ((x) << S_WRPMD)
52651#define F_WRPMD V_WRPMD(1U)
52652
52653#define S_PATSEL 0
52654#define M_PATSEL 0x7U
52655#define V_PATSEL(x) ((x) << S_PATSEL)
52656#define G_PATSEL(x) (((x) >> S_PATSEL) & M_PATSEL)
52657
52658#define S_APLYDCD 15
52659#define V_APLYDCD(x) ((x) << S_APLYDCD)
52660#define F_APLYDCD V_APLYDCD(1U)
52661
52662#define S_PPOL 13
52663#define M_PPOL 0x3U
52664#define V_PPOL(x) ((x) << S_PPOL)
52665#define G_PPOL(x) (((x) >> S_PPOL) & M_PPOL)
52666
52667#define S_PCLKSEL 11
52668#define M_PCLKSEL 0x3U
52669#define V_PCLKSEL(x) ((x) << S_PCLKSEL)
52670#define G_PCLKSEL(x) (((x) >> S_PCLKSEL) & M_PCLKSEL)
52671
52672#define A_MAC_PORT_RX_LINKA_PHASE_ROTATOR_CONTROL 0x3208
52673
52674#define S_RSTUCK 3
52675#define V_RSTUCK(x) ((x) << S_RSTUCK)
52676#define F_RSTUCK V_RSTUCK(1U)
52677
52678#define S_FRZFW 2
52679#define V_FRZFW(x) ((x) << S_FRZFW)
52680#define F_FRZFW V_FRZFW(1U)
52681
52682#define S_RSTFW 1
52683#define V_RSTFW(x) ((x) << S_RSTFW)
52684#define F_RSTFW V_RSTFW(1U)
52685
52686#define S_SSCEN 0
52687#define V_SSCEN(x) ((x) << S_SSCEN)
52688#define F_SSCEN V_SSCEN(1U)
52689
52690#define A_MAC_PORT_RX_LINKA_PHASE_ROTATOR_OFFSET_CONTROL 0x320c
52691
52692#define S_H1ANOFST 12
52693#define M_H1ANOFST 0xfU
52694#define V_H1ANOFST(x) ((x) << S_H1ANOFST)
52695#define G_H1ANOFST(x) (((x) >> S_H1ANOFST) & M_H1ANOFST)
52696
52697#define S_T6_TMSCAL 8
52698#define M_T6_TMSCAL 0x3U
52699#define V_T6_TMSCAL(x) ((x) << S_T6_TMSCAL)
52700#define G_T6_TMSCAL(x) (((x) >> S_T6_TMSCAL) & M_T6_TMSCAL)
52701
52702#define S_T6_APADJ 7
52703#define V_T6_APADJ(x) ((x) << S_T6_APADJ)
52704#define F_T6_APADJ V_T6_APADJ(1U)
52705
52706#define S_T6_RSEL 6
52707#define V_T6_RSEL(x) ((x) << S_T6_RSEL)
52708#define F_T6_RSEL V_T6_RSEL(1U)
52709
52710#define S_T6_PHOFFS 0
52711#define M_T6_PHOFFS 0x3fU
52712#define V_T6_PHOFFS(x) ((x) << S_T6_PHOFFS)
52713#define G_T6_PHOFFS(x) (((x) >> S_T6_PHOFFS) & M_T6_PHOFFS)
52714
52715#define A_MAC_PORT_RX_LINKA_PHASE_ROTATOR_POSITION_1 0x3210
52716
52717#define S_ROT00 0
52718#define M_ROT00 0x3fU
52719#define V_ROT00(x) ((x) << S_ROT00)
52720#define G_ROT00(x) (((x) >> S_ROT00) & M_ROT00)
52721
52722#define S_ROTA 8
52723#define M_ROTA 0x3fU
52724#define V_ROTA(x) ((x) << S_ROTA)
52725#define G_ROTA(x) (((x) >> S_ROTA) & M_ROTA)
52726
52727#define S_ROTD 0
52728#define M_ROTD 0x3fU
52729#define V_ROTD(x) ((x) << S_ROTD)
52730#define G_ROTD(x) (((x) >> S_ROTD) & M_ROTD)
52731
52732#define A_MAC_PORT_RX_LINKA_PHASE_ROTATOR_POSITION_2 0x3214
52733
52734#define S_FREQFW 8
52735#define M_FREQFW 0xffU
52736#define V_FREQFW(x) ((x) << S_FREQFW)
52737#define G_FREQFW(x) (((x) >> S_FREQFW) & M_FREQFW)
52738
52739#define S_FWSNAP 7
52740#define V_FWSNAP(x) ((x) << S_FWSNAP)
52741#define F_FWSNAP V_FWSNAP(1U)
52742
52743#define S_ROTE 0
52744#define M_ROTE 0x3fU
52745#define V_ROTE(x) ((x) << S_ROTE)
52746#define G_ROTE(x) (((x) >> S_ROTE) & M_ROTE)
52747
52748#define A_MAC_PORT_RX_LINKA_PHASE_ROTATOR_STATIC_PHASE_OFFSET_1 0x3218
52749
52750#define S_RAOFFF 8
52751#define M_RAOFFF 0xfU
52752#define V_RAOFFF(x) ((x) << S_RAOFFF)
52753#define G_RAOFFF(x) (((x) >> S_RAOFFF) & M_RAOFFF)
52754
52755#define S_RAOFF 0
52756#define M_RAOFF 0x1fU
52757#define V_RAOFF(x) ((x) << S_RAOFF)
52758#define G_RAOFF(x) (((x) >> S_RAOFF) & M_RAOFF)
52759
52760#define A_MAC_PORT_RX_LINKA_PHASE_ROTATOR_STATIC_PHASE_OFFSET_2 0x321c
52761
52762#define S_RBOOFF 10
52763#define M_RBOOFF 0x1fU
52764#define V_RBOOFF(x) ((x) << S_RBOOFF)
52765#define G_RBOOFF(x) (((x) >> S_RBOOFF) & M_RBOOFF)
52766
52767#define S_RBEOFF 5
52768#define M_RBEOFF 0x1fU
52769#define V_RBEOFF(x) ((x) << S_RBEOFF)
52770#define G_RBEOFF(x) (((x) >> S_RBEOFF) & M_RBEOFF)
52771
52772#define A_MAC_PORT_RX_LINKA_DFE_CONTROL 0x3220
52773
52774#define S_T6_SPIFMT 8
52775#define M_T6_SPIFMT 0xfU
52776#define V_T6_SPIFMT(x) ((x) << S_T6_SPIFMT)
52777#define G_T6_SPIFMT(x) (((x) >> S_T6_SPIFMT) & M_T6_SPIFMT)
52778
52779#define A_MAC_PORT_RX_LINKA_DFE_SAMPLE_SNAPSHOT_1 0x3224
52780
52781#define S_T5BYTE1 8
52782#define M_T5BYTE1 0xffU
52783#define V_T5BYTE1(x) ((x) << S_T5BYTE1)
52784#define G_T5BYTE1(x) (((x) >> S_T5BYTE1) & M_T5BYTE1)
52785
52786#define S_T5BYTE0 0
52787#define M_T5BYTE0 0xffU
52788#define V_T5BYTE0(x) ((x) << S_T5BYTE0)
52789#define G_T5BYTE0(x) (((x) >> S_T5BYTE0) & M_T5BYTE0)
52790
52791#define A_MAC_PORT_RX_LINKA_DFE_SAMPLE_SNAPSHOT_2 0x3228
52792
52793#define S_T5_RX_SMODE 8
52794#define M_T5_RX_SMODE 0x7U
52795#define V_T5_RX_SMODE(x) ((x) << S_T5_RX_SMODE)
52796#define G_T5_RX_SMODE(x) (((x) >> S_T5_RX_SMODE) & M_T5_RX_SMODE)
52797
52798#define S_T5_RX_ADCORR 7
52799#define V_T5_RX_ADCORR(x) ((x) << S_T5_RX_ADCORR)
52800#define F_T5_RX_ADCORR V_T5_RX_ADCORR(1U)
52801
52802#define S_T5_RX_TRAINEN 6
52803#define V_T5_RX_TRAINEN(x) ((x) << S_T5_RX_TRAINEN)
52804#define F_T5_RX_TRAINEN V_T5_RX_TRAINEN(1U)
52805
52806#define S_T5_RX_ASAMPQ 3
52807#define M_T5_RX_ASAMPQ 0x7U
52808#define V_T5_RX_ASAMPQ(x) ((x) << S_T5_RX_ASAMPQ)
52809#define G_T5_RX_ASAMPQ(x) (((x) >> S_T5_RX_ASAMPQ) & M_T5_RX_ASAMPQ)
52810
52811#define S_T5_RX_ASAMP 0
52812#define M_T5_RX_ASAMP 0x7U
52813#define V_T5_RX_ASAMP(x) ((x) << S_T5_RX_ASAMP)
52814#define G_T5_RX_ASAMP(x) (((x) >> S_T5_RX_ASAMP) & M_T5_RX_ASAMP)
52815
52816#define S_REQWOV 15
52817#define V_REQWOV(x) ((x) << S_REQWOV)
52818#define F_REQWOV V_REQWOV(1U)
52819
52820#define S_RASEL 11
52821#define M_RASEL 0x7U
52822#define V_RASEL(x) ((x) << S_RASEL)
52823#define G_RASEL(x) (((x) >> S_RASEL) & M_RASEL)
52824
52825#define A_MAC_PORT_RX_LINKA_RECEIVER_VGA_CONTROL_1 0x322c
52826
52827#define S_T6_WRAPSEL 15
52828#define V_T6_WRAPSEL(x) ((x) << S_T6_WRAPSEL)
52829#define F_T6_WRAPSEL V_T6_WRAPSEL(1U)
52830
52831#define S_ACTL 14
52832#define V_ACTL(x) ((x) << S_ACTL)
52833#define F_ACTL V_ACTL(1U)
52834
52835#define S_T6_PEAK 9
52836#define M_T6_PEAK 0x1fU
52837#define V_T6_PEAK(x) ((x) << S_T6_PEAK)
52838#define G_T6_PEAK(x) (((x) >> S_T6_PEAK) & M_T6_PEAK)
52839
52840#define A_MAC_PORT_RX_LINKA_RECEIVER_VGA_CONTROL_2 0x3230
52841
52842#define S_T5SHORTV 10
52843#define V_T5SHORTV(x) ((x) << S_T5SHORTV)
52844#define F_T5SHORTV V_T5SHORTV(1U)
52845
52846#define S_T5VGAIN 0
52847#define M_T5VGAIN 0x1fU
52848#define V_T5VGAIN(x) ((x) << S_T5VGAIN)
52849#define G_T5VGAIN(x) (((x) >> S_T5VGAIN) & M_T5VGAIN)
52850
52851#define S_FVOFFSKP 15
52852#define V_FVOFFSKP(x) ((x) << S_FVOFFSKP)
52853#define F_FVOFFSKP V_FVOFFSKP(1U)
52854
52855#define S_FGAINCHK 14
52856#define V_FGAINCHK(x) ((x) << S_FGAINCHK)
52857#define F_FGAINCHK V_FGAINCHK(1U)
52858
52859#define S_FH1ACAL 13
52860#define V_FH1ACAL(x) ((x) << S_FH1ACAL)
52861#define F_FH1ACAL V_FH1ACAL(1U)
52862
52863#define S_FH1AFLTR 11
52864#define M_FH1AFLTR 0x3U
52865#define V_FH1AFLTR(x) ((x) << S_FH1AFLTR)
52866#define G_FH1AFLTR(x) (((x) >> S_FH1AFLTR) & M_FH1AFLTR)
52867
52868#define S_WGAIN 8
52869#define M_WGAIN 0x3U
52870#define V_WGAIN(x) ((x) << S_WGAIN)
52871#define G_WGAIN(x) (((x) >> S_WGAIN) & M_WGAIN)
52872
52873#define S_GAIN_STAT 7
52874#define V_GAIN_STAT(x) ((x) << S_GAIN_STAT)
52875#define F_GAIN_STAT V_GAIN_STAT(1U)
52876
52877#define S_T6_T5VGAIN 0
52878#define M_T6_T5VGAIN 0x7fU
52879#define V_T6_T5VGAIN(x) ((x) << S_T6_T5VGAIN)
52880#define G_T6_T5VGAIN(x) (((x) >> S_T6_T5VGAIN) & M_T6_T5VGAIN)
52881
52882#define A_MAC_PORT_RX_LINKA_RECEIVER_VGA_CONTROL_3 0x3234
52883#define A_MAC_PORT_RX_LINKA_RECEIVER_DQCC_CONTROL_1 0x3238
52884
52885#define S_IQSEP 10
52886#define M_IQSEP 0x1fU
52887#define V_IQSEP(x) ((x) << S_IQSEP)
52888#define G_IQSEP(x) (((x) >> S_IQSEP) & M_IQSEP)
52889
52890#define S_DUTYQ 5
52891#define M_DUTYQ 0x1fU
52892#define V_DUTYQ(x) ((x) << S_DUTYQ)
52893#define G_DUTYQ(x) (((x) >> S_DUTYQ) & M_DUTYQ)
52894
52895#define S_DUTYI 0
52896#define M_DUTYI 0x1fU
52897#define V_DUTYI(x) ((x) << S_DUTYI)
52898#define G_DUTYI(x) (((x) >> S_DUTYI) & M_DUTYI)
52899
52900#define A_MAC_PORT_RX_LINKA_RECEIVER_POWER_MANAGEMENT_CONTROL 0x3238
52901
52902#define S_PMCFG 6
52903#define M_PMCFG 0x3U
52904#define V_PMCFG(x) ((x) << S_PMCFG)
52905#define G_PMCFG(x) (((x) >> S_PMCFG) & M_PMCFG)
52906
52907#define S_PMOFFTIME 0
52908#define M_PMOFFTIME 0x3fU
52909#define V_PMOFFTIME(x) ((x) << S_PMOFFTIME)
52910#define G_PMOFFTIME(x) (((x) >> S_PMOFFTIME) & M_PMOFFTIME)
52911
52912#define A_MAC_PORT_RX_LINKA_RECEIVER_IQAMP_CONTROL_1 0x323c
52913
52914#define S_SELI 9
52915#define V_SELI(x) ((x) << S_SELI)
52916#define F_SELI V_SELI(1U)
52917
52918#define S_SERVREF 5
52919#define M_SERVREF 0x7U
52920#define V_SERVREF(x) ((x) << S_SERVREF)
52921#define G_SERVREF(x) (((x) >> S_SERVREF) & M_SERVREF)
52922
52923#define S_IQAMP 0
52924#define M_IQAMP 0x1fU
52925#define V_IQAMP(x) ((x) << S_IQAMP)
52926#define G_IQAMP(x) (((x) >> S_IQAMP) & M_IQAMP)
52927
52928#define A_MAC_PORT_RX_LINKA_RECEIVER_DQCC_CONTROL_3 0x3240
52929
52930#define S_DTHR 8
52931#define M_DTHR 0x3fU
52932#define V_DTHR(x) ((x) << S_DTHR)
52933#define G_DTHR(x) (((x) >> S_DTHR) & M_DTHR)
52934
52935#define S_SNUL 0
52936#define M_SNUL 0x1fU
52937#define V_SNUL(x) ((x) << S_SNUL)
52938#define G_SNUL(x) (((x) >> S_SNUL) & M_SNUL)
52939
52940#define A_MAC_PORT_RX_LINKA_RECEIVER_IQAMP_CONTROL_2 0x3240
52941#define A_MAC_PORT_RX_LINKA_RECEIVER_DACAP_AND_DACAN_SELECTION 0x3244
52942
52943#define S_SAVEADAC 8
52944#define V_SAVEADAC(x) ((x) << S_SAVEADAC)
52945#define F_SAVEADAC V_SAVEADAC(1U)
52946
52947#define S_LOAD2 7
52948#define V_LOAD2(x) ((x) << S_LOAD2)
52949#define F_LOAD2 V_LOAD2(1U)
52950
52951#define S_LOAD1 6
52952#define V_LOAD1(x) ((x) << S_LOAD1)
52953#define F_LOAD1 V_LOAD1(1U)
52954
52955#define S_WRTACC2 5
52956#define V_WRTACC2(x) ((x) << S_WRTACC2)
52957#define F_WRTACC2 V_WRTACC2(1U)
52958
52959#define S_WRTACC1 4
52960#define V_WRTACC1(x) ((x) << S_WRTACC1)
52961#define F_WRTACC1 V_WRTACC1(1U)
52962
52963#define S_SELAPAN 3
52964#define V_SELAPAN(x) ((x) << S_SELAPAN)
52965#define F_SELAPAN V_SELAPAN(1U)
52966
52967#define S_DASEL 0
52968#define M_DASEL 0x7U
52969#define V_DASEL(x) ((x) << S_DASEL)
52970#define G_DASEL(x) (((x) >> S_DASEL) & M_DASEL)
52971
52972#define A_MAC_PORT_RX_LINKA_RECEIVER_DACAP_AND_DACAN 0x3248
52973#define A_MAC_PORT_RX_LINKA_RECEIVER_DACA_MIN_AND_DACAZ 0x324c
52974#define A_MAC_PORT_RX_LINKA_RECEIVER_DACA_MIN 0x324c
52975#define A_MAC_PORT_RX_LINKA_RECEIVER_ADAC_CONTROL 0x3250
52976
52977#define S_ADSN_READWRITE 8
52978#define V_ADSN_READWRITE(x) ((x) << S_ADSN_READWRITE)
52979#define F_ADSN_READWRITE V_ADSN_READWRITE(1U)
52980
52981#define S_ADSN_READONLY 7
52982#define V_ADSN_READONLY(x) ((x) << S_ADSN_READONLY)
52983#define F_ADSN_READONLY V_ADSN_READONLY(1U)
52984
52985#define S_ADAC2 8
52986#define M_ADAC2 0xffU
52987#define V_ADAC2(x) ((x) << S_ADAC2)
52988#define G_ADAC2(x) (((x) >> S_ADAC2) & M_ADAC2)
52989
52990#define S_ADAC1 0
52991#define M_ADAC1 0xffU
52992#define V_ADAC1(x) ((x) << S_ADAC1)
52993#define G_ADAC1(x) (((x) >> S_ADAC1) & M_ADAC1)
52994
52995#define A_MAC_PORT_RX_LINKA_RECEIVER_AC_COUPLING_CONTROL 0x3254
52996
52997#define S_FACCPLDYN 13
52998#define V_FACCPLDYN(x) ((x) << S_FACCPLDYN)
52999#define F_FACCPLDYN V_FACCPLDYN(1U)
53000
53001#define S_ACCPLGAIN 10
53002#define M_ACCPLGAIN 0x7U
53003#define V_ACCPLGAIN(x) ((x) << S_ACCPLGAIN)
53004#define G_ACCPLGAIN(x) (((x) >> S_ACCPLGAIN) & M_ACCPLGAIN)
53005
53006#define S_ACCPLREF 8
53007#define M_ACCPLREF 0x3U
53008#define V_ACCPLREF(x) ((x) << S_ACCPLREF)
53009#define G_ACCPLREF(x) (((x) >> S_ACCPLREF) & M_ACCPLREF)
53010
53011#define S_ACCPLSTEP 6
53012#define M_ACCPLSTEP 0x3U
53013#define V_ACCPLSTEP(x) ((x) << S_ACCPLSTEP)
53014#define G_ACCPLSTEP(x) (((x) >> S_ACCPLSTEP) & M_ACCPLSTEP)
53015
53016#define S_ACCPLASTEP 1
53017#define M_ACCPLASTEP 0x1fU
53018#define V_ACCPLASTEP(x) ((x) << S_ACCPLASTEP)
53019#define G_ACCPLASTEP(x) (((x) >> S_ACCPLASTEP) & M_ACCPLASTEP)
53020
53021#define S_FACCPL 0
53022#define V_FACCPL(x) ((x) << S_FACCPL)
53023#define F_FACCPL V_FACCPL(1U)
53024
53025#define A_MAC_PORT_RX_LINKA_RECEIVER_AC_COUPLING_VALUE 0x3258
53026
53027#define S_ACCPLMEANS 15
53028#define V_ACCPLMEANS(x) ((x) << S_ACCPLMEANS)
53029#define F_ACCPLMEANS V_ACCPLMEANS(1U)
53030
53031#define S_CDROVREN 8
53032#define V_CDROVREN(x) ((x) << S_CDROVREN)
53033#define F_CDROVREN V_CDROVREN(1U)
53034
53035#define S_ACCPLBIAS 0
53036#define M_ACCPLBIAS 0xffU
53037#define V_ACCPLBIAS(x) ((x) << S_ACCPLBIAS)
53038#define G_ACCPLBIAS(x) (((x) >> S_ACCPLBIAS) & M_ACCPLBIAS)
53039
53040#define A_MAC_PORT_RX_LINKA_DFE_H1_LOCAL_OFFSET_ODD2_EVN2 0x325c
53041
53042#define S_H1O2 8
53043#define M_H1O2 0x3fU
53044#define V_H1O2(x) ((x) << S_H1O2)
53045#define G_H1O2(x) (((x) >> S_H1O2) & M_H1O2)
53046
53047#define S_H1E2 0
53048#define M_H1E2 0x3fU
53049#define V_H1E2(x) ((x) << S_H1E2)
53050#define G_H1E2(x) (((x) >> S_H1E2) & M_H1E2)
53051
53052#define A_MAC_PORT_RX_LINKA_DFE_H1H2H3_LOCAL_OFFSET 0x325c
53053
53054#define S_H123CH 0
53055#define M_H123CH 0x3fU
53056#define V_H123CH(x) ((x) << S_H123CH)
53057#define G_H123CH(x) (((x) >> S_H123CH) & M_H123CH)
53058
53059#define A_MAC_PORT_RX_LINKA_DFE_H1_LOCAL_OFFSET_ODD3_EVN3 0x3260
53060
53061#define S_H1O3 8
53062#define M_H1O3 0x3fU
53063#define V_H1O3(x) ((x) << S_H1O3)
53064#define G_H1O3(x) (((x) >> S_H1O3) & M_H1O3)
53065
53066#define S_H1E3 0
53067#define M_H1E3 0x3fU
53068#define V_H1E3(x) ((x) << S_H1E3)
53069#define G_H1E3(x) (((x) >> S_H1E3) & M_H1E3)
53070
53071#define A_MAC_PORT_RX_LINKA_DFE_H1H2H3_LOCAL_OFFSET_VALUE 0x3260
53072
53073#define S_H1OX 8
53074#define M_H1OX 0x3fU
53075#define V_H1OX(x) ((x) << S_H1OX)
53076#define G_H1OX(x) (((x) >> S_H1OX) & M_H1OX)
53077
53078#define S_H1EX 0
53079#define M_H1EX 0x3fU
53080#define V_H1EX(x) ((x) << S_H1EX)
53081#define G_H1EX(x) (((x) >> S_H1EX) & M_H1EX)
53082
53083#define A_MAC_PORT_RX_LINKA_DFE_H1_LOCAL_OFFSET_ODD4_EVN4 0x3264
53084
53085#define S_H1O4 8
53086#define M_H1O4 0x3fU
53087#define V_H1O4(x) ((x) << S_H1O4)
53088#define G_H1O4(x) (((x) >> S_H1O4) & M_H1O4)
53089
53090#define S_H1E4 0
53091#define M_H1E4 0x3fU
53092#define V_H1E4(x) ((x) << S_H1E4)
53093#define G_H1E4(x) (((x) >> S_H1E4) & M_H1E4)
53094
53095#define A_MAC_PORT_RX_LINKA_PEAKED_INTEGRATOR 0x3264
53096
53097#define S_PILOCK 10
53098#define V_PILOCK(x) ((x) << S_PILOCK)
53099#define F_PILOCK V_PILOCK(1U)
53100
53101#define S_UNPKPKA 2
53102#define M_UNPKPKA 0x3fU
53103#define V_UNPKPKA(x) ((x) << S_UNPKPKA)
53104#define G_UNPKPKA(x) (((x) >> S_UNPKPKA) & M_UNPKPKA)
53105
53106#define S_UNPKVGA 0
53107#define M_UNPKVGA 0x3U
53108#define V_UNPKVGA(x) ((x) << S_UNPKVGA)
53109#define G_UNPKVGA(x) (((x) >> S_UNPKVGA) & M_UNPKVGA)
53110
53111#define A_MAC_PORT_RX_LINKA_CDR_ANALOG_SWITCH 0x3268
53112
53113#define S_OVRAC 15
53114#define V_OVRAC(x) ((x) << S_OVRAC)
53115#define F_OVRAC V_OVRAC(1U)
53116
53117#define S_OVRPK 14
53118#define V_OVRPK(x) ((x) << S_OVRPK)
53119#define F_OVRPK V_OVRPK(1U)
53120
53121#define S_OVRTAILS 12
53122#define M_OVRTAILS 0x3U
53123#define V_OVRTAILS(x) ((x) << S_OVRTAILS)
53124#define G_OVRTAILS(x) (((x) >> S_OVRTAILS) & M_OVRTAILS)
53125
53126#define S_OVRTAILV 9
53127#define M_OVRTAILV 0x7U
53128#define V_OVRTAILV(x) ((x) << S_OVRTAILV)
53129#define G_OVRTAILV(x) (((x) >> S_OVRTAILV) & M_OVRTAILV)
53130
53131#define S_OVRCAP 8
53132#define V_OVRCAP(x) ((x) << S_OVRCAP)
53133#define F_OVRCAP V_OVRCAP(1U)
53134
53135#define S_OVRDCDPRE 7
53136#define V_OVRDCDPRE(x) ((x) << S_OVRDCDPRE)
53137#define F_OVRDCDPRE V_OVRDCDPRE(1U)
53138
53139#define S_OVRDCDPST 6
53140#define V_OVRDCDPST(x) ((x) << S_OVRDCDPST)
53141#define F_OVRDCDPST V_OVRDCDPST(1U)
53142
53143#define S_DCVSCTMODE 2
53144#define V_DCVSCTMODE(x) ((x) << S_DCVSCTMODE)
53145#define F_DCVSCTMODE V_DCVSCTMODE(1U)
53146
53147#define S_CDRANLGSW 0
53148#define M_CDRANLGSW 0x3U
53149#define V_CDRANLGSW(x) ((x) << S_CDRANLGSW)
53150#define G_CDRANLGSW(x) (((x) >> S_CDRANLGSW) & M_CDRANLGSW)
53151
53152#define A_MAC_PORT_RX_LINKA_PEAKING_AMPLIFIER_INTIALIZATION_CONTROL 0x326c
53153
53154#define S_PFLAG 5
53155#define M_PFLAG 0x3U
53156#define V_PFLAG(x) ((x) << S_PFLAG)
53157#define G_PFLAG(x) (((x) >> S_PFLAG) & M_PFLAG)
53158
53159#define A_MAC_PORT_RX_LINKA_DYNAMIC_AMPLITUDE_CENTERING_DAC_AND_DYNAMIC_PEAKING_CONTROL_DPC 0x3270
53160
53161#define S_DPCMD 14
53162#define V_DPCMD(x) ((x) << S_DPCMD)
53163#define F_DPCMD V_DPCMD(1U)
53164
53165#define S_DACCLIP 15
53166#define V_DACCLIP(x) ((x) << S_DACCLIP)
53167#define F_DACCLIP V_DACCLIP(1U)
53168
53169#define S_DPCFRZ 14
53170#define V_DPCFRZ(x) ((x) << S_DPCFRZ)
53171#define F_DPCFRZ V_DPCFRZ(1U)
53172
53173#define S_DPCLKNQ 11
53174#define V_DPCLKNQ(x) ((x) << S_DPCLKNQ)
53175#define F_DPCLKNQ V_DPCLKNQ(1U)
53176
53177#define S_DPCWDFE 10
53178#define V_DPCWDFE(x) ((x) << S_DPCWDFE)
53179#define F_DPCWDFE V_DPCWDFE(1U)
53180
53181#define S_DPCWPK 9
53182#define V_DPCWPK(x) ((x) << S_DPCWPK)
53183#define F_DPCWPK V_DPCWPK(1U)
53184
53185#define A_MAC_PORT_RX_LINKA_DYNAMIC_DATA_CENTERING_DDC 0x3274
53186
53187#define S_VIEWSCAN 4
53188#define V_VIEWSCAN(x) ((x) << S_VIEWSCAN)
53189#define F_VIEWSCAN V_VIEWSCAN(1U)
53190
53191#define S_T6_ODEC 0
53192#define M_T6_ODEC 0xfU
53193#define V_T6_ODEC(x) ((x) << S_T6_ODEC)
53194#define G_T6_ODEC(x) (((x) >> S_T6_ODEC) & M_T6_ODEC)
53195
53196#define A_MAC_PORT_RX_LINKA_RECEIVER_INTERNAL_STATUS 0x3278
53197
53198#define S_T5BER6VAL 15
53199#define V_T5BER6VAL(x) ((x) << S_T5BER6VAL)
53200#define F_T5BER6VAL V_T5BER6VAL(1U)
53201
53202#define S_T5BER6 14
53203#define V_T5BER6(x) ((x) << S_T5BER6)
53204#define F_T5BER6 V_T5BER6(1U)
53205
53206#define S_T5BER3VAL 13
53207#define V_T5BER3VAL(x) ((x) << S_T5BER3VAL)
53208#define F_T5BER3VAL V_T5BER3VAL(1U)
53209
53210#define S_T5TOOFAST 12
53211#define V_T5TOOFAST(x) ((x) << S_T5TOOFAST)
53212#define F_T5TOOFAST V_T5TOOFAST(1U)
53213
53214#define S_T5DPCCMP 9
53215#define V_T5DPCCMP(x) ((x) << S_T5DPCCMP)
53216#define F_T5DPCCMP V_T5DPCCMP(1U)
53217
53218#define S_T5DACCMP 8
53219#define V_T5DACCMP(x) ((x) << S_T5DACCMP)
53220#define F_T5DACCMP V_T5DACCMP(1U)
53221
53222#define S_T5DDCCMP 7
53223#define V_T5DDCCMP(x) ((x) << S_T5DDCCMP)
53224#define F_T5DDCCMP V_T5DDCCMP(1U)
53225
53226#define S_T5AERRFLG 6
53227#define V_T5AERRFLG(x) ((x) << S_T5AERRFLG)
53228#define F_T5AERRFLG V_T5AERRFLG(1U)
53229
53230#define S_T5WERRFLG 5
53231#define V_T5WERRFLG(x) ((x) << S_T5WERRFLG)
53232#define F_T5WERRFLG V_T5WERRFLG(1U)
53233
53234#define S_T5TRCMP 4
53235#define V_T5TRCMP(x) ((x) << S_T5TRCMP)
53236#define F_T5TRCMP V_T5TRCMP(1U)
53237
53238#define S_T5VLCKF 3
53239#define V_T5VLCKF(x) ((x) << S_T5VLCKF)
53240#define F_T5VLCKF V_T5VLCKF(1U)
53241
53242#define S_T5ROCCMP 2
53243#define V_T5ROCCMP(x) ((x) << S_T5ROCCMP)
53244#define F_T5ROCCMP V_T5ROCCMP(1U)
53245
53246#define S_T5DQCCCMP 1
53247#define V_T5DQCCCMP(x) ((x) << S_T5DQCCCMP)
53248#define F_T5DQCCCMP V_T5DQCCCMP(1U)
53249
53250#define S_T5OCCMP 0
53251#define V_T5OCCMP(x) ((x) << S_T5OCCMP)
53252#define F_T5OCCMP V_T5OCCMP(1U)
53253
53254#define S_RX_LINKA_ACCCMP_RIS 11
53255#define V_RX_LINKA_ACCCMP_RIS(x) ((x) << S_RX_LINKA_ACCCMP_RIS)
53256#define F_RX_LINKA_ACCCMP_RIS V_RX_LINKA_ACCCMP_RIS(1U)
53257
53258#define S_DCCCMP 10
53259#define V_DCCCMP(x) ((x) << S_DCCCMP)
53260#define F_DCCCMP V_DCCCMP(1U)
53261
53262#define S_T5IQCMP 1
53263#define V_T5IQCMP(x) ((x) << S_T5IQCMP)
53264#define F_T5IQCMP V_T5IQCMP(1U)
53265
53266#define A_MAC_PORT_RX_LINKA_DFE_FUNCTION_CONTROL_1 0x327c
53267
53268#define S_FLOFF 1
53269#define V_FLOFF(x) ((x) << S_FLOFF)
53270#define F_FLOFF V_FLOFF(1U)
53271
53272#define A_MAC_PORT_RX_LINKA_DFE_FUNCTION_CONTROL_2 0x3280
53273
53274#define S_H25SPC 15
53275#define V_H25SPC(x) ((x) << S_H25SPC)
53276#define F_H25SPC V_H25SPC(1U)
53277
53278#define S_FTOOFAST 8
53279#define V_FTOOFAST(x) ((x) << S_FTOOFAST)
53280#define F_FTOOFAST V_FTOOFAST(1U)
53281
53282#define S_FINTTRIM 7
53283#define V_FINTTRIM(x) ((x) << S_FINTTRIM)
53284#define F_FINTTRIM V_FINTTRIM(1U)
53285
53286#define S_FDINV 6
53287#define V_FDINV(x) ((x) << S_FDINV)
53288#define F_FDINV V_FDINV(1U)
53289
53290#define S_FHGS 5
53291#define V_FHGS(x) ((x) << S_FHGS)
53292#define F_FHGS V_FHGS(1U)
53293
53294#define S_FH6H12 4
53295#define V_FH6H12(x) ((x) << S_FH6H12)
53296#define F_FH6H12 V_FH6H12(1U)
53297
53298#define S_FH1CAL 3
53299#define V_FH1CAL(x) ((x) << S_FH1CAL)
53300#define F_FH1CAL V_FH1CAL(1U)
53301
53302#define S_FINTCAL 2
53303#define V_FINTCAL(x) ((x) << S_FINTCAL)
53304#define F_FINTCAL V_FINTCAL(1U)
53305
53306#define S_FDCA 1
53307#define V_FDCA(x) ((x) << S_FDCA)
53308#define F_FDCA V_FDCA(1U)
53309
53310#define S_FDQCC 0
53311#define V_FDQCC(x) ((x) << S_FDQCC)
53312#define F_FDQCC V_FDQCC(1U)
53313
53314#define S_FDCCAL 14
53315#define V_FDCCAL(x) ((x) << S_FDCCAL)
53316#define F_FDCCAL V_FDCCAL(1U)
53317
53318#define S_FROTCAL 13
53319#define V_FROTCAL(x) ((x) << S_FROTCAL)
53320#define F_FROTCAL V_FROTCAL(1U)
53321
53322#define S_FIQAMP 12
53323#define V_FIQAMP(x) ((x) << S_FIQAMP)
53324#define F_FIQAMP V_FIQAMP(1U)
53325
53326#define S_FRPTCALF 11
53327#define V_FRPTCALF(x) ((x) << S_FRPTCALF)
53328#define F_FRPTCALF V_FRPTCALF(1U)
53329
53330#define S_FINTCALGS 10
53331#define V_FINTCALGS(x) ((x) << S_FINTCALGS)
53332#define F_FINTCALGS V_FINTCALGS(1U)
53333
53334#define S_FDCC 9
53335#define V_FDCC(x) ((x) << S_FDCC)
53336#define F_FDCC V_FDCC(1U)
53337
53338#define S_FDCD 7
53339#define V_FDCD(x) ((x) << S_FDCD)
53340#define F_FDCD V_FDCD(1U)
53341
53342#define S_FINTRCALDYN 1
53343#define V_FINTRCALDYN(x) ((x) << S_FINTRCALDYN)
53344#define F_FINTRCALDYN V_FINTRCALDYN(1U)
53345
53346#define S_FQCC 0
53347#define V_FQCC(x) ((x) << S_FQCC)
53348#define F_FQCC V_FQCC(1U)
53349
53350#define A_MAC_PORT_RX_LINKA_DFE_OFFSET_EVN1_EVN2 0x3284
53351
53352#define S_LOFE2S_READWRITE 16
53353#define V_LOFE2S_READWRITE(x) ((x) << S_LOFE2S_READWRITE)
53354#define F_LOFE2S_READWRITE V_LOFE2S_READWRITE(1U)
53355
53356#define S_LOFE2S_READONLY 14
53357#define M_LOFE2S_READONLY 0x3U
53358#define V_LOFE2S_READONLY(x) ((x) << S_LOFE2S_READONLY)
53359#define G_LOFE2S_READONLY(x) (((x) >> S_LOFE2S_READONLY) & M_LOFE2S_READONLY)
53360
53361#define S_LOFE2 8
53362#define M_LOFE2 0x3fU
53363#define V_LOFE2(x) ((x) << S_LOFE2)
53364#define G_LOFE2(x) (((x) >> S_LOFE2) & M_LOFE2)
53365
53366#define S_LOFE1S_READWRITE 7
53367#define V_LOFE1S_READWRITE(x) ((x) << S_LOFE1S_READWRITE)
53368#define F_LOFE1S_READWRITE V_LOFE1S_READWRITE(1U)
53369
53370#define S_LOFE1S_READONLY 6
53371#define V_LOFE1S_READONLY(x) ((x) << S_LOFE1S_READONLY)
53372#define F_LOFE1S_READONLY V_LOFE1S_READONLY(1U)
53373
53374#define S_LOFE1 0
53375#define M_LOFE1 0x3fU
53376#define V_LOFE1(x) ((x) << S_LOFE1)
53377#define G_LOFE1(x) (((x) >> S_LOFE1) & M_LOFE1)
53378
53379#define A_MAC_PORT_RX_LINKA_DFE_OFFSET_CHANNEL 0x3284
53380
53381#define S_QCCIND 13
53382#define V_QCCIND(x) ((x) << S_QCCIND)
53383#define F_QCCIND V_QCCIND(1U)
53384
53385#define S_DCDIND 10
53386#define M_DCDIND 0x7U
53387#define V_DCDIND(x) ((x) << S_DCDIND)
53388#define G_DCDIND(x) (((x) >> S_DCDIND) & M_DCDIND)
53389
53390#define S_DCCIND 8
53391#define M_DCCIND 0x3U
53392#define V_DCCIND(x) ((x) << S_DCCIND)
53393#define G_DCCIND(x) (((x) >> S_DCCIND) & M_DCCIND)
53394
53395#define S_CFSEL 5
53396#define V_CFSEL(x) ((x) << S_CFSEL)
53397#define F_CFSEL V_CFSEL(1U)
53398
53399#define S_LOFCH 0
53400#define M_LOFCH 0x1fU
53401#define V_LOFCH(x) ((x) << S_LOFCH)
53402#define G_LOFCH(x) (((x) >> S_LOFCH) & M_LOFCH)
53403
53404#define A_MAC_PORT_RX_LINKA_DFE_OFFSET_ODD1_ODD2 0x3288
53405
53406#define S_LOFO2S_READWRITE 15
53407#define V_LOFO2S_READWRITE(x) ((x) << S_LOFO2S_READWRITE)
53408#define F_LOFO2S_READWRITE V_LOFO2S_READWRITE(1U)
53409
53410#define S_LOFO2S_READONLY 14
53411#define V_LOFO2S_READONLY(x) ((x) << S_LOFO2S_READONLY)
53412#define F_LOFO2S_READONLY V_LOFO2S_READONLY(1U)
53413
53414#define S_LOFO2 8
53415#define M_LOFO2 0x3fU
53416#define V_LOFO2(x) ((x) << S_LOFO2)
53417#define G_LOFO2(x) (((x) >> S_LOFO2) & M_LOFO2)
53418
53419#define S_LOFO1S_READWRITE 7
53420#define V_LOFO1S_READWRITE(x) ((x) << S_LOFO1S_READWRITE)
53421#define F_LOFO1S_READWRITE V_LOFO1S_READWRITE(1U)
53422
53423#define S_LOFO1S_READONLY 6
53424#define V_LOFO1S_READONLY(x) ((x) << S_LOFO1S_READONLY)
53425#define F_LOFO1S_READONLY V_LOFO1S_READONLY(1U)
53426
53427#define S_LOFO1 0
53428#define M_LOFO1 0x3fU
53429#define V_LOFO1(x) ((x) << S_LOFO1)
53430#define G_LOFO1(x) (((x) >> S_LOFO1) & M_LOFO1)
53431
53432#define A_MAC_PORT_RX_LINKA_DFE_OFFSET_VALUE 0x3288
53433
53434#define S_LOFU 8
53435#define M_LOFU 0x7fU
53436#define V_LOFU(x) ((x) << S_LOFU)
53437#define G_LOFU(x) (((x) >> S_LOFU) & M_LOFU)
53438
53439#define S_LOFL 0
53440#define M_LOFL 0x7fU
53441#define V_LOFL(x) ((x) << S_LOFL)
53442#define G_LOFL(x) (((x) >> S_LOFL) & M_LOFL)
53443
53444#define A_MAC_PORT_RX_LINKA_DFE_OFFSET_EVN3_EVN4 0x328c
53445
53446#define S_LOFE4S_READWRITE 15
53447#define V_LOFE4S_READWRITE(x) ((x) << S_LOFE4S_READWRITE)
53448#define F_LOFE4S_READWRITE V_LOFE4S_READWRITE(1U)
53449
53450#define S_LOFE4S_READONLY 14
53451#define V_LOFE4S_READONLY(x) ((x) << S_LOFE4S_READONLY)
53452#define F_LOFE4S_READONLY V_LOFE4S_READONLY(1U)
53453
53454#define S_LOFE 8
53455#define M_LOFE 0x3fU
53456#define V_LOFE(x) ((x) << S_LOFE)
53457#define G_LOFE(x) (((x) >> S_LOFE) & M_LOFE)
53458
53459#define S_LOFE3S_READWRITE 7
53460#define V_LOFE3S_READWRITE(x) ((x) << S_LOFE3S_READWRITE)
53461#define F_LOFE3S_READWRITE V_LOFE3S_READWRITE(1U)
53462
53463#define S_LOFE3S_READONLY 6
53464#define V_LOFE3S_READONLY(x) ((x) << S_LOFE3S_READONLY)
53465#define F_LOFE3S_READONLY V_LOFE3S_READONLY(1U)
53466
53467#define S_LOFE3 0
53468#define M_LOFE3 0x3fU
53469#define V_LOFE3(x) ((x) << S_LOFE3)
53470#define G_LOFE3(x) (((x) >> S_LOFE3) & M_LOFE3)
53471
53472#define A_MAC_PORT_RX_LINKA_H_COEFFICIENBT_BIST 0x328c
53473
53474#define S_HBISTMAN 12
53475#define V_HBISTMAN(x) ((x) << S_HBISTMAN)
53476#define F_HBISTMAN V_HBISTMAN(1U)
53477
53478#define S_HBISTRES 11
53479#define V_HBISTRES(x) ((x) << S_HBISTRES)
53480#define F_HBISTRES V_HBISTRES(1U)
53481
53482#define S_HBISTSP 8
53483#define M_HBISTSP 0x7U
53484#define V_HBISTSP(x) ((x) << S_HBISTSP)
53485#define G_HBISTSP(x) (((x) >> S_HBISTSP) & M_HBISTSP)
53486
53487#define S_HBISTEN 7
53488#define V_HBISTEN(x) ((x) << S_HBISTEN)
53489#define F_HBISTEN V_HBISTEN(1U)
53490
53491#define S_HBISTRST 6
53492#define V_HBISTRST(x) ((x) << S_HBISTRST)
53493#define F_HBISTRST V_HBISTRST(1U)
53494
53495#define S_HCOMP 5
53496#define V_HCOMP(x) ((x) << S_HCOMP)
53497#define F_HCOMP V_HCOMP(1U)
53498
53499#define S_HPASS 4
53500#define V_HPASS(x) ((x) << S_HPASS)
53501#define F_HPASS V_HPASS(1U)
53502
53503#define S_HSEL 0
53504#define M_HSEL 0xfU
53505#define V_HSEL(x) ((x) << S_HSEL)
53506#define G_HSEL(x) (((x) >> S_HSEL) & M_HSEL)
53507
53508#define A_MAC_PORT_RX_LINKA_DFE_OFFSET_ODD3_ODD4 0x3290
53509
53510#define S_LOFO4S_READWRITE 15
53511#define V_LOFO4S_READWRITE(x) ((x) << S_LOFO4S_READWRITE)
53512#define F_LOFO4S_READWRITE V_LOFO4S_READWRITE(1U)
53513
53514#define S_LOFO4S_READONLY 14
53515#define V_LOFO4S_READONLY(x) ((x) << S_LOFO4S_READONLY)
53516#define F_LOFO4S_READONLY V_LOFO4S_READONLY(1U)
53517
53518#define S_LOFO4 8
53519#define M_LOFO4 0x3fU
53520#define V_LOFO4(x) ((x) << S_LOFO4)
53521#define G_LOFO4(x) (((x) >> S_LOFO4) & M_LOFO4)
53522
53523#define S_LOFO3S_READWRITE 7
53524#define V_LOFO3S_READWRITE(x) ((x) << S_LOFO3S_READWRITE)
53525#define F_LOFO3S_READWRITE V_LOFO3S_READWRITE(1U)
53526
53527#define S_LOFO3S_READONLY 6
53528#define V_LOFO3S_READONLY(x) ((x) << S_LOFO3S_READONLY)
53529#define F_LOFO3S_READONLY V_LOFO3S_READONLY(1U)
53530
53531#define S_LOFO3 0
53532#define M_LOFO3 0x3fU
53533#define V_LOFO3(x) ((x) << S_LOFO3)
53534#define G_LOFO3(x) (((x) >> S_LOFO3) & M_LOFO3)
53535
53536#define A_MAC_PORT_RX_LINKA_AC_CAPACITOR_BIST 0x3290
53537
53538#define S_RX_LINKA_ACCCMP_BIST 13
53539#define V_RX_LINKA_ACCCMP_BIST(x) ((x) << S_RX_LINKA_ACCCMP_BIST)
53540#define F_RX_LINKA_ACCCMP_BIST V_RX_LINKA_ACCCMP_BIST(1U)
53541
53542#define S_ACCEN 12
53543#define V_ACCEN(x) ((x) << S_ACCEN)
53544#define F_ACCEN V_ACCEN(1U)
53545
53546#define S_ACCRST 11
53547#define V_ACCRST(x) ((x) << S_ACCRST)
53548#define F_ACCRST V_ACCRST(1U)
53549
53550#define S_ACCIND 8
53551#define M_ACCIND 0x7U
53552#define V_ACCIND(x) ((x) << S_ACCIND)
53553#define G_ACCIND(x) (((x) >> S_ACCIND) & M_ACCIND)
53554
53555#define S_ACCRD 0
53556#define M_ACCRD 0xffU
53557#define V_ACCRD(x) ((x) << S_ACCRD)
53558#define G_ACCRD(x) (((x) >> S_ACCRD) & M_ACCRD)
53559
53560#define A_MAC_PORT_RX_LINKA_DFE_E0_AND_E1_OFFSET 0x3294
53561
53562#define S_T5E1SN_READWRITE 15
53563#define V_T5E1SN_READWRITE(x) ((x) << S_T5E1SN_READWRITE)
53564#define F_T5E1SN_READWRITE V_T5E1SN_READWRITE(1U)
53565
53566#define S_T5E1SN_READONLY 14
53567#define V_T5E1SN_READONLY(x) ((x) << S_T5E1SN_READONLY)
53568#define F_T5E1SN_READONLY V_T5E1SN_READONLY(1U)
53569
53570#define S_T5E1AMP 8
53571#define M_T5E1AMP 0x3fU
53572#define V_T5E1AMP(x) ((x) << S_T5E1AMP)
53573#define G_T5E1AMP(x) (((x) >> S_T5E1AMP) & M_T5E1AMP)
53574
53575#define S_T5E0SN_READWRITE 7
53576#define V_T5E0SN_READWRITE(x) ((x) << S_T5E0SN_READWRITE)
53577#define F_T5E0SN_READWRITE V_T5E0SN_READWRITE(1U)
53578
53579#define S_T5E0SN_READONLY 6
53580#define V_T5E0SN_READONLY(x) ((x) << S_T5E0SN_READONLY)
53581#define F_T5E0SN_READONLY V_T5E0SN_READONLY(1U)
53582
53583#define S_T5E0AMP 0
53584#define M_T5E0AMP 0x3fU
53585#define V_T5E0AMP(x) ((x) << S_T5E0AMP)
53586#define G_T5E0AMP(x) (((x) >> S_T5E0AMP) & M_T5E0AMP)
53587
53588#define A_MAC_PORT_RX_LINKA_RECEIVER_LOFF_CONTROL 0x3298
53589
53590#define S_T5LFREG 12
53591#define V_T5LFREG(x) ((x) << S_T5LFREG)
53592#define F_T5LFREG V_T5LFREG(1U)
53593
53594#define S_T5LFRC 11
53595#define V_T5LFRC(x) ((x) << S_T5LFRC)
53596#define F_T5LFRC V_T5LFRC(1U)
53597
53598#define S_T5LFSEL 8
53599#define M_T5LFSEL 0x7U
53600#define V_T5LFSEL(x) ((x) << S_T5LFSEL)
53601#define G_T5LFSEL(x) (((x) >> S_T5LFSEL) & M_T5LFSEL)
53602
53603#define A_MAC_PORT_RX_LINKA_RECEIVER_LOFF_CONTROL_REGISTER 0x3298
53604
53605#define S_LFREG 15
53606#define V_LFREG(x) ((x) << S_LFREG)
53607#define F_LFREG V_LFREG(1U)
53608
53609#define S_LFRC 14
53610#define V_LFRC(x) ((x) << S_LFRC)
53611#define F_LFRC V_LFRC(1U)
53612
53613#define S_LGIDLE 13
53614#define V_LGIDLE(x) ((x) << S_LGIDLE)
53615#define F_LGIDLE V_LGIDLE(1U)
53616
53617#define S_LFTGT 8
53618#define M_LFTGT 0x1fU
53619#define V_LFTGT(x) ((x) << S_LFTGT)
53620#define G_LFTGT(x) (((x) >> S_LFTGT) & M_LFTGT)
53621
53622#define S_LGTGT 7
53623#define V_LGTGT(x) ((x) << S_LGTGT)
53624#define F_LGTGT V_LGTGT(1U)
53625
53626#define S_LRDY 6
53627#define V_LRDY(x) ((x) << S_LRDY)
53628#define F_LRDY V_LRDY(1U)
53629
53630#define S_LIDLE 5
53631#define V_LIDLE(x) ((x) << S_LIDLE)
53632#define F_LIDLE V_LIDLE(1U)
53633
53634#define S_LCURR 0
53635#define M_LCURR 0x1fU
53636#define V_LCURR(x) ((x) << S_LCURR)
53637#define G_LCURR(x) (((x) >> S_LCURR) & M_LCURR)
53638
53639#define A_MAC_PORT_RX_LINKA_RECEIVER_SIGDET_CONTROL 0x329c
53640
53641#define S_OFFSN_READWRITE 14
53642#define V_OFFSN_READWRITE(x) ((x) << S_OFFSN_READWRITE)
53643#define F_OFFSN_READWRITE V_OFFSN_READWRITE(1U)
53644
53645#define S_OFFSN_READONLY 13
53646#define V_OFFSN_READONLY(x) ((x) << S_OFFSN_READONLY)
53647#define F_OFFSN_READONLY V_OFFSN_READONLY(1U)
53648
53649#define S_OFFAMP 8
53650#define M_OFFAMP 0x1fU
53651#define V_OFFAMP(x) ((x) << S_OFFAMP)
53652#define G_OFFAMP(x) (((x) >> S_OFFAMP) & M_OFFAMP)
53653
53654#define S_SDACDC 7
53655#define V_SDACDC(x) ((x) << S_SDACDC)
53656#define F_SDACDC V_SDACDC(1U)
53657
53658#define S_OFFSN 13
53659#define M_OFFSN 0x3U
53660#define V_OFFSN(x) ((x) << S_OFFSN)
53661#define G_OFFSN(x) (((x) >> S_OFFSN) & M_OFFSN)
53662
53663#define A_MAC_PORT_RX_LINKA_RECEIVER_ANALOG_CONTROL_SWITCH 0x32a0
53664
53665#define S_T5_RX_SETHDIS 7
53666#define V_T5_RX_SETHDIS(x) ((x) << S_T5_RX_SETHDIS)
53667#define F_T5_RX_SETHDIS V_T5_RX_SETHDIS(1U)
53668
53669#define S_T5_RX_PDTERM 6
53670#define V_T5_RX_PDTERM(x) ((x) << S_T5_RX_PDTERM)
53671#define F_T5_RX_PDTERM V_T5_RX_PDTERM(1U)
53672
53673#define S_T5_RX_BYPASS 5
53674#define V_T5_RX_BYPASS(x) ((x) << S_T5_RX_BYPASS)
53675#define F_T5_RX_BYPASS V_T5_RX_BYPASS(1U)
53676
53677#define S_T5_RX_LPFEN 4
53678#define V_T5_RX_LPFEN(x) ((x) << S_T5_RX_LPFEN)
53679#define F_T5_RX_LPFEN V_T5_RX_LPFEN(1U)
53680
53681#define S_T5_RX_VGABOD 3
53682#define V_T5_RX_VGABOD(x) ((x) << S_T5_RX_VGABOD)
53683#define F_T5_RX_VGABOD V_T5_RX_VGABOD(1U)
53684
53685#define S_T5_RX_VTBYP 2
53686#define V_T5_RX_VTBYP(x) ((x) << S_T5_RX_VTBYP)
53687#define F_T5_RX_VTBYP V_T5_RX_VTBYP(1U)
53688
53689#define S_T5_RX_VTERM 0
53690#define M_T5_RX_VTERM 0x3U
53691#define V_T5_RX_VTERM(x) ((x) << S_T5_RX_VTERM)
53692#define G_T5_RX_VTERM(x) (((x) >> S_T5_RX_VTERM) & M_T5_RX_VTERM)
53693
53694#define S_RX_OVRSUMPD 15
53695#define V_RX_OVRSUMPD(x) ((x) << S_RX_OVRSUMPD)
53696#define F_RX_OVRSUMPD V_RX_OVRSUMPD(1U)
53697
53698#define S_RX_OVRKBPD 14
53699#define V_RX_OVRKBPD(x) ((x) << S_RX_OVRKBPD)
53700#define F_RX_OVRKBPD V_RX_OVRKBPD(1U)
53701
53702#define S_RX_OVRDIVPD 13
53703#define V_RX_OVRDIVPD(x) ((x) << S_RX_OVRDIVPD)
53704#define F_RX_OVRDIVPD V_RX_OVRDIVPD(1U)
53705
53706#define S_RX_OFFVGADIS 12
53707#define V_RX_OFFVGADIS(x) ((x) << S_RX_OFFVGADIS)
53708#define F_RX_OFFVGADIS V_RX_OFFVGADIS(1U)
53709
53710#define S_RX_OFFACDIS 11
53711#define V_RX_OFFACDIS(x) ((x) << S_RX_OFFACDIS)
53712#define F_RX_OFFACDIS V_RX_OFFACDIS(1U)
53713
53714#define S_RX_VTERM 10
53715#define V_RX_VTERM(x) ((x) << S_RX_VTERM)
53716#define F_RX_VTERM V_RX_VTERM(1U)
53717
53718#define S_RX_DISSPY2D 8
53719#define V_RX_DISSPY2D(x) ((x) << S_RX_DISSPY2D)
53720#define F_RX_DISSPY2D V_RX_DISSPY2D(1U)
53721
53722#define S_RX_OBSOVEN 7
53723#define V_RX_OBSOVEN(x) ((x) << S_RX_OBSOVEN)
53724#define F_RX_OBSOVEN V_RX_OBSOVEN(1U)
53725
53726#define S_RX_LINKANLGSW 0
53727#define M_RX_LINKANLGSW 0x7fU
53728#define V_RX_LINKANLGSW(x) ((x) << S_RX_LINKANLGSW)
53729#define G_RX_LINKANLGSW(x) (((x) >> S_RX_LINKANLGSW) & M_RX_LINKANLGSW)
53730
53731#define A_MAC_PORT_RX_LINKA_INTEGRATOR_DAC_OFFSET 0x32a4
53732
53733#define S_ISTRIMS 14
53734#define M_ISTRIMS 0x3U
53735#define V_ISTRIMS(x) ((x) << S_ISTRIMS)
53736#define G_ISTRIMS(x) (((x) >> S_ISTRIMS) & M_ISTRIMS)
53737
53738#define S_ISTRIM 8
53739#define M_ISTRIM 0x3fU
53740#define V_ISTRIM(x) ((x) << S_ISTRIM)
53741#define G_ISTRIM(x) (((x) >> S_ISTRIM) & M_ISTRIM)
53742
53743#define S_HALF1 7
53744#define V_HALF1(x) ((x) << S_HALF1)
53745#define F_HALF1 V_HALF1(1U)
53746
53747#define S_HALF2 6
53748#define V_HALF2(x) ((x) << S_HALF2)
53749#define F_HALF2 V_HALF2(1U)
53750
53751#define S_INTDAC 0
53752#define M_INTDAC 0x3fU
53753#define V_INTDAC(x) ((x) << S_INTDAC)
53754#define G_INTDAC(x) (((x) >> S_INTDAC) & M_INTDAC)
53755
53756#define S_INTDACEGS 13
53757#define M_INTDACEGS 0x7U
53758#define V_INTDACEGS(x) ((x) << S_INTDACEGS)
53759#define G_INTDACEGS(x) (((x) >> S_INTDACEGS) & M_INTDACEGS)
53760
53761#define S_INTDACE 8
53762#define M_INTDACE 0x1fU
53763#define V_INTDACE(x) ((x) << S_INTDACE)
53764#define G_INTDACE(x) (((x) >> S_INTDACE) & M_INTDACE)
53765
53766#define S_INTDACGS 6
53767#define M_INTDACGS 0x3U
53768#define V_INTDACGS(x) ((x) << S_INTDACGS)
53769#define G_INTDACGS(x) (((x) >> S_INTDACGS) & M_INTDACGS)
53770
53771#define A_MAC_PORT_RX_LINKA_DIGITAL_EYE_CONTROL 0x32a8
53772
53773#define S_MINWDTH 5
53774#define M_MINWDTH 0x1fU
53775#define V_MINWDTH(x) ((x) << S_MINWDTH)
53776#define G_MINWDTH(x) (((x) >> S_MINWDTH) & M_MINWDTH)
53777
53778#define A_MAC_PORT_RX_LINKA_DIGITAL_EYE_METRICS 0x32ac
53779
53780#define S_T5SMQM 13
53781#define M_T5SMQM 0x7U
53782#define V_T5SMQM(x) ((x) << S_T5SMQM)
53783#define G_T5SMQM(x) (((x) >> S_T5SMQM) & M_T5SMQM)
53784
53785#define S_T5SMQ 5
53786#define M_T5SMQ 0xffU
53787#define V_T5SMQ(x) ((x) << S_T5SMQ)
53788#define G_T5SMQ(x) (((x) >> S_T5SMQ) & M_T5SMQ)
53789
53790#define S_T5EMMD 3
53791#define M_T5EMMD 0x3U
53792#define V_T5EMMD(x) ((x) << S_T5EMMD)
53793#define G_T5EMMD(x) (((x) >> S_T5EMMD) & M_T5EMMD)
53794
53795#define S_T5EMBRDY 2
53796#define V_T5EMBRDY(x) ((x) << S_T5EMBRDY)
53797#define F_T5EMBRDY V_T5EMBRDY(1U)
53798
53799#define S_T5EMBUMP 1
53800#define V_T5EMBUMP(x) ((x) << S_T5EMBUMP)
53801#define F_T5EMBUMP V_T5EMBUMP(1U)
53802
53803#define S_T5EMEN 0
53804#define V_T5EMEN(x) ((x) << S_T5EMEN)
53805#define F_T5EMEN V_T5EMEN(1U)
53806
53807#define S_SMQM 13
53808#define M_SMQM 0x7U
53809#define V_SMQM(x) ((x) << S_SMQM)
53810#define G_SMQM(x) (((x) >> S_SMQM) & M_SMQM)
53811
53812#define S_SMQ 5
53813#define M_SMQ 0xffU
53814#define V_SMQ(x) ((x) << S_SMQ)
53815#define G_SMQ(x) (((x) >> S_SMQ) & M_SMQ)
53816
53817#define S_T6_EMMD 3
53818#define M_T6_EMMD 0x3U
53819#define V_T6_EMMD(x) ((x) << S_T6_EMMD)
53820#define G_T6_EMMD(x) (((x) >> S_T6_EMMD) & M_T6_EMMD)
53821
53822#define S_T6_EMBRDY 2
53823#define V_T6_EMBRDY(x) ((x) << S_T6_EMBRDY)
53824#define F_T6_EMBRDY V_T6_EMBRDY(1U)
53825
53826#define S_T6_EMBUMP 1
53827#define V_T6_EMBUMP(x) ((x) << S_T6_EMBUMP)
53828#define F_T6_EMBUMP V_T6_EMBUMP(1U)
53829
53830#define A_MAC_PORT_RX_LINKA_DIGITAL_EYE_METRICS_ERROR_COUNT 0x32b0
53831
53832#define S_EMF8 15
53833#define V_EMF8(x) ((x) << S_EMF8)
53834#define F_EMF8 V_EMF8(1U)
53835
53836#define S_EMCNT 4
53837#define M_EMCNT 0xffU
53838#define V_EMCNT(x) ((x) << S_EMCNT)
53839#define G_EMCNT(x) (((x) >> S_EMCNT) & M_EMCNT)
53840
53841#define S_EMOFLO 2
53842#define V_EMOFLO(x) ((x) << S_EMOFLO)
53843#define F_EMOFLO V_EMOFLO(1U)
53844
53845#define S_EMCRST 1
53846#define V_EMCRST(x) ((x) << S_EMCRST)
53847#define F_EMCRST V_EMCRST(1U)
53848
53849#define S_EMCEN 0
53850#define V_EMCEN(x) ((x) << S_EMCEN)
53851#define F_EMCEN V_EMCEN(1U)
53852
53853#define S_EMSF 13
53854#define V_EMSF(x) ((x) << S_EMSF)
53855#define F_EMSF V_EMSF(1U)
53856
53857#define S_EMDATA59 12
53858#define V_EMDATA59(x) ((x) << S_EMDATA59)
53859#define F_EMDATA59 V_EMDATA59(1U)
53860
53861#define A_MAC_PORT_RX_LINKA_DIGITAL_EYE_METRICS_PDF_EYE_COUNT 0x32b4
53862
53863#define S_SM2RDY 15
53864#define V_SM2RDY(x) ((x) << S_SM2RDY)
53865#define F_SM2RDY V_SM2RDY(1U)
53866
53867#define S_SM2RST 14
53868#define V_SM2RST(x) ((x) << S_SM2RST)
53869#define F_SM2RST V_SM2RST(1U)
53870
53871#define S_APDF 0
53872#define M_APDF 0xfffU
53873#define V_APDF(x) ((x) << S_APDF)
53874#define G_APDF(x) (((x) >> S_APDF) & M_APDF)
53875
53876#define A_MAC_PORT_RX_LINKA_DIGITAL_EYE_METRICS_PATTERN_LENGTH 0x32b8
53877
53878#define S_SM0LEN 0
53879#define M_SM0LEN 0x7fffU
53880#define V_SM0LEN(x) ((x) << S_SM0LEN)
53881#define G_SM0LEN(x) (((x) >> S_SM0LEN) & M_SM0LEN)
53882
53883#define A_MAC_PORT_RX_LINKA_DFE_FUNCTION_CONTROL_3 0x32bc
53884
53885#define S_FTIMEOUT 15
53886#define V_FTIMEOUT(x) ((x) << S_FTIMEOUT)
53887#define F_FTIMEOUT V_FTIMEOUT(1U)
53888
53889#define S_FROTCAL4 14
53890#define V_FROTCAL4(x) ((x) << S_FROTCAL4)
53891#define F_FROTCAL4 V_FROTCAL4(1U)
53892
53893#define S_FDCD2 13
53894#define V_FDCD2(x) ((x) << S_FDCD2)
53895#define F_FDCD2 V_FDCD2(1U)
53896
53897#define S_FPRBSPOLTOG 12
53898#define V_FPRBSPOLTOG(x) ((x) << S_FPRBSPOLTOG)
53899#define F_FPRBSPOLTOG V_FPRBSPOLTOG(1U)
53900
53901#define S_FPRBSOFF2 11
53902#define V_FPRBSOFF2(x) ((x) << S_FPRBSOFF2)
53903#define F_FPRBSOFF2 V_FPRBSOFF2(1U)
53904
53905#define S_FDDCAL2 10
53906#define V_FDDCAL2(x) ((x) << S_FDDCAL2)
53907#define F_FDDCAL2 V_FDDCAL2(1U)
53908
53909#define S_FDDCFLTR 9
53910#define V_FDDCFLTR(x) ((x) << S_FDDCFLTR)
53911#define F_FDDCFLTR V_FDDCFLTR(1U)
53912
53913#define S_FDAC6 8
53914#define V_FDAC6(x) ((x) << S_FDAC6)
53915#define F_FDAC6 V_FDAC6(1U)
53916
53917#define S_FDDC5 7
53918#define V_FDDC5(x) ((x) << S_FDDC5)
53919#define F_FDDC5 V_FDDC5(1U)
53920
53921#define S_FDDC3456 6
53922#define V_FDDC3456(x) ((x) << S_FDDC3456)
53923#define F_FDDC3456 V_FDDC3456(1U)
53924
53925#define S_FSPY2DATA 5
53926#define V_FSPY2DATA(x) ((x) << S_FSPY2DATA)
53927#define F_FSPY2DATA V_FSPY2DATA(1U)
53928
53929#define S_FPHSLOCK 4
53930#define V_FPHSLOCK(x) ((x) << S_FPHSLOCK)
53931#define F_FPHSLOCK V_FPHSLOCK(1U)
53932
53933#define S_FCLKALGN 3
53934#define V_FCLKALGN(x) ((x) << S_FCLKALGN)
53935#define F_FCLKALGN V_FCLKALGN(1U)
53936
53937#define S_FCLKALDYN 2
53938#define V_FCLKALDYN(x) ((x) << S_FCLKALDYN)
53939#define F_FCLKALDYN V_FCLKALDYN(1U)
53940
53941#define S_FDFE 1
53942#define V_FDFE(x) ((x) << S_FDFE)
53943#define F_FDFE V_FDFE(1U)
53944
53945#define S_FPRBSOFF 0
53946#define V_FPRBSOFF(x) ((x) << S_FPRBSOFF)
53947#define F_FPRBSOFF V_FPRBSOFF(1U)
53948
53949#define A_MAC_PORT_RX_LINKA_DFE_TAP_ENABLE 0x32c0
53950
53951#define S_H_EN 1
53952#define M_H_EN 0xfffU
53953#define V_H_EN(x) ((x) << S_H_EN)
53954#define G_H_EN(x) (((x) >> S_H_EN) & M_H_EN)
53955
53956#define A_MAC_PORT_RX_LINKA_DFE_TAP_CONTROL 0x32c0
53957
53958#define S_RX_LINKA_INDEX_DFE_TC 0
53959#define M_RX_LINKA_INDEX_DFE_TC 0xfU
53960#define V_RX_LINKA_INDEX_DFE_TC(x) ((x) << S_RX_LINKA_INDEX_DFE_TC)
53961#define G_RX_LINKA_INDEX_DFE_TC(x) (((x) >> S_RX_LINKA_INDEX_DFE_TC) & M_RX_LINKA_INDEX_DFE_TC)
53962
53963#define A_MAC_PORT_RX_LINKA_DFE_H1 0x32c4
53964#define A_MAC_PORT_RX_LINKA_DFE_TAP 0x32c4
53965
53966#define S_RX_LINKA_INDEX_DFE_TAP 0
53967#define M_RX_LINKA_INDEX_DFE_TAP 0xfU
53968#define V_RX_LINKA_INDEX_DFE_TAP(x) ((x) << S_RX_LINKA_INDEX_DFE_TAP)
53969#define G_RX_LINKA_INDEX_DFE_TAP(x) (((x) >> S_RX_LINKA_INDEX_DFE_TAP) & M_RX_LINKA_INDEX_DFE_TAP)
53970
53971#define A_MAC_PORT_RX_LINKA_DFE_H2 0x32c8
53972
53973#define S_H2OSN_READWRITE 14
53974#define V_H2OSN_READWRITE(x) ((x) << S_H2OSN_READWRITE)
53975#define F_H2OSN_READWRITE V_H2OSN_READWRITE(1U)
53976
53977#define S_H2OSN_READONLY 13
53978#define V_H2OSN_READONLY(x) ((x) << S_H2OSN_READONLY)
53979#define F_H2OSN_READONLY V_H2OSN_READONLY(1U)
53980
53981#define S_H2ESN_READWRITE 6
53982#define V_H2ESN_READWRITE(x) ((x) << S_H2ESN_READWRITE)
53983#define F_H2ESN_READWRITE V_H2ESN_READWRITE(1U)
53984
53985#define S_H2ESN_READONLY 5
53986#define V_H2ESN_READONLY(x) ((x) << S_H2ESN_READONLY)
53987#define F_H2ESN_READONLY V_H2ESN_READONLY(1U)
53988
53989#define A_MAC_PORT_RX_LINKA_DFE_H3 0x32cc
53990
53991#define S_H3OSN_READWRITE 13
53992#define V_H3OSN_READWRITE(x) ((x) << S_H3OSN_READWRITE)
53993#define F_H3OSN_READWRITE V_H3OSN_READWRITE(1U)
53994
53995#define S_H3OSN_READONLY 12
53996#define V_H3OSN_READONLY(x) ((x) << S_H3OSN_READONLY)
53997#define F_H3OSN_READONLY V_H3OSN_READONLY(1U)
53998
53999#define S_H3ESN_READWRITE 5
54000#define V_H3ESN_READWRITE(x) ((x) << S_H3ESN_READWRITE)
54001#define F_H3ESN_READWRITE V_H3ESN_READWRITE(1U)
54002
54003#define S_H3ESN_READONLY 4
54004#define V_H3ESN_READONLY(x) ((x) << S_H3ESN_READONLY)
54005#define F_H3ESN_READONLY V_H3ESN_READONLY(1U)
54006
54007#define A_MAC_PORT_RX_LINKA_DFE_H4 0x32d0
54008
54009#define S_H4OGS 14
54010#define M_H4OGS 0x3U
54011#define V_H4OGS(x) ((x) << S_H4OGS)
54012#define G_H4OGS(x) (((x) >> S_H4OGS) & M_H4OGS)
54013
54014#define S_H4OSN_READWRITE 13
54015#define V_H4OSN_READWRITE(x) ((x) << S_H4OSN_READWRITE)
54016#define F_H4OSN_READWRITE V_H4OSN_READWRITE(1U)
54017
54018#define S_H4OSN_READONLY 12
54019#define V_H4OSN_READONLY(x) ((x) << S_H4OSN_READONLY)
54020#define F_H4OSN_READONLY V_H4OSN_READONLY(1U)
54021
54022#define S_H4EGS 6
54023#define M_H4EGS 0x3U
54024#define V_H4EGS(x) ((x) << S_H4EGS)
54025#define G_H4EGS(x) (((x) >> S_H4EGS) & M_H4EGS)
54026
54027#define S_H4ESN_READWRITE 5
54028#define V_H4ESN_READWRITE(x) ((x) << S_H4ESN_READWRITE)
54029#define F_H4ESN_READWRITE V_H4ESN_READWRITE(1U)
54030
54031#define S_H4ESN_READONLY 4
54032#define V_H4ESN_READONLY(x) ((x) << S_H4ESN_READONLY)
54033#define F_H4ESN_READONLY V_H4ESN_READONLY(1U)
54034
54035#define A_MAC_PORT_RX_LINKA_DFE_H5 0x32d4
54036
54037#define S_H5OGS 14
54038#define M_H5OGS 0x3U
54039#define V_H5OGS(x) ((x) << S_H5OGS)
54040#define G_H5OGS(x) (((x) >> S_H5OGS) & M_H5OGS)
54041
54042#define S_H5OSN_READWRITE 13
54043#define V_H5OSN_READWRITE(x) ((x) << S_H5OSN_READWRITE)
54044#define F_H5OSN_READWRITE V_H5OSN_READWRITE(1U)
54045
54046#define S_H5OSN_READONLY 12
54047#define V_H5OSN_READONLY(x) ((x) << S_H5OSN_READONLY)
54048#define F_H5OSN_READONLY V_H5OSN_READONLY(1U)
54049
54050#define S_H5EGS 6
54051#define M_H5EGS 0x3U
54052#define V_H5EGS(x) ((x) << S_H5EGS)
54053#define G_H5EGS(x) (((x) >> S_H5EGS) & M_H5EGS)
54054
54055#define S_H5ESN_READWRITE 5
54056#define V_H5ESN_READWRITE(x) ((x) << S_H5ESN_READWRITE)
54057#define F_H5ESN_READWRITE V_H5ESN_READWRITE(1U)
54058
54059#define S_H5ESN_READONLY 4
54060#define V_H5ESN_READONLY(x) ((x) << S_H5ESN_READONLY)
54061#define F_H5ESN_READONLY V_H5ESN_READONLY(1U)
54062
54063#define A_MAC_PORT_RX_LINKA_DFE_H6_AND_H7 0x32d8
54064
54065#define S_H7GS 14
54066#define M_H7GS 0x3U
54067#define V_H7GS(x) ((x) << S_H7GS)
54068#define G_H7GS(x) (((x) >> S_H7GS) & M_H7GS)
54069
54070#define S_H7SN_READWRITE 13
54071#define V_H7SN_READWRITE(x) ((x) << S_H7SN_READWRITE)
54072#define F_H7SN_READWRITE V_H7SN_READWRITE(1U)
54073
54074#define S_H7SN_READONLY 12
54075#define V_H7SN_READONLY(x) ((x) << S_H7SN_READONLY)
54076#define F_H7SN_READONLY V_H7SN_READONLY(1U)
54077
54078#define S_H7MAG 8
54079#define M_H7MAG 0xfU
54080#define V_H7MAG(x) ((x) << S_H7MAG)
54081#define G_H7MAG(x) (((x) >> S_H7MAG) & M_H7MAG)
54082
54083#define S_H6GS 6
54084#define M_H6GS 0x3U
54085#define V_H6GS(x) ((x) << S_H6GS)
54086#define G_H6GS(x) (((x) >> S_H6GS) & M_H6GS)
54087
54088#define S_H6SN_READWRITE 5
54089#define V_H6SN_READWRITE(x) ((x) << S_H6SN_READWRITE)
54090#define F_H6SN_READWRITE V_H6SN_READWRITE(1U)
54091
54092#define S_H6SN_READONLY 4
54093#define V_H6SN_READONLY(x) ((x) << S_H6SN_READONLY)
54094#define F_H6SN_READONLY V_H6SN_READONLY(1U)
54095
54096#define S_H6MAG 0
54097#define M_H6MAG 0xfU
54098#define V_H6MAG(x) ((x) << S_H6MAG)
54099#define G_H6MAG(x) (((x) >> S_H6MAG) & M_H6MAG)
54100
54101#define A_MAC_PORT_RX_LINKA_DFE_H8_AND_H9 0x32dc
54102
54103#define S_H9GS 14
54104#define M_H9GS 0x3U
54105#define V_H9GS(x) ((x) << S_H9GS)
54106#define G_H9GS(x) (((x) >> S_H9GS) & M_H9GS)
54107
54108#define S_H9SN_READWRITE 13
54109#define V_H9SN_READWRITE(x) ((x) << S_H9SN_READWRITE)
54110#define F_H9SN_READWRITE V_H9SN_READWRITE(1U)
54111
54112#define S_H9SN_READONLY 12
54113#define V_H9SN_READONLY(x) ((x) << S_H9SN_READONLY)
54114#define F_H9SN_READONLY V_H9SN_READONLY(1U)
54115
54116#define S_H9MAG 8
54117#define M_H9MAG 0xfU
54118#define V_H9MAG(x) ((x) << S_H9MAG)
54119#define G_H9MAG(x) (((x) >> S_H9MAG) & M_H9MAG)
54120
54121#define S_H8GS 6
54122#define M_H8GS 0x3U
54123#define V_H8GS(x) ((x) << S_H8GS)
54124#define G_H8GS(x) (((x) >> S_H8GS) & M_H8GS)
54125
54126#define S_H8SN_READWRITE 5
54127#define V_H8SN_READWRITE(x) ((x) << S_H8SN_READWRITE)
54128#define F_H8SN_READWRITE V_H8SN_READWRITE(1U)
54129
54130#define S_H8SN_READONLY 4
54131#define V_H8SN_READONLY(x) ((x) << S_H8SN_READONLY)
54132#define F_H8SN_READONLY V_H8SN_READONLY(1U)
54133
54134#define S_H8MAG 0
54135#define M_H8MAG 0xfU
54136#define V_H8MAG(x) ((x) << S_H8MAG)
54137#define G_H8MAG(x) (((x) >> S_H8MAG) & M_H8MAG)
54138
54139#define A_MAC_PORT_RX_LINKA_DFE_H10_AND_H11 0x32e0
54140
54141#define S_H11GS 14
54142#define M_H11GS 0x3U
54143#define V_H11GS(x) ((x) << S_H11GS)
54144#define G_H11GS(x) (((x) >> S_H11GS) & M_H11GS)
54145
54146#define S_H11SN_READWRITE 13
54147#define V_H11SN_READWRITE(x) ((x) << S_H11SN_READWRITE)
54148#define F_H11SN_READWRITE V_H11SN_READWRITE(1U)
54149
54150#define S_H11SN_READONLY 12
54151#define V_H11SN_READONLY(x) ((x) << S_H11SN_READONLY)
54152#define F_H11SN_READONLY V_H11SN_READONLY(1U)
54153
54154#define S_H11MAG 8
54155#define M_H11MAG 0xfU
54156#define V_H11MAG(x) ((x) << S_H11MAG)
54157#define G_H11MAG(x) (((x) >> S_H11MAG) & M_H11MAG)
54158
54159#define S_H10GS 6
54160#define M_H10GS 0x3U
54161#define V_H10GS(x) ((x) << S_H10GS)
54162#define G_H10GS(x) (((x) >> S_H10GS) & M_H10GS)
54163
54164#define S_H10SN_READWRITE 5
54165#define V_H10SN_READWRITE(x) ((x) << S_H10SN_READWRITE)
54166#define F_H10SN_READWRITE V_H10SN_READWRITE(1U)
54167
54168#define S_H10SN_READONLY 4
54169#define V_H10SN_READONLY(x) ((x) << S_H10SN_READONLY)
54170#define F_H10SN_READONLY V_H10SN_READONLY(1U)
54171
54172#define S_H10MAG 0
54173#define M_H10MAG 0xfU
54174#define V_H10MAG(x) ((x) << S_H10MAG)
54175#define G_H10MAG(x) (((x) >> S_H10MAG) & M_H10MAG)
54176
54177#define A_MAC_PORT_RX_LINKA_DFE_H12 0x32e4
54178
54179#define S_H12GS 6
54180#define M_H12GS 0x3U
54181#define V_H12GS(x) ((x) << S_H12GS)
54182#define G_H12GS(x) (((x) >> S_H12GS) & M_H12GS)
54183
54184#define S_H12SN_READWRITE 5
54185#define V_H12SN_READWRITE(x) ((x) << S_H12SN_READWRITE)
54186#define F_H12SN_READWRITE V_H12SN_READWRITE(1U)
54187
54188#define S_H12SN_READONLY 4
54189#define V_H12SN_READONLY(x) ((x) << S_H12SN_READONLY)
54190#define F_H12SN_READONLY V_H12SN_READONLY(1U)
54191
54192#define S_H12MAG 0
54193#define M_H12MAG 0xfU
54194#define V_H12MAG(x) ((x) << S_H12MAG)
54195#define G_H12MAG(x) (((x) >> S_H12MAG) & M_H12MAG)
54196
54197#define A_MAC_PORT_RX_LINKA_RECEIVER_INTERNAL_STATUS_2 0x32e4
54198
54199#define S_STNDBYSTAT 15
54200#define V_STNDBYSTAT(x) ((x) << S_STNDBYSTAT)
54201#define F_STNDBYSTAT V_STNDBYSTAT(1U)
54202
54203#define S_CALSDONE 14
54204#define V_CALSDONE(x) ((x) << S_CALSDONE)
54205#define F_CALSDONE V_CALSDONE(1U)
54206
54207#define S_ACISRCCMP 5
54208#define V_ACISRCCMP(x) ((x) << S_ACISRCCMP)
54209#define F_ACISRCCMP V_ACISRCCMP(1U)
54210
54211#define S_PRBSOFFCMP 4
54212#define V_PRBSOFFCMP(x) ((x) << S_PRBSOFFCMP)
54213#define F_PRBSOFFCMP V_PRBSOFFCMP(1U)
54214
54215#define S_CLKALGNCMP 3
54216#define V_CLKALGNCMP(x) ((x) << S_CLKALGNCMP)
54217#define F_CLKALGNCMP V_CLKALGNCMP(1U)
54218
54219#define S_ROTFCMP 2
54220#define V_ROTFCMP(x) ((x) << S_ROTFCMP)
54221#define F_ROTFCMP V_ROTFCMP(1U)
54222
54223#define S_DCDCMP 1
54224#define V_DCDCMP(x) ((x) << S_DCDCMP)
54225#define F_DCDCMP V_DCDCMP(1U)
54226
54227#define S_QCCCMP 0
54228#define V_QCCCMP(x) ((x) << S_QCCCMP)
54229#define F_QCCCMP V_QCCCMP(1U)
54230
54231#define A_MAC_PORT_RX_LINKA_AC_COUPLING_CURRENT_SOURCE_ADJUST 0x32e8
54232
54233#define S_FCSADJ 6
54234#define V_FCSADJ(x) ((x) << S_FCSADJ)
54235#define F_FCSADJ V_FCSADJ(1U)
54236
54237#define S_CSIND 3
54238#define M_CSIND 0x3U
54239#define V_CSIND(x) ((x) << S_CSIND)
54240#define G_CSIND(x) (((x) >> S_CSIND) & M_CSIND)
54241
54242#define S_CSVAL 0
54243#define M_CSVAL 0x7U
54244#define V_CSVAL(x) ((x) << S_CSVAL)
54245#define G_CSVAL(x) (((x) >> S_CSVAL) & M_CSVAL)
54246
54247#define A_MAC_PORT_RX_LINKA_RECEIVER_DCD_CONTROL 0x32ec
54248
54249#define S_DCDTMDOUT 15
54250#define V_DCDTMDOUT(x) ((x) << S_DCDTMDOUT)
54251#define F_DCDTMDOUT V_DCDTMDOUT(1U)
54252
54253#define S_DCDTOEN 14
54254#define V_DCDTOEN(x) ((x) << S_DCDTOEN)
54255#define F_DCDTOEN V_DCDTOEN(1U)
54256
54257#define S_DCDLOCK 13
54258#define V_DCDLOCK(x) ((x) << S_DCDLOCK)
54259#define F_DCDLOCK V_DCDLOCK(1U)
54260
54261#define S_DCDSTEP 11
54262#define M_DCDSTEP 0x3U
54263#define V_DCDSTEP(x) ((x) << S_DCDSTEP)
54264#define G_DCDSTEP(x) (((x) >> S_DCDSTEP) & M_DCDSTEP)
54265
54266#define S_DCDALTWPDIS 10
54267#define V_DCDALTWPDIS(x) ((x) << S_DCDALTWPDIS)
54268#define F_DCDALTWPDIS V_DCDALTWPDIS(1U)
54269
54270#define S_DCDOVRDEN 9
54271#define V_DCDOVRDEN(x) ((x) << S_DCDOVRDEN)
54272#define F_DCDOVRDEN V_DCDOVRDEN(1U)
54273
54274#define S_DCCAOVRDEN 8
54275#define V_DCCAOVRDEN(x) ((x) << S_DCCAOVRDEN)
54276#define F_DCCAOVRDEN V_DCCAOVRDEN(1U)
54277
54278#define S_DCDSIGN 6
54279#define M_DCDSIGN 0x3U
54280#define V_DCDSIGN(x) ((x) << S_DCDSIGN)
54281#define G_DCDSIGN(x) (((x) >> S_DCDSIGN) & M_DCDSIGN)
54282
54283#define S_DCDAMP 0
54284#define M_DCDAMP 0x3fU
54285#define V_DCDAMP(x) ((x) << S_DCDAMP)
54286#define G_DCDAMP(x) (((x) >> S_DCDAMP) & M_DCDAMP)
54287
54288#define A_MAC_PORT_RX_LINKA_RECEIVER_DCC_CONTROL 0x32f0
54289
54290#define S_PRBSMODE 14
54291#define M_PRBSMODE 0x3U
54292#define V_PRBSMODE(x) ((x) << S_PRBSMODE)
54293#define G_PRBSMODE(x) (((x) >> S_PRBSMODE) & M_PRBSMODE)
54294
54295#define S_RX_LINKA_DCCSTEP_RXCTL 10
54296#define M_RX_LINKA_DCCSTEP_RXCTL 0x3U
54297#define V_RX_LINKA_DCCSTEP_RXCTL(x) ((x) << S_RX_LINKA_DCCSTEP_RXCTL)
54298#define G_RX_LINKA_DCCSTEP_RXCTL(x) (((x) >> S_RX_LINKA_DCCSTEP_RXCTL) & M_RX_LINKA_DCCSTEP_RXCTL)
54299
54300#define S_DCCOVRDEN 9
54301#define V_DCCOVRDEN(x) ((x) << S_DCCOVRDEN)
54302#define F_DCCOVRDEN V_DCCOVRDEN(1U)
54303
54304#define S_RX_LINKA_DCCLOCK_RXCTL 8
54305#define V_RX_LINKA_DCCLOCK_RXCTL(x) ((x) << S_RX_LINKA_DCCLOCK_RXCTL)
54306#define F_RX_LINKA_DCCLOCK_RXCTL V_RX_LINKA_DCCLOCK_RXCTL(1U)
54307
54308#define A_MAC_PORT_RX_LINKA_RECEIVER_QCC_CONTROL 0x32f4
54309
54310#define S_DCCQCCMODE 15
54311#define V_DCCQCCMODE(x) ((x) << S_DCCQCCMODE)
54312#define F_DCCQCCMODE V_DCCQCCMODE(1U)
54313
54314#define S_DCCQCCDYN 14
54315#define V_DCCQCCDYN(x) ((x) << S_DCCQCCDYN)
54316#define F_DCCQCCDYN V_DCCQCCDYN(1U)
54317
54318#define S_DCCQCCHOLD 13
54319#define V_DCCQCCHOLD(x) ((x) << S_DCCQCCHOLD)
54320#define F_DCCQCCHOLD V_DCCQCCHOLD(1U)
54321
54322#define S_QCCSTEP 10
54323#define M_QCCSTEP 0x3U
54324#define V_QCCSTEP(x) ((x) << S_QCCSTEP)
54325#define G_QCCSTEP(x) (((x) >> S_QCCSTEP) & M_QCCSTEP)
54326
54327#define S_QCCOVRDEN 9
54328#define V_QCCOVRDEN(x) ((x) << S_QCCOVRDEN)
54329#define F_QCCOVRDEN V_QCCOVRDEN(1U)
54330
54331#define S_QCCLOCK 8
54332#define V_QCCLOCK(x) ((x) << S_QCCLOCK)
54333#define F_QCCLOCK V_QCCLOCK(1U)
54334
54335#define S_QCCSIGN 6
54336#define M_QCCSIGN 0x3U
54337#define V_QCCSIGN(x) ((x) << S_QCCSIGN)
54338#define G_QCCSIGN(x) (((x) >> S_QCCSIGN) & M_QCCSIGN)
54339
54340#define S_QCDAMP 0
54341#define M_QCDAMP 0x3fU
54342#define V_QCDAMP(x) ((x) << S_QCDAMP)
54343#define G_QCDAMP(x) (((x) >> S_QCDAMP) & M_QCDAMP)
54344
54345#define A_MAC_PORT_RX_LINKA_RECEIVER_MACRO_TEST_CONTROL_2 0x32f8
54346
54347#define S_DFEDACLSSD 6
54348#define V_DFEDACLSSD(x) ((x) << S_DFEDACLSSD)
54349#define F_DFEDACLSSD V_DFEDACLSSD(1U)
54350
54351#define S_SDLSSD 5
54352#define V_SDLSSD(x) ((x) << S_SDLSSD)
54353#define F_SDLSSD V_SDLSSD(1U)
54354
54355#define S_DFEOBSBIAS 4
54356#define V_DFEOBSBIAS(x) ((x) << S_DFEOBSBIAS)
54357#define F_DFEOBSBIAS V_DFEOBSBIAS(1U)
54358
54359#define S_GBOFSTLSSD 3
54360#define V_GBOFSTLSSD(x) ((x) << S_GBOFSTLSSD)
54361#define F_GBOFSTLSSD V_GBOFSTLSSD(1U)
54362
54363#define S_RXDOBS 2
54364#define V_RXDOBS(x) ((x) << S_RXDOBS)
54365#define F_RXDOBS V_RXDOBS(1U)
54366
54367#define S_ACJZPT 1
54368#define V_ACJZPT(x) ((x) << S_ACJZPT)
54369#define F_ACJZPT V_ACJZPT(1U)
54370
54371#define S_ACJZNT 0
54372#define V_ACJZNT(x) ((x) << S_ACJZNT)
54373#define F_ACJZNT V_ACJZNT(1U)
54374
54375#define A_MAC_PORT_RX_LINKA_RECEIVER_MACRO_TEST_CONTROL_REGISTER_2 0x32f8
54376
54377#define S_TSTCMP 15
54378#define V_TSTCMP(x) ((x) << S_TSTCMP)
54379#define F_TSTCMP V_TSTCMP(1U)
54380
54381#define A_MAC_PORT_RX_LINKA_RECEIVER_MACRO_TEST_CONTROL_1 0x32fc
54382
54383#define S_PHSLOCK 10
54384#define V_PHSLOCK(x) ((x) << S_PHSLOCK)
54385#define F_PHSLOCK V_PHSLOCK(1U)
54386
54387#define S_TESTMODE 9
54388#define V_TESTMODE(x) ((x) << S_TESTMODE)
54389#define F_TESTMODE V_TESTMODE(1U)
54390
54391#define S_CALMODE 8
54392#define V_CALMODE(x) ((x) << S_CALMODE)
54393#define F_CALMODE V_CALMODE(1U)
54394
54395#define S_AMPSEL 7
54396#define V_AMPSEL(x) ((x) << S_AMPSEL)
54397#define F_AMPSEL V_AMPSEL(1U)
54398
54399#define S_WHICHNRZ 6
54400#define V_WHICHNRZ(x) ((x) << S_WHICHNRZ)
54401#define F_WHICHNRZ V_WHICHNRZ(1U)
54402
54403#define S_BANKA 5
54404#define V_BANKA(x) ((x) << S_BANKA)
54405#define F_BANKA V_BANKA(1U)
54406
54407#define S_BANKB 4
54408#define V_BANKB(x) ((x) << S_BANKB)
54409#define F_BANKB V_BANKB(1U)
54410
54411#define S_ACJPDP 3
54412#define V_ACJPDP(x) ((x) << S_ACJPDP)
54413#define F_ACJPDP V_ACJPDP(1U)
54414
54415#define S_ACJPDN 2
54416#define V_ACJPDN(x) ((x) << S_ACJPDN)
54417#define F_ACJPDN V_ACJPDN(1U)
54418
54419#define S_LSSDT 1
54420#define V_LSSDT(x) ((x) << S_LSSDT)
54421#define F_LSSDT V_LSSDT(1U)
54422
54423#define S_MTHOLD 0
54424#define V_MTHOLD(x) ((x) << S_MTHOLD)
54425#define F_MTHOLD V_MTHOLD(1U)
54426
54427#define S_CALMODEEDGE 14
54428#define V_CALMODEEDGE(x) ((x) << S_CALMODEEDGE)
54429#define F_CALMODEEDGE V_CALMODEEDGE(1U)
54430
54431#define S_TESTCAP 13
54432#define V_TESTCAP(x) ((x) << S_TESTCAP)
54433#define F_TESTCAP V_TESTCAP(1U)
54434
54435#define S_SNAPEN 12
54436#define V_SNAPEN(x) ((x) << S_SNAPEN)
54437#define F_SNAPEN V_SNAPEN(1U)
54438
54439#define S_ASYNCDIR 11
54440#define V_ASYNCDIR(x) ((x) << S_ASYNCDIR)
54441#define F_ASYNCDIR V_ASYNCDIR(1U)
54442
54443#define A_MAC_PORT_RX_LINKB_RECEIVER_CONFIGURATION_MODE 0x3300
54444#define A_MAC_PORT_RX_LINKB_RECEIVER_TEST_CONTROL 0x3304
54445#define A_MAC_PORT_RX_LINKB_PHASE_ROTATOR_CONTROL 0x3308
54446#define A_MAC_PORT_RX_LINKB_PHASE_ROTATOR_OFFSET_CONTROL 0x330c
54447
54448#define S_T6_TMSCAL 8
54449#define M_T6_TMSCAL 0x3U
54450#define V_T6_TMSCAL(x) ((x) << S_T6_TMSCAL)
54451#define G_T6_TMSCAL(x) (((x) >> S_T6_TMSCAL) & M_T6_TMSCAL)
54452
54453#define S_T6_APADJ 7
54454#define V_T6_APADJ(x) ((x) << S_T6_APADJ)
54455#define F_T6_APADJ V_T6_APADJ(1U)
54456
54457#define S_T6_RSEL 6
54458#define V_T6_RSEL(x) ((x) << S_T6_RSEL)
54459#define F_T6_RSEL V_T6_RSEL(1U)
54460
54461#define S_T6_PHOFFS 0
54462#define M_T6_PHOFFS 0x3fU
54463#define V_T6_PHOFFS(x) ((x) << S_T6_PHOFFS)
54464#define G_T6_PHOFFS(x) (((x) >> S_T6_PHOFFS) & M_T6_PHOFFS)
54465
54466#define A_MAC_PORT_RX_LINKB_PHASE_ROTATOR_POSITION_1 0x3310
54467#define A_MAC_PORT_RX_LINKB_PHASE_ROTATOR_POSITION_2 0x3314
54468#define A_MAC_PORT_RX_LINKB_PHASE_ROTATOR_STATIC_PHASE_OFFSET_1 0x3318
54469#define A_MAC_PORT_RX_LINKB_PHASE_ROTATOR_STATIC_PHASE_OFFSET_2 0x331c
54470#define A_MAC_PORT_RX_LINKB_DFE_CONTROL 0x3320
54471
54472#define S_T6_SPIFMT 8
54473#define M_T6_SPIFMT 0xfU
54474#define V_T6_SPIFMT(x) ((x) << S_T6_SPIFMT)
54475#define G_T6_SPIFMT(x) (((x) >> S_T6_SPIFMT) & M_T6_SPIFMT)
54476
54477#define A_MAC_PORT_RX_LINKB_DFE_SAMPLE_SNAPSHOT_1 0x3324
54478#define A_MAC_PORT_RX_LINKB_DFE_SAMPLE_SNAPSHOT_2 0x3328
54479#define A_MAC_PORT_RX_LINKB_RECEIVER_VGA_CONTROL_1 0x332c
54480
54481#define S_T6_WRAPSEL 15
54482#define V_T6_WRAPSEL(x) ((x) << S_T6_WRAPSEL)
54483#define F_T6_WRAPSEL V_T6_WRAPSEL(1U)
54484
54485#define S_T6_PEAK 9
54486#define M_T6_PEAK 0x1fU
54487#define V_T6_PEAK(x) ((x) << S_T6_PEAK)
54488#define G_T6_PEAK(x) (((x) >> S_T6_PEAK) & M_T6_PEAK)
54489
54490#define A_MAC_PORT_RX_LINKB_RECEIVER_VGA_CONTROL_2 0x3330
54491
54492#define S_T6_T5VGAIN 0
54493#define M_T6_T5VGAIN 0x7fU
54494#define V_T6_T5VGAIN(x) ((x) << S_T6_T5VGAIN)
54495#define G_T6_T5VGAIN(x) (((x) >> S_T6_T5VGAIN) & M_T6_T5VGAIN)
54496
54497#define A_MAC_PORT_RX_LINKB_RECEIVER_VGA_CONTROL_3 0x3334
54498#define A_MAC_PORT_RX_LINKB_RECEIVER_DQCC_CONTROL_1 0x3338
54499#define A_MAC_PORT_RX_LINKB_RECEIVER_POWER_MANAGEMENT_CONTROL 0x3338
54500#define A_MAC_PORT_RX_LINKB_RECEIVER_IQAMP_CONTROL_1 0x333c
54501#define A_MAC_PORT_RX_LINKB_RECEIVER_DQCC_CONTROL_3 0x3340
54502#define A_MAC_PORT_RX_LINKB_RECEIVER_IQAMP_CONTROL_2 0x3340
54503#define A_MAC_PORT_RX_LINKB_RECEIVER_DACAP_AND_DACAN_SELECTION 0x3344
54504#define A_MAC_PORT_RX_LINKB_RECEIVER_DACAP_AND_DACAN 0x3348
54505#define A_MAC_PORT_RX_LINKB_RECEIVER_DACA_MIN_AND_DACAZ 0x334c
54506#define A_MAC_PORT_RX_LINKB_RECEIVER_DACA_MIN 0x334c
54507#define A_MAC_PORT_RX_LINKB_RECEIVER_ADAC_CONTROL 0x3350
54508#define A_MAC_PORT_RX_LINKB_RECEIVER_AC_COUPLING_CONTROL 0x3354
54509#define A_MAC_PORT_RX_LINKB_RECEIVER_AC_COUPLING_VALUE 0x3358
54510#define A_MAC_PORT_RX_LINKB_DFE_H1_LOCAL_OFFSET_ODD2_EVN2 0x335c
54511#define A_MAC_PORT_RX_LINKB_DFE_H1H2H3_LOCAL_OFFSET 0x335c
54512#define A_MAC_PORT_RX_LINKB_DFE_H1_LOCAL_OFFSET_ODD3_EVN3 0x3360
54513#define A_MAC_PORT_RX_LINKB_DFE_H1H2H3_LOCAL_OFFSET_VALUE 0x3360
54514#define A_MAC_PORT_RX_LINKB_DFE_H1_LOCAL_OFFSET_ODD4_EVN4 0x3364
54515#define A_MAC_PORT_RX_LINKB_PEAKED_INTEGRATOR 0x3364
54516#define A_MAC_PORT_RX_LINKB_CDR_ANALOG_SWITCH 0x3368
54517#define A_MAC_PORT_RX_LINKB_PEAKING_AMPLIFIER_INTIALIZATION_CONTROL 0x336c
54518#define A_MAC_PORT_RX_LINKB_DYNAMIC_AMPLITUDE_CENTERING_DAC_AND_DYNAMIC_PEAKING_CONTROL_DPC 0x3370
54519#define A_MAC_PORT_RX_LINKB_DYNAMIC_DATA_CENTERING_DDC 0x3374
54520
54521#define S_T6_ODEC 0
54522#define M_T6_ODEC 0xfU
54523#define V_T6_ODEC(x) ((x) << S_T6_ODEC)
54524#define G_T6_ODEC(x) (((x) >> S_T6_ODEC) & M_T6_ODEC)
54525
54526#define A_MAC_PORT_RX_LINKB_RECEIVER_INTERNAL_STATUS 0x3378
54527
54528#define S_RX_LINKB_ACCCMP_RIS 11
54529#define V_RX_LINKB_ACCCMP_RIS(x) ((x) << S_RX_LINKB_ACCCMP_RIS)
54530#define F_RX_LINKB_ACCCMP_RIS V_RX_LINKB_ACCCMP_RIS(1U)
54531
54532#define A_MAC_PORT_RX_LINKB_DFE_FUNCTION_CONTROL_1 0x337c
54533#define A_MAC_PORT_RX_LINKB_DFE_FUNCTION_CONTROL_2 0x3380
54534#define A_MAC_PORT_RX_LINKB_DFE_OFFSET_EVN1_EVN2 0x3384
54535#define A_MAC_PORT_RX_LINKB_DFE_OFFSET_CHANNEL 0x3384
54536#define A_MAC_PORT_RX_LINKB_DFE_OFFSET_ODD1_ODD2 0x3388
54537#define A_MAC_PORT_RX_LINKB_DFE_OFFSET_VALUE 0x3388
54538#define A_MAC_PORT_RX_LINKB_DFE_OFFSET_EVN3_EVN4 0x338c
54539#define A_MAC_PORT_RX_LINKB_H_COEFFICIENBT_BIST 0x338c
54540#define A_MAC_PORT_RX_LINKB_DFE_OFFSET_ODD3_ODD4 0x3390
54541#define A_MAC_PORT_RX_LINKB_AC_CAPACITOR_BIST 0x3390
54542
54543#define S_RX_LINKB_ACCCMP_BIST 13
54544#define V_RX_LINKB_ACCCMP_BIST(x) ((x) << S_RX_LINKB_ACCCMP_BIST)
54545#define F_RX_LINKB_ACCCMP_BIST V_RX_LINKB_ACCCMP_BIST(1U)
54546
54547#define A_MAC_PORT_RX_LINKB_DFE_E0_AND_E1_OFFSET 0x3394
54548#define A_MAC_PORT_RX_LINKB_RECEIVER_LOFF_CONTROL 0x3398
54549#define A_MAC_PORT_RX_LINKB_RECEIVER_LOFF_CONTROL_REGISTER 0x3398
54550#define A_MAC_PORT_RX_LINKB_RECEIVER_SIGDET_CONTROL 0x339c
54551#define A_MAC_PORT_RX_LINKB_RECEIVER_ANALOG_CONTROL_SWITCH 0x33a0
54552#define A_MAC_PORT_RX_LINKB_INTEGRATOR_DAC_OFFSET 0x33a4
54553#define A_MAC_PORT_RX_LINKB_DIGITAL_EYE_CONTROL 0x33a8
54554#define A_MAC_PORT_RX_LINKB_DIGITAL_EYE_METRICS 0x33ac
54555
54556#define S_T6_EMMD 3
54557#define M_T6_EMMD 0x3U
54558#define V_T6_EMMD(x) ((x) << S_T6_EMMD)
54559#define G_T6_EMMD(x) (((x) >> S_T6_EMMD) & M_T6_EMMD)
54560
54561#define S_T6_EMBRDY 2
54562#define V_T6_EMBRDY(x) ((x) << S_T6_EMBRDY)
54563#define F_T6_EMBRDY V_T6_EMBRDY(1U)
54564
54565#define S_T6_EMBUMP 1
54566#define V_T6_EMBUMP(x) ((x) << S_T6_EMBUMP)
54567#define F_T6_EMBUMP V_T6_EMBUMP(1U)
54568
54569#define A_MAC_PORT_RX_LINKB_DIGITAL_EYE_METRICS_ERROR_COUNT 0x33b0
54570#define A_MAC_PORT_RX_LINKB_DIGITAL_EYE_METRICS_PDF_EYE_COUNT 0x33b4
54571#define A_MAC_PORT_RX_LINKB_DIGITAL_EYE_METRICS_PATTERN_LENGTH 0x33b8
54572#define A_MAC_PORT_RX_LINKB_DFE_FUNCTION_CONTROL_3 0x33bc
54573#define A_MAC_PORT_RX_LINKB_DFE_TAP_ENABLE 0x33c0
54574#define A_MAC_PORT_RX_LINKB_DFE_TAP_CONTROL 0x33c0
54575
54576#define S_RX_LINKB_INDEX_DFE_TC 0
54577#define M_RX_LINKB_INDEX_DFE_TC 0xfU
54578#define V_RX_LINKB_INDEX_DFE_TC(x) ((x) << S_RX_LINKB_INDEX_DFE_TC)
54579#define G_RX_LINKB_INDEX_DFE_TC(x) (((x) >> S_RX_LINKB_INDEX_DFE_TC) & M_RX_LINKB_INDEX_DFE_TC)
54580
54581#define A_MAC_PORT_RX_LINKB_DFE_H1 0x33c4
54582#define A_MAC_PORT_RX_LINKB_DFE_TAP 0x33c4
54583
54584#define S_RX_LINKB_INDEX_DFE_TAP 0
54585#define M_RX_LINKB_INDEX_DFE_TAP 0xfU
54586#define V_RX_LINKB_INDEX_DFE_TAP(x) ((x) << S_RX_LINKB_INDEX_DFE_TAP)
54587#define G_RX_LINKB_INDEX_DFE_TAP(x) (((x) >> S_RX_LINKB_INDEX_DFE_TAP) & M_RX_LINKB_INDEX_DFE_TAP)
54588
54589#define A_MAC_PORT_RX_LINKB_DFE_H2 0x33c8
54590#define A_MAC_PORT_RX_LINKB_DFE_H3 0x33cc
54591#define A_MAC_PORT_RX_LINKB_DFE_H4 0x33d0
54592#define A_MAC_PORT_RX_LINKB_DFE_H5 0x33d4
54593#define A_MAC_PORT_RX_LINKB_DFE_H6_AND_H7 0x33d8
54594#define A_MAC_PORT_RX_LINKB_DFE_H8_AND_H9 0x33dc
54595#define A_MAC_PORT_RX_LINKB_DFE_H10_AND_H11 0x33e0
54596#define A_MAC_PORT_RX_LINKB_DFE_H12 0x33e4
54597#define A_MAC_PORT_RX_LINKB_RECEIVER_INTERNAL_STATUS_2 0x33e4
54598#define A_MAC_PORT_RX_LINKB_AC_COUPLING_CURRENT_SOURCE_ADJUST 0x33e8
54599#define A_MAC_PORT_RX_LINKB_RECEIVER_DCD_CONTROL 0x33ec
54600#define A_MAC_PORT_RX_LINKB_RECEIVER_DCC_CONTROL 0x33f0
54601
54602#define S_RX_LINKB_DCCSTEP_RXCTL 10
54603#define M_RX_LINKB_DCCSTEP_RXCTL 0x3U
54604#define V_RX_LINKB_DCCSTEP_RXCTL(x) ((x) << S_RX_LINKB_DCCSTEP_RXCTL)
54605#define G_RX_LINKB_DCCSTEP_RXCTL(x) (((x) >> S_RX_LINKB_DCCSTEP_RXCTL) & M_RX_LINKB_DCCSTEP_RXCTL)
54606
54607#define S_RX_LINKB_DCCLOCK_RXCTL 8
54608#define V_RX_LINKB_DCCLOCK_RXCTL(x) ((x) << S_RX_LINKB_DCCLOCK_RXCTL)
54609#define F_RX_LINKB_DCCLOCK_RXCTL V_RX_LINKB_DCCLOCK_RXCTL(1U)
54610
54611#define A_MAC_PORT_RX_LINKB_RECEIVER_QCC_CONTROL 0x33f4
54612#define A_MAC_PORT_RX_LINKB_RECEIVER_MACRO_TEST_CONTROL_2 0x33f8
54613#define A_MAC_PORT_RX_LINKB_RECEIVER_MACRO_TEST_CONTROL_REGISTER_2 0x33f8
54614#define A_MAC_PORT_RX_LINKB_RECEIVER_MACRO_TEST_CONTROL_1 0x33fc
54615#define A_MAC_PORT_TX_LINKC_TRANSMIT_CONFIGURATION_MODE 0x3400
54616
54617#define S_T6_T5_TX_RXLOOP 5
54618#define V_T6_T5_TX_RXLOOP(x) ((x) << S_T6_T5_TX_RXLOOP)
54619#define F_T6_T5_TX_RXLOOP V_T6_T5_TX_RXLOOP(1U)
54620
54621#define S_T6_T5_TX_BWSEL 2
54622#define M_T6_T5_TX_BWSEL 0x3U
54623#define V_T6_T5_TX_BWSEL(x) ((x) << S_T6_T5_TX_BWSEL)
54624#define G_T6_T5_TX_BWSEL(x) (((x) >> S_T6_T5_TX_BWSEL) & M_T6_T5_TX_BWSEL)
54625
54626#define A_MAC_PORT_TX_LINKC_TRANSMIT_TEST_CONTROL 0x3404
54627
54628#define S_T6_ERROR 9
54629#define V_T6_ERROR(x) ((x) << S_T6_ERROR)
54630#define F_T6_ERROR V_T6_ERROR(1U)
54631
54632#define A_MAC_PORT_TX_LINKC_TRANSMIT_COEFFICIENT_CONTROL 0x3408
54633#define A_MAC_PORT_TX_LINKC_TRANSMIT_DRIVER_MODE_CONTROL 0x340c
54634#define A_MAC_PORT_TX_LINKC_TRANSMIT_DRIVER_OVERRIDE_CONTROL 0x3410
54635#define A_MAC_PORT_TX_LINKC_TRANSMIT_DCLK_ROTATOR_OVERRIDE 0x3414
54636#define A_MAC_PORT_TX_LINKC_TRANSMIT_IMPEDANCE_CALIBRATION_OVERRIDE 0x3418
54637
54638#define S_T6_CALSSTN 8
54639#define M_T6_CALSSTN 0x3fU
54640#define V_T6_CALSSTN(x) ((x) << S_T6_CALSSTN)
54641#define G_T6_CALSSTN(x) (((x) >> S_T6_CALSSTN) & M_T6_CALSSTN)
54642
54643#define S_T6_CALSSTP 0
54644#define M_T6_CALSSTP 0x3fU
54645#define V_T6_CALSSTP(x) ((x) << S_T6_CALSSTP)
54646#define G_T6_CALSSTP(x) (((x) >> S_T6_CALSSTP) & M_T6_CALSSTP)
54647
54648#define A_MAC_PORT_TX_LINKC_TRANSMIT_DCLK_DRIFT_TOLERANCE 0x341c
54649
54650#define S_T6_DRTOL 2
54651#define M_T6_DRTOL 0x7U
54652#define V_T6_DRTOL(x) ((x) << S_T6_DRTOL)
54653#define G_T6_DRTOL(x) (((x) >> S_T6_DRTOL) & M_T6_DRTOL)
54654
54655#define A_MAC_PORT_TX_LINKC_TRANSMIT_TAP_0_COEFFICIENT 0x3420
54656
54657#define S_T6_NXTT0 0
54658#define M_T6_NXTT0 0x3fU
54659#define V_T6_NXTT0(x) ((x) << S_T6_NXTT0)
54660#define G_T6_NXTT0(x) (((x) >> S_T6_NXTT0) & M_T6_NXTT0)
54661
54662#define A_MAC_PORT_TX_LINKC_TRANSMIT_TAP_1_COEFFICIENT 0x3424
54663#define A_MAC_PORT_TX_LINKC_TRANSMIT_TAP_2_COEFFICIENT 0x3428
54664
54665#define S_T6_NXTT2 0
54666#define M_T6_NXTT2 0x3fU
54667#define V_T6_NXTT2(x) ((x) << S_T6_NXTT2)
54668#define G_T6_NXTT2(x) (((x) >> S_T6_NXTT2) & M_T6_NXTT2)
54669
54670#define A_MAC_PORT_TX_LINKC_TRANSMIT_TAP_3_COEFFICIENT 0x342c
54671#define A_MAC_PORT_TX_LINKC_TRANSMIT_AMPLITUDE 0x3430
54672#define A_MAC_PORT_TX_LINKC_TRANSMIT_POLARITY 0x3434
54673
54674#define S_T6_NXTPOL 0
54675#define M_T6_NXTPOL 0xfU
54676#define V_T6_NXTPOL(x) ((x) << S_T6_NXTPOL)
54677#define G_T6_NXTPOL(x) (((x) >> S_T6_NXTPOL) & M_T6_NXTPOL)
54678
54679#define A_MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_COMMAND 0x3438
54680
54681#define S_T6_C0UPDT 6
54682#define M_T6_C0UPDT 0x3U
54683#define V_T6_C0UPDT(x) ((x) << S_T6_C0UPDT)
54684#define G_T6_C0UPDT(x) (((x) >> S_T6_C0UPDT) & M_T6_C0UPDT)
54685
54686#define S_T6_C2UPDT 2
54687#define M_T6_C2UPDT 0x3U
54688#define V_T6_C2UPDT(x) ((x) << S_T6_C2UPDT)
54689#define G_T6_C2UPDT(x) (((x) >> S_T6_C2UPDT) & M_T6_C2UPDT)
54690
54691#define S_T6_C1UPDT 0
54692#define M_T6_C1UPDT 0x3U
54693#define V_T6_C1UPDT(x) ((x) << S_T6_C1UPDT)
54694#define G_T6_C1UPDT(x) (((x) >> S_T6_C1UPDT) & M_T6_C1UPDT)
54695
54696#define A_MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_STATUS 0x343c
54697
54698#define S_T6_C0STAT 6
54699#define M_T6_C0STAT 0x3U
54700#define V_T6_C0STAT(x) ((x) << S_T6_C0STAT)
54701#define G_T6_C0STAT(x) (((x) >> S_T6_C0STAT) & M_T6_C0STAT)
54702
54703#define S_T6_C2STAT 2
54704#define M_T6_C2STAT 0x3U
54705#define V_T6_C2STAT(x) ((x) << S_T6_C2STAT)
54706#define G_T6_C2STAT(x) (((x) >> S_T6_C2STAT) & M_T6_C2STAT)
54707
54708#define S_T6_C1STAT 0
54709#define M_T6_C1STAT 0x3U
54710#define V_T6_C1STAT(x) ((x) << S_T6_C1STAT)
54711#define G_T6_C1STAT(x) (((x) >> S_T6_C1STAT) & M_T6_C1STAT)
54712
54713#define A_MAC_PORT_TX_LINKC_TRANSMIT_TAP_0_COEFFICIENT_OVERRIDE 0x3440
54714#define A_MAC_PORT_TX_LINKC_TRANSMIT_AE_TAP_0_COEFFICIENT_OVERRIDE 0x3440
54715#define A_MAC_PORT_TX_LINKC_TRANSMIT_TAP_1_COEFFICIENT_OVERRIDE 0x3444
54716#define A_MAC_PORT_TX_LINKC_TRANSMIT_AE_TAP_1_COEFFICIENT_OVERRIDE 0x3444
54717#define A_MAC_PORT_TX_LINKC_TRANSMIT_TAP_2_COEFFICIENT_OVERRIDE 0x3448
54718#define A_MAC_PORT_TX_LINKC_TRANSMIT_AE_TAP_2_COEFFICIENT_OVERRIDE 0x3448
54719#define A_MAC_PORT_TX_LINKC_TRANSMIT_AE_TAP_3_COEFFICIENT_OVERRIDE 0x344c
54720#define A_MAC_PORT_TX_LINKC_TRANSMIT_APPLIED_TUNE_REGISTER 0x3450
54721#define A_MAC_PORT_TX_LINKC_TRANSMIT_ANALOG_DIAGNOSTICS_REGISTER 0x3458
54722#define A_MAC_PORT_TX_LINKC_TRANSMIT_TAP_0_COEFFICIENT_APPLIED 0x3460
54723#define A_MAC_PORT_TX_LINKC_TRANSMIT_4X_SEGMENT_APPLIED 0x3460
54724#define A_MAC_PORT_TX_LINKC_TRANSMIT_TAP_1_COEFFICIENT_APPLIED 0x3464
54725#define A_MAC_PORT_TX_LINKC_TRANSMIT_2X_SEGMENT_APPLIED 0x3464
54726#define A_MAC_PORT_TX_LINKC_TRANSMIT_TAP_2_COEFFICIENT_APPLIED 0x3468
54727#define A_MAC_PORT_TX_LINKC_TRANSMIT_1X_SEGMENT_APPLIED 0x3468
54728#define A_MAC_PORT_TX_LINKC_TRANSMIT_SEGMENT_4X_TERMINATION_APPLIED 0x346c
54729#define A_MAC_PORT_TX_LINKC_TRANSMIT_SEGMENT_DISABLE_APPLIED_1 0x3470
54730#define A_MAC_PORT_TX_LINKC_TRANSMIT_SEGMENT_2X1X_TERMINATION_APPLIED 0x3470
54731#define A_MAC_PORT_TX_LINKC_TRANSMIT_SEGMENT_DISABLE_APPLIED_2 0x3474
54732#define A_MAC_PORT_TX_LINKC_TRANSMIT_TAP_SIGN_APPLIED_REGISTER 0x3474
54733#define A_MAC_PORT_TX_LINKC_TRANSMIT_EXTENDED_ADDRESS_DATA 0x3478
54734#define A_MAC_PORT_TX_LINKC_TRANSMIT_EXTENDED_ADDRESS_ADDR 0x347c
54735
54736#define S_T6_XADDR 1
54737#define M_T6_XADDR 0x1fU
54738#define V_T6_XADDR(x) ((x) << S_T6_XADDR)
54739#define G_T6_XADDR(x) (((x) >> S_T6_XADDR) & M_T6_XADDR)
54740
54741#define A_MAC_PORT_TX_LINKC_TRANSMIT_PATTERN_BUFFER_BYTES_1_0 0x3480
54742#define A_MAC_PORT_TX_LINKC_TRANSMIT_PATTERN_BUFFER_BYTES_3_2 0x3484
54743#define A_MAC_PORT_TX_LINKC_TRANSMIT_PATTERN_BUFFER_BYTE_4 0x3488
54744#define A_MAC_PORT_TX_LINKC_TRANSMIT_PATTERN_BUFFER_BYTES_5_4 0x3488
54745#define A_MAC_PORT_TX_LINKC_TRANSMIT_DCC_CONTROL 0x348c
54746#define A_MAC_PORT_TX_LINKC_TRANSMIT_PATTERN_BUFFER_BYTES_7_6 0x348c
54747#define A_MAC_PORT_TX_LINKC_TRANSMIT_DCC_OVERRIDE 0x3490
54748#define A_MAC_PORT_TX_LINKC_TRANSMIT_DCC_APPLIED 0x3494
54749#define A_MAC_PORT_TX_LINKC_TRANSMIT_DCC_TIME_OUT 0x3498
54750#define A_MAC_PORT_TX_LINKC_TRANSMIT_802_3AZ_CONTROL 0x349c
54751#define A_T6_MAC_PORT_TX_LINKC_TRANSMIT_DCC_CONTROL 0x34a0
54752
54753#define S_T6_DCCTIMEEN 13
54754#define M_T6_DCCTIMEEN 0x3U
54755#define V_T6_DCCTIMEEN(x) ((x) << S_T6_DCCTIMEEN)
54756#define G_T6_DCCTIMEEN(x) (((x) >> S_T6_DCCTIMEEN) & M_T6_DCCTIMEEN)
54757
54758#define S_T6_DCCLOCK 11
54759#define M_T6_DCCLOCK 0x3U
54760#define V_T6_DCCLOCK(x) ((x) << S_T6_DCCLOCK)
54761#define G_T6_DCCLOCK(x) (((x) >> S_T6_DCCLOCK) & M_T6_DCCLOCK)
54762
54763#define S_T6_DCCOFFSET 8
54764#define M_T6_DCCOFFSET 0x7U
54765#define V_T6_DCCOFFSET(x) ((x) << S_T6_DCCOFFSET)
54766#define G_T6_DCCOFFSET(x) (((x) >> S_T6_DCCOFFSET) & M_T6_DCCOFFSET)
54767
54768#define S_TX_LINKC_DCCSTEP_CTL 6
54769#define M_TX_LINKC_DCCSTEP_CTL 0x3U
54770#define V_TX_LINKC_DCCSTEP_CTL(x) ((x) << S_TX_LINKC_DCCSTEP_CTL)
54771#define G_TX_LINKC_DCCSTEP_CTL(x) (((x) >> S_TX_LINKC_DCCSTEP_CTL) & M_TX_LINKC_DCCSTEP_CTL)
54772
54773#define A_T6_MAC_PORT_TX_LINKC_TRANSMIT_DCC_OVERRIDE 0x34a4
54774#define A_T6_MAC_PORT_TX_LINKC_TRANSMIT_DCC_APPLIED 0x34a8
54775#define A_T6_MAC_PORT_TX_LINKC_TRANSMIT_DCC_TIME_OUT 0x34ac
54776#define A_MAC_PORT_TX_LINKC_TRANSMIT_TAP_SIGN_OVERRIDE 0x34c0
54777#define A_MAC_PORT_TX_LINKC_TRANSMIT_SEGMENT_4X_OVERRIDE 0x34c8
54778#define A_MAC_PORT_TX_LINKC_TRANSMIT_SEGMENT_2X_OVERRIDE 0x34cc
54779#define A_MAC_PORT_TX_LINKC_TRANSMIT_SEGMENT_1X_OVERRIDE 0x34d0
54780#define A_MAC_PORT_TX_LINKC_TRANSMIT_TAP_SEGMENT_4X_TERMINATION_OVERRIDE 0x34d8
54781#define A_MAC_PORT_TX_LINKC_TRANSMIT_TAP_SEGMENT_2X_TERMINATION_OVERRIDE 0x34dc
54782#define A_MAC_PORT_TX_LINKC_TRANSMIT_TAP_SEGMENT_1X_TERMINATION_OVERRIDE 0x34e0
54783#define A_MAC_PORT_TX_LINKC_TRANSMIT_MACRO_TEST_CONTROL_5 0x34ec
54784#define A_MAC_PORT_TX_LINKC_TRANSMIT_MACRO_TEST_CONTROL_4 0x34f0
54785
54786#define S_T6_SDOVRD 0
54787#define M_T6_SDOVRD 0xffffU
54788#define V_T6_SDOVRD(x) ((x) << S_T6_SDOVRD)
54789#define G_T6_SDOVRD(x) (((x) >> S_T6_SDOVRD) & M_T6_SDOVRD)
54790
54791#define A_MAC_PORT_TX_LINKC_TRANSMIT_MACRO_TEST_CONTROL_3 0x34f4
54792#define A_MAC_PORT_TX_LINKC_TRANSMIT_MACRO_TEST_CONTROL_2 0x34f8
54793#define A_MAC_PORT_TX_LINKC_TRANSMIT_MACRO_TEST_CONTROL_1 0x34fc
54794
54795#define S_T6_SDOVRDEN 15
54796#define V_T6_SDOVRDEN(x) ((x) << S_T6_SDOVRDEN)
54797#define F_T6_SDOVRDEN V_T6_SDOVRDEN(1U)
54798
54799#define A_MAC_PORT_TX_LINKD_TRANSMIT_CONFIGURATION_MODE 0x3500
54800
54801#define S_T6_T5_TX_RXLOOP 5
54802#define V_T6_T5_TX_RXLOOP(x) ((x) << S_T6_T5_TX_RXLOOP)
54803#define F_T6_T5_TX_RXLOOP V_T6_T5_TX_RXLOOP(1U)
54804
54805#define S_T6_T5_TX_BWSEL 2
54806#define M_T6_T5_TX_BWSEL 0x3U
54807#define V_T6_T5_TX_BWSEL(x) ((x) << S_T6_T5_TX_BWSEL)
54808#define G_T6_T5_TX_BWSEL(x) (((x) >> S_T6_T5_TX_BWSEL) & M_T6_T5_TX_BWSEL)
54809
54810#define A_MAC_PORT_TX_LINKD_TRANSMIT_TEST_CONTROL 0x3504
54811
54812#define S_T6_ERROR 9
54813#define V_T6_ERROR(x) ((x) << S_T6_ERROR)
54814#define F_T6_ERROR V_T6_ERROR(1U)
54815
54816#define A_MAC_PORT_TX_LINKD_TRANSMIT_COEFFICIENT_CONTROL 0x3508
54817#define A_MAC_PORT_TX_LINKD_TRANSMIT_DRIVER_MODE_CONTROL 0x350c
54818#define A_MAC_PORT_TX_LINKD_TRANSMIT_DRIVER_OVERRIDE_CONTROL 0x3510
54819#define A_MAC_PORT_TX_LINKD_TRANSMIT_DCLK_ROTATOR_OVERRIDE 0x3514
54820#define A_MAC_PORT_TX_LINKD_TRANSMIT_IMPEDANCE_CALIBRATION_OVERRIDE 0x3518
54821
54822#define S_T6_CALSSTN 8
54823#define M_T6_CALSSTN 0x3fU
54824#define V_T6_CALSSTN(x) ((x) << S_T6_CALSSTN)
54825#define G_T6_CALSSTN(x) (((x) >> S_T6_CALSSTN) & M_T6_CALSSTN)
54826
54827#define S_T6_CALSSTP 0
54828#define M_T6_CALSSTP 0x3fU
54829#define V_T6_CALSSTP(x) ((x) << S_T6_CALSSTP)
54830#define G_T6_CALSSTP(x) (((x) >> S_T6_CALSSTP) & M_T6_CALSSTP)
54831
54832#define A_MAC_PORT_TX_LINKD_TRANSMIT_DCLK_DRIFT_TOLERANCE 0x351c
54833
54834#define S_T6_DRTOL 2
54835#define M_T6_DRTOL 0x7U
54836#define V_T6_DRTOL(x) ((x) << S_T6_DRTOL)
54837#define G_T6_DRTOL(x) (((x) >> S_T6_DRTOL) & M_T6_DRTOL)
54838
54839#define A_MAC_PORT_TX_LINKD_TRANSMIT_TAP_0_COEFFICIENT 0x3520
54840
54841#define S_T6_NXTT0 0
54842#define M_T6_NXTT0 0x3fU
54843#define V_T6_NXTT0(x) ((x) << S_T6_NXTT0)
54844#define G_T6_NXTT0(x) (((x) >> S_T6_NXTT0) & M_T6_NXTT0)
54845
54846#define A_MAC_PORT_TX_LINKD_TRANSMIT_TAP_1_COEFFICIENT 0x3524
54847#define A_MAC_PORT_TX_LINKD_TRANSMIT_TAP_2_COEFFICIENT 0x3528
54848
54849#define S_T6_NXTT2 0
54850#define M_T6_NXTT2 0x3fU
54851#define V_T6_NXTT2(x) ((x) << S_T6_NXTT2)
54852#define G_T6_NXTT2(x) (((x) >> S_T6_NXTT2) & M_T6_NXTT2)
54853
54854#define A_MAC_PORT_TX_LINKD_TRANSMIT_TAP_3_COEFFICIENT 0x352c
54855#define A_MAC_PORT_TX_LINKD_TRANSMIT_AMPLITUDE 0x3530
54856#define A_MAC_PORT_TX_LINKD_TRANSMIT_POLARITY 0x3534
54857
54858#define S_T6_NXTPOL 0
54859#define M_T6_NXTPOL 0xfU
54860#define V_T6_NXTPOL(x) ((x) << S_T6_NXTPOL)
54861#define G_T6_NXTPOL(x) (((x) >> S_T6_NXTPOL) & M_T6_NXTPOL)
54862
54863#define A_MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_COMMAND 0x3538
54864
54865#define S_T6_C0UPDT 6
54866#define M_T6_C0UPDT 0x3U
54867#define V_T6_C0UPDT(x) ((x) << S_T6_C0UPDT)
54868#define G_T6_C0UPDT(x) (((x) >> S_T6_C0UPDT) & M_T6_C0UPDT)
54869
54870#define S_T6_C2UPDT 2
54871#define M_T6_C2UPDT 0x3U
54872#define V_T6_C2UPDT(x) ((x) << S_T6_C2UPDT)
54873#define G_T6_C2UPDT(x) (((x) >> S_T6_C2UPDT) & M_T6_C2UPDT)
54874
54875#define S_T6_C1UPDT 0
54876#define M_T6_C1UPDT 0x3U
54877#define V_T6_C1UPDT(x) ((x) << S_T6_C1UPDT)
54878#define G_T6_C1UPDT(x) (((x) >> S_T6_C1UPDT) & M_T6_C1UPDT)
54879
54880#define A_MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_STATUS 0x353c
54881
54882#define S_T6_C0STAT 6
54883#define M_T6_C0STAT 0x3U
54884#define V_T6_C0STAT(x) ((x) << S_T6_C0STAT)
54885#define G_T6_C0STAT(x) (((x) >> S_T6_C0STAT) & M_T6_C0STAT)
54886
54887#define S_T6_C2STAT 2
54888#define M_T6_C2STAT 0x3U
54889#define V_T6_C2STAT(x) ((x) << S_T6_C2STAT)
54890#define G_T6_C2STAT(x) (((x) >> S_T6_C2STAT) & M_T6_C2STAT)
54891
54892#define S_T6_C1STAT 0
54893#define M_T6_C1STAT 0x3U
54894#define V_T6_C1STAT(x) ((x) << S_T6_C1STAT)
54895#define G_T6_C1STAT(x) (((x) >> S_T6_C1STAT) & M_T6_C1STAT)
54896
54897#define A_MAC_PORT_TX_LINKD_TRANSMIT_TAP_0_COEFFICIENT_OVERRIDE 0x3540
54898#define A_MAC_PORT_TX_LINKD_TRANSMIT_AE_TAP_0_COEFFICIENT_OVERRIDE 0x3540
54899#define A_MAC_PORT_TX_LINKD_TRANSMIT_TAP_1_COEFFICIENT_OVERRIDE 0x3544
54900#define A_MAC_PORT_TX_LINKD_TRANSMIT_AE_TAP_1_COEFFICIENT_OVERRIDE 0x3544
54901#define A_MAC_PORT_TX_LINKD_TRANSMIT_TAP_2_COEFFICIENT_OVERRIDE 0x3548
54902#define A_MAC_PORT_TX_LINKD_TRANSMIT_AE_TAP_2_COEFFICIENT_OVERRIDE 0x3548
54903#define A_MAC_PORT_TX_LINKD_TRANSMIT_AE_TAP_3_COEFFICIENT_OVERRIDE 0x354c
54904#define A_MAC_PORT_TX_LINKD_TRANSMIT_APPLIED_TUNE_REGISTER 0x3550
54905#define A_MAC_PORT_TX_LINKD_TRANSMIT_ANALOG_DIAGNOSTICS_REGISTER 0x3558
54906#define A_MAC_PORT_TX_LINKD_TRANSMIT_TAP_0_COEFFICIENT_APPLIED 0x3560
54907#define A_MAC_PORT_TX_LINKD_TRANSMIT_4X_SEGMENT_APPLIED 0x3560
54908#define A_MAC_PORT_TX_LINKD_TRANSMIT_TAP_1_COEFFICIENT_APPLIED 0x3564
54909#define A_MAC_PORT_TX_LINKD_TRANSMIT_2X_SEGMENT_APPLIED 0x3564
54910#define A_MAC_PORT_TX_LINKD_TRANSMIT_TAP_2_COEFFICIENT_APPLIED 0x3568
54911#define A_MAC_PORT_TX_LINKD_TRANSMIT_1X_SEGMENT_APPLIED 0x3568
54912#define A_MAC_PORT_TX_LINKD_TRANSMIT_SEGMENT_4X_TERMINATION_APPLIED 0x356c
54913#define A_MAC_PORT_TX_LINKD_TRANSMIT_SEGMENT_DISABLE_APPLIED_1 0x3570
54914#define A_MAC_PORT_TX_LINKD_TRANSMIT_SEGMENT_2X1X_TERMINATION_APPLIED 0x3570
54915#define A_MAC_PORT_TX_LINKD_TRANSMIT_SEGMENT_DISABLE_APPLIED_2 0x3574
54916#define A_MAC_PORT_TX_LINKD_TRANSMIT_TAP_SIGN_APPLIED_REGISTER 0x3574
54917#define A_MAC_PORT_TX_LINKD_TRANSMIT_EXTENDED_ADDRESS_DATA 0x3578
54918#define A_MAC_PORT_TX_LINKD_TRANSMIT_EXTENDED_ADDRESS_ADDR 0x357c
54919
54920#define S_T6_XADDR 1
54921#define M_T6_XADDR 0x1fU
54922#define V_T6_XADDR(x) ((x) << S_T6_XADDR)
54923#define G_T6_XADDR(x) (((x) >> S_T6_XADDR) & M_T6_XADDR)
54924
54925#define A_MAC_PORT_TX_LINKD_TRANSMIT_PATTERN_BUFFER_BYTES_1_0 0x3580
54926#define A_MAC_PORT_TX_LINKD_TRANSMIT_PATTERN_BUFFER_BYTES_3_2 0x3584
54927#define A_MAC_PORT_TX_LINKD_TRANSMIT_PATTERN_BUFFER_BYTE_4 0x3588
54928#define A_MAC_PORT_TX_LINKD_TRANSMIT_PATTERN_BUFFER_BYTES_5_4 0x3588
54929#define A_MAC_PORT_TX_LINKD_TRANSMIT_DCC_CONTROL 0x358c
54930#define A_MAC_PORT_TX_LINKD_TRANSMIT_PATTERN_BUFFER_BYTES_7_6 0x358c
54931#define A_MAC_PORT_TX_LINKD_TRANSMIT_DCC_OVERRIDE 0x3590
54932#define A_MAC_PORT_TX_LINKD_TRANSMIT_DCC_APPLIED 0x3594
54933#define A_MAC_PORT_TX_LINKD_TRANSMIT_DCC_TIME_OUT 0x3598
54934#define A_MAC_PORT_TX_LINKD_TRANSMIT_802_3AZ_CONTROL 0x359c
54935#define A_T6_MAC_PORT_TX_LINKD_TRANSMIT_DCC_CONTROL 0x35a0
54936
54937#define S_T6_DCCTIMEEN 13
54938#define M_T6_DCCTIMEEN 0x3U
54939#define V_T6_DCCTIMEEN(x) ((x) << S_T6_DCCTIMEEN)
54940#define G_T6_DCCTIMEEN(x) (((x) >> S_T6_DCCTIMEEN) & M_T6_DCCTIMEEN)
54941
54942#define S_T6_DCCLOCK 11
54943#define M_T6_DCCLOCK 0x3U
54944#define V_T6_DCCLOCK(x) ((x) << S_T6_DCCLOCK)
54945#define G_T6_DCCLOCK(x) (((x) >> S_T6_DCCLOCK) & M_T6_DCCLOCK)
54946
54947#define S_T6_DCCOFFSET 8
54948#define M_T6_DCCOFFSET 0x7U
54949#define V_T6_DCCOFFSET(x) ((x) << S_T6_DCCOFFSET)
54950#define G_T6_DCCOFFSET(x) (((x) >> S_T6_DCCOFFSET) & M_T6_DCCOFFSET)
54951
54952#define S_TX_LINKD_DCCSTEP_CTL 6
54953#define M_TX_LINKD_DCCSTEP_CTL 0x3U
54954#define V_TX_LINKD_DCCSTEP_CTL(x) ((x) << S_TX_LINKD_DCCSTEP_CTL)
54955#define G_TX_LINKD_DCCSTEP_CTL(x) (((x) >> S_TX_LINKD_DCCSTEP_CTL) & M_TX_LINKD_DCCSTEP_CTL)
54956
54957#define A_T6_MAC_PORT_TX_LINKD_TRANSMIT_DCC_OVERRIDE 0x35a4
54958#define A_T6_MAC_PORT_TX_LINKD_TRANSMIT_DCC_APPLIED 0x35a8
54959#define A_T6_MAC_PORT_TX_LINKD_TRANSMIT_DCC_TIME_OUT 0x35ac
54960#define A_MAC_PORT_TX_LINKD_TRANSMIT_TAP_SIGN_OVERRIDE 0x35c0
54961#define A_MAC_PORT_TX_LINKD_TRANSMIT_SEGMENT_4X_OVERRIDE 0x35c8
54962#define A_MAC_PORT_TX_LINKD_TRANSMIT_SEGMENT_2X_OVERRIDE 0x35cc
54963#define A_MAC_PORT_TX_LINKD_TRANSMIT_SEGMENT_1X_OVERRIDE 0x35d0
54964#define A_MAC_PORT_TX_LINKD_TRANSMIT_TAP_SEGMENT_4X_TERMINATION_OVERRIDE 0x35d8
54965#define A_MAC_PORT_TX_LINKD_TRANSMIT_TAP_SEGMENT_2X_TERMINATION_OVERRIDE 0x35dc
54966#define A_MAC_PORT_TX_LINKD_TRANSMIT_TAP_SEGMENT_1X_TERMINATION_OVERRIDE 0x35e0
54967#define A_MAC_PORT_TX_LINKD_TRANSMIT_MACRO_TEST_CONTROL_5 0x35ec
54968#define A_MAC_PORT_TX_LINKD_TRANSMIT_MACRO_TEST_CONTROL_4 0x35f0
54969
54970#define S_T6_SDOVRD 0
54971#define M_T6_SDOVRD 0xffffU
54972#define V_T6_SDOVRD(x) ((x) << S_T6_SDOVRD)
54973#define G_T6_SDOVRD(x) (((x) >> S_T6_SDOVRD) & M_T6_SDOVRD)
54974
54975#define A_MAC_PORT_TX_LINKD_TRANSMIT_MACRO_TEST_CONTROL_3 0x35f4
54976#define A_MAC_PORT_TX_LINKD_TRANSMIT_MACRO_TEST_CONTROL_2 0x35f8
54977#define A_MAC_PORT_TX_LINKD_TRANSMIT_MACRO_TEST_CONTROL_1 0x35fc
54978
54979#define S_T6_SDOVRDEN 15
54980#define V_T6_SDOVRDEN(x) ((x) << S_T6_SDOVRDEN)
54981#define F_T6_SDOVRDEN V_T6_SDOVRDEN(1U)
54982
54983#define A_MAC_PORT_RX_LINKC_RECEIVER_CONFIGURATION_MODE 0x3600
54984#define A_MAC_PORT_RX_LINKC_RECEIVER_TEST_CONTROL 0x3604
54985#define A_MAC_PORT_RX_LINKC_PHASE_ROTATOR_CONTROL 0x3608
54986#define A_MAC_PORT_RX_LINKC_PHASE_ROTATOR_OFFSET_CONTROL 0x360c
54987
54988#define S_T6_TMSCAL 8
54989#define M_T6_TMSCAL 0x3U
54990#define V_T6_TMSCAL(x) ((x) << S_T6_TMSCAL)
54991#define G_T6_TMSCAL(x) (((x) >> S_T6_TMSCAL) & M_T6_TMSCAL)
54992
54993#define S_T6_APADJ 7
54994#define V_T6_APADJ(x) ((x) << S_T6_APADJ)
54995#define F_T6_APADJ V_T6_APADJ(1U)
54996
54997#define S_T6_RSEL 6
54998#define V_T6_RSEL(x) ((x) << S_T6_RSEL)
54999#define F_T6_RSEL V_T6_RSEL(1U)
55000
55001#define S_T6_PHOFFS 0
55002#define M_T6_PHOFFS 0x3fU
55003#define V_T6_PHOFFS(x) ((x) << S_T6_PHOFFS)
55004#define G_T6_PHOFFS(x) (((x) >> S_T6_PHOFFS) & M_T6_PHOFFS)
55005
55006#define A_MAC_PORT_RX_LINKC_PHASE_ROTATOR_POSITION_1 0x3610
55007#define A_MAC_PORT_RX_LINKC_PHASE_ROTATOR_POSITION_2 0x3614
55008#define A_MAC_PORT_RX_LINKC_PHASE_ROTATOR_STATIC_PHASE_OFFSET_1 0x3618
55009#define A_MAC_PORT_RX_LINKC_PHASE_ROTATOR_STATIC_PHASE_OFFSET_2 0x361c
55010#define A_MAC_PORT_RX_LINKC_DFE_CONTROL 0x3620
55011
55012#define S_T6_SPIFMT 8
55013#define M_T6_SPIFMT 0xfU
55014#define V_T6_SPIFMT(x) ((x) << S_T6_SPIFMT)
55015#define G_T6_SPIFMT(x) (((x) >> S_T6_SPIFMT) & M_T6_SPIFMT)
55016
55017#define A_MAC_PORT_RX_LINKC_DFE_SAMPLE_SNAPSHOT_1 0x3624
55018#define A_MAC_PORT_RX_LINKC_DFE_SAMPLE_SNAPSHOT_2 0x3628
55019#define A_MAC_PORT_RX_LINKC_RECEIVER_VGA_CONTROL_1 0x362c
55020
55021#define S_T6_WRAPSEL 15
55022#define V_T6_WRAPSEL(x) ((x) << S_T6_WRAPSEL)
55023#define F_T6_WRAPSEL V_T6_WRAPSEL(1U)
55024
55025#define S_T6_PEAK 9
55026#define M_T6_PEAK 0x1fU
55027#define V_T6_PEAK(x) ((x) << S_T6_PEAK)
55028#define G_T6_PEAK(x) (((x) >> S_T6_PEAK) & M_T6_PEAK)
55029
55030#define A_MAC_PORT_RX_LINKC_RECEIVER_VGA_CONTROL_2 0x3630
55031
55032#define S_T6_T5VGAIN 0
55033#define M_T6_T5VGAIN 0x7fU
55034#define V_T6_T5VGAIN(x) ((x) << S_T6_T5VGAIN)
55035#define G_T6_T5VGAIN(x) (((x) >> S_T6_T5VGAIN) & M_T6_T5VGAIN)
55036
55037#define A_MAC_PORT_RX_LINKC_RECEIVER_VGA_CONTROL_3 0x3634
55038#define A_MAC_PORT_RX_LINKC_RECEIVER_DQCC_CONTROL_1 0x3638
55039#define A_MAC_PORT_RX_LINKC_RECEIVER_POWER_MANAGEMENT_CONTROL 0x3638
55040#define A_MAC_PORT_RX_LINKC_RECEIVER_IQAMP_CONTROL_1 0x363c
55041#define A_MAC_PORT_RX_LINKC_RECEIVER_DQCC_CONTROL_3 0x3640
55042#define A_MAC_PORT_RX_LINKC_RECEIVER_IQAMP_CONTROL_2 0x3640
55043#define A_MAC_PORT_RX_LINKC_RECEIVER_DACAP_AND_DACAN_SELECTION 0x3644
55044#define A_MAC_PORT_RX_LINKC_RECEIVER_DACAP_AND_DACAN 0x3648
55045#define A_MAC_PORT_RX_LINKC_RECEIVER_DACA_MIN_AND_DACAZ 0x364c
55046#define A_MAC_PORT_RX_LINKC_RECEIVER_DACA_MIN 0x364c
55047#define A_MAC_PORT_RX_LINKC_RECEIVER_ADAC_CONTROL 0x3650
55048#define A_MAC_PORT_RX_LINKC_RECEIVER_AC_COUPLING_CONTROL 0x3654
55049#define A_MAC_PORT_RX_LINKC_RECEIVER_AC_COUPLING_VALUE 0x3658
55050#define A_MAC_PORT_RX_LINKC_DFE_H1_LOCAL_OFFSET_ODD2_EVN2 0x365c
55051#define A_MAC_PORT_RX_LINKC_DFE_H1H2H3_LOCAL_OFFSET 0x365c
55052#define A_MAC_PORT_RX_LINKC_DFE_H1_LOCAL_OFFSET_ODD3_EVN3 0x3660
55053#define A_MAC_PORT_RX_LINKC_DFE_H1H2H3_LOCAL_OFFSET_VALUE 0x3660
55054#define A_MAC_PORT_RX_LINKC_DFE_H1_LOCAL_OFFSET_ODD4_EVN4 0x3664
55055#define A_MAC_PORT_RX_LINKC_PEAKED_INTEGRATOR 0x3664
55056#define A_MAC_PORT_RX_LINKC_CDR_ANALOG_SWITCH 0x3668
55057#define A_MAC_PORT_RX_LINKC_PEAKING_AMPLIFIER_INTIALIZATION_CONTROL 0x366c
55058#define A_MAC_PORT_RX_LINKC_DYNAMIC_AMPLITUDE_CENTERING_DAC_AND_DYNAMIC_PEAKING_CONTROL_DPC 0x3670
55059#define A_MAC_PORT_RX_LINKC_DYNAMIC_DATA_CENTERING_DDC 0x3674
55060
55061#define S_T6_ODEC 0
55062#define M_T6_ODEC 0xfU
55063#define V_T6_ODEC(x) ((x) << S_T6_ODEC)
55064#define G_T6_ODEC(x) (((x) >> S_T6_ODEC) & M_T6_ODEC)
55065
55066#define A_MAC_PORT_RX_LINKC_RECEIVER_INTERNAL_STATUS 0x3678
55067
55068#define S_RX_LINKC_ACCCMP_RIS 11
55069#define V_RX_LINKC_ACCCMP_RIS(x) ((x) << S_RX_LINKC_ACCCMP_RIS)
55070#define F_RX_LINKC_ACCCMP_RIS V_RX_LINKC_ACCCMP_RIS(1U)
55071
55072#define A_MAC_PORT_RX_LINKC_DFE_FUNCTION_CONTROL_1 0x367c
55073#define A_MAC_PORT_RX_LINKC_DFE_FUNCTION_CONTROL_2 0x3680
55074#define A_MAC_PORT_RX_LINKC_DFE_OFFSET_EVN1_EVN2 0x3684
55075#define A_MAC_PORT_RX_LINKC_DFE_OFFSET_CHANNEL 0x3684
55076#define A_MAC_PORT_RX_LINKC_DFE_OFFSET_ODD1_ODD2 0x3688
55077#define A_MAC_PORT_RX_LINKC_DFE_OFFSET_VALUE 0x3688
55078#define A_MAC_PORT_RX_LINKC_DFE_OFFSET_EVN3_EVN4 0x368c
55079#define A_MAC_PORT_RX_LINKC_H_COEFFICIENBT_BIST 0x368c
55080#define A_MAC_PORT_RX_LINKC_DFE_OFFSET_ODD3_ODD4 0x3690
55081#define A_MAC_PORT_RX_LINKC_AC_CAPACITOR_BIST 0x3690
55082
55083#define S_RX_LINKC_ACCCMP_BIST 13
55084#define V_RX_LINKC_ACCCMP_BIST(x) ((x) << S_RX_LINKC_ACCCMP_BIST)
55085#define F_RX_LINKC_ACCCMP_BIST V_RX_LINKC_ACCCMP_BIST(1U)
55086
55087#define A_MAC_PORT_RX_LINKC_DFE_E0_AND_E1_OFFSET 0x3694
55088#define A_MAC_PORT_RX_LINKC_RECEIVER_LOFF_CONTROL 0x3698
55089#define A_MAC_PORT_RX_LINKC_RECEIVER_LOFF_CONTROL_REGISTER 0x3698
55090#define A_MAC_PORT_RX_LINKC_RECEIVER_SIGDET_CONTROL 0x369c
55091#define A_MAC_PORT_RX_LINKC_RECEIVER_ANALOG_CONTROL_SWITCH 0x36a0
55092#define A_MAC_PORT_RX_LINKC_INTEGRATOR_DAC_OFFSET 0x36a4
55093#define A_MAC_PORT_RX_LINKC_DIGITAL_EYE_CONTROL 0x36a8
55094#define A_MAC_PORT_RX_LINKC_DIGITAL_EYE_METRICS 0x36ac
55095
55096#define S_T6_EMMD 3
55097#define M_T6_EMMD 0x3U
55098#define V_T6_EMMD(x) ((x) << S_T6_EMMD)
55099#define G_T6_EMMD(x) (((x) >> S_T6_EMMD) & M_T6_EMMD)
55100
55101#define S_T6_EMBRDY 2
55102#define V_T6_EMBRDY(x) ((x) << S_T6_EMBRDY)
55103#define F_T6_EMBRDY V_T6_EMBRDY(1U)
55104
55105#define S_T6_EMBUMP 1
55106#define V_T6_EMBUMP(x) ((x) << S_T6_EMBUMP)
55107#define F_T6_EMBUMP V_T6_EMBUMP(1U)
55108
55109#define A_MAC_PORT_RX_LINKC_DIGITAL_EYE_METRICS_ERROR_COUNT 0x36b0
55110#define A_MAC_PORT_RX_LINKC_DIGITAL_EYE_METRICS_PDF_EYE_COUNT 0x36b4
55111#define A_MAC_PORT_RX_LINKC_DIGITAL_EYE_METRICS_PATTERN_LENGTH 0x36b8
55112#define A_MAC_PORT_RX_LINKC_DFE_FUNCTION_CONTROL_3 0x36bc
55113#define A_MAC_PORT_RX_LINKC_DFE_TAP_ENABLE 0x36c0
55114#define A_MAC_PORT_RX_LINKC_DFE_TAP_CONTROL 0x36c0
55115
55116#define S_RX_LINKC_INDEX_DFE_TC 0
55117#define M_RX_LINKC_INDEX_DFE_TC 0xfU
55118#define V_RX_LINKC_INDEX_DFE_TC(x) ((x) << S_RX_LINKC_INDEX_DFE_TC)
55119#define G_RX_LINKC_INDEX_DFE_TC(x) (((x) >> S_RX_LINKC_INDEX_DFE_TC) & M_RX_LINKC_INDEX_DFE_TC)
55120
55121#define A_MAC_PORT_RX_LINKC_DFE_H1 0x36c4
55122#define A_MAC_PORT_RX_LINKC_DFE_TAP 0x36c4
55123
55124#define S_RX_LINKC_INDEX_DFE_TAP 0
55125#define M_RX_LINKC_INDEX_DFE_TAP 0xfU
55126#define V_RX_LINKC_INDEX_DFE_TAP(x) ((x) << S_RX_LINKC_INDEX_DFE_TAP)
55127#define G_RX_LINKC_INDEX_DFE_TAP(x) (((x) >> S_RX_LINKC_INDEX_DFE_TAP) & M_RX_LINKC_INDEX_DFE_TAP)
55128
55129#define A_MAC_PORT_RX_LINKC_DFE_H2 0x36c8
55130#define A_MAC_PORT_RX_LINKC_DFE_H3 0x36cc
55131#define A_MAC_PORT_RX_LINKC_DFE_H4 0x36d0
55132#define A_MAC_PORT_RX_LINKC_DFE_H5 0x36d4
55133#define A_MAC_PORT_RX_LINKC_DFE_H6_AND_H7 0x36d8
55134#define A_MAC_PORT_RX_LINKC_DFE_H8_AND_H9 0x36dc
55135#define A_MAC_PORT_RX_LINKC_DFE_H10_AND_H11 0x36e0
55136#define A_MAC_PORT_RX_LINKC_DFE_H12 0x36e4
55137#define A_MAC_PORT_RX_LINKC_RECEIVER_INTERNAL_STATUS_2 0x36e4
55138#define A_MAC_PORT_RX_LINKC_AC_COUPLING_CURRENT_SOURCE_ADJUST 0x36e8
55139#define A_MAC_PORT_RX_LINKC_RECEIVER_DCD_CONTROL 0x36ec
55140#define A_MAC_PORT_RX_LINKC_RECEIVER_DCC_CONTROL 0x36f0
55141
55142#define S_RX_LINKC_DCCSTEP_RXCTL 10
55143#define M_RX_LINKC_DCCSTEP_RXCTL 0x3U
55144#define V_RX_LINKC_DCCSTEP_RXCTL(x) ((x) << S_RX_LINKC_DCCSTEP_RXCTL)
55145#define G_RX_LINKC_DCCSTEP_RXCTL(x) (((x) >> S_RX_LINKC_DCCSTEP_RXCTL) & M_RX_LINKC_DCCSTEP_RXCTL)
55146
55147#define S_RX_LINKC_DCCLOCK_RXCTL 8
55148#define V_RX_LINKC_DCCLOCK_RXCTL(x) ((x) << S_RX_LINKC_DCCLOCK_RXCTL)
55149#define F_RX_LINKC_DCCLOCK_RXCTL V_RX_LINKC_DCCLOCK_RXCTL(1U)
55150
55151#define A_MAC_PORT_RX_LINKC_RECEIVER_QCC_CONTROL 0x36f4
55152#define A_MAC_PORT_RX_LINKC_RECEIVER_MACRO_TEST_CONTROL_2 0x36f8
55153#define A_MAC_PORT_RX_LINKC_RECEIVER_MACRO_TEST_CONTROL_REGISTER_2 0x36f8
55154#define A_MAC_PORT_RX_LINKC_RECEIVER_MACRO_TEST_CONTROL_1 0x36fc
55155#define A_MAC_PORT_RX_LINKD_RECEIVER_CONFIGURATION_MODE 0x3700
55156#define A_MAC_PORT_RX_LINKD_RECEIVER_TEST_CONTROL 0x3704
55157#define A_MAC_PORT_RX_LINKD_PHASE_ROTATOR_CONTROL 0x3708
55158#define A_MAC_PORT_RX_LINKD_PHASE_ROTATOR_OFFSET_CONTROL 0x370c
55159
55160#define S_T6_TMSCAL 8
55161#define M_T6_TMSCAL 0x3U
55162#define V_T6_TMSCAL(x) ((x) << S_T6_TMSCAL)
55163#define G_T6_TMSCAL(x) (((x) >> S_T6_TMSCAL) & M_T6_TMSCAL)
55164
55165#define S_T6_APADJ 7
55166#define V_T6_APADJ(x) ((x) << S_T6_APADJ)
55167#define F_T6_APADJ V_T6_APADJ(1U)
55168
55169#define S_T6_RSEL 6
55170#define V_T6_RSEL(x) ((x) << S_T6_RSEL)
55171#define F_T6_RSEL V_T6_RSEL(1U)
55172
55173#define S_T6_PHOFFS 0
55174#define M_T6_PHOFFS 0x3fU
55175#define V_T6_PHOFFS(x) ((x) << S_T6_PHOFFS)
55176#define G_T6_PHOFFS(x) (((x) >> S_T6_PHOFFS) & M_T6_PHOFFS)
55177
55178#define A_MAC_PORT_RX_LINKD_PHASE_ROTATOR_POSITION_1 0x3710
55179#define A_MAC_PORT_RX_LINKD_PHASE_ROTATOR_POSITION_2 0x3714
55180#define A_MAC_PORT_RX_LINKD_PHASE_ROTATOR_STATIC_PHASE_OFFSET_1 0x3718
55181#define A_MAC_PORT_RX_LINKD_PHASE_ROTATOR_STATIC_PHASE_OFFSET_2 0x371c
55182#define A_MAC_PORT_RX_LINKD_DFE_CONTROL 0x3720
55183
55184#define S_T6_SPIFMT 8
55185#define M_T6_SPIFMT 0xfU
55186#define V_T6_SPIFMT(x) ((x) << S_T6_SPIFMT)
55187#define G_T6_SPIFMT(x) (((x) >> S_T6_SPIFMT) & M_T6_SPIFMT)
55188
55189#define A_MAC_PORT_RX_LINKD_DFE_SAMPLE_SNAPSHOT_1 0x3724
55190#define A_MAC_PORT_RX_LINKD_DFE_SAMPLE_SNAPSHOT_2 0x3728
55191#define A_MAC_PORT_RX_LINKD_RECEIVER_VGA_CONTROL_1 0x372c
55192
55193#define S_T6_WRAPSEL 15
55194#define V_T6_WRAPSEL(x) ((x) << S_T6_WRAPSEL)
55195#define F_T6_WRAPSEL V_T6_WRAPSEL(1U)
55196
55197#define S_T6_PEAK 9
55198#define M_T6_PEAK 0x1fU
55199#define V_T6_PEAK(x) ((x) << S_T6_PEAK)
55200#define G_T6_PEAK(x) (((x) >> S_T6_PEAK) & M_T6_PEAK)
55201
55202#define A_MAC_PORT_RX_LINKD_RECEIVER_VGA_CONTROL_2 0x3730
55203
55204#define S_T6_T5VGAIN 0
55205#define M_T6_T5VGAIN 0x7fU
55206#define V_T6_T5VGAIN(x) ((x) << S_T6_T5VGAIN)
55207#define G_T6_T5VGAIN(x) (((x) >> S_T6_T5VGAIN) & M_T6_T5VGAIN)
55208
55209#define A_MAC_PORT_RX_LINKD_RECEIVER_VGA_CONTROL_3 0x3734
55210#define A_MAC_PORT_RX_LINKD_RECEIVER_DQCC_CONTROL_1 0x3738
55211#define A_MAC_PORT_RX_LINKD_RECEIVER_POWER_MANAGEMENT_CONTROL 0x3738
55212#define A_MAC_PORT_RX_LINKD_RECEIVER_IQAMP_CONTROL_1 0x373c
55213#define A_MAC_PORT_RX_LINKD_RECEIVER_DQCC_CONTROL_3 0x3740
55214#define A_MAC_PORT_RX_LINKD_RECEIVER_IQAMP_CONTROL_2 0x3740
55215#define A_MAC_PORT_RX_LINKD_RECEIVER_DACAP_AND_DACAN_SELECTION 0x3744
55216#define A_MAC_PORT_RX_LINKD_RECEIVER_DACAP_AND_DACAN 0x3748
55217#define A_MAC_PORT_RX_LINKD_RECEIVER_DACA_MIN_AND_DACAZ 0x374c
55218#define A_MAC_PORT_RX_LINKD_RECEIVER_DACA_MIN 0x374c
55219#define A_MAC_PORT_RX_LINKD_RECEIVER_ADAC_CONTROL 0x3750
55220#define A_MAC_PORT_RX_LINKD_RECEIVER_AC_COUPLING_CONTROL 0x3754
55221#define A_MAC_PORT_RX_LINKD_RECEIVER_AC_COUPLING_VALUE 0x3758
55222#define A_MAC_PORT_RX_LINKD_DFE_H1_LOCAL_OFFSET_ODD2_EVN2 0x375c
55223#define A_MAC_PORT_RX_LINKD_DFE_H1H2H3_LOCAL_OFFSET 0x375c
55224#define A_MAC_PORT_RX_LINKD_DFE_H1_LOCAL_OFFSET_ODD3_EVN3 0x3760
55225#define A_MAC_PORT_RX_LINKD_DFE_H1H2H3_LOCAL_OFFSET_VALUE 0x3760
55226#define A_MAC_PORT_RX_LINKD_DFE_H1_LOCAL_OFFSET_ODD4_EVN4 0x3764
55227#define A_MAC_PORT_RX_LINKD_PEAKED_INTEGRATOR 0x3764
55228#define A_MAC_PORT_RX_LINKD_CDR_ANALOG_SWITCH 0x3768
55229#define A_MAC_PORT_RX_LINKD_PEAKING_AMPLIFIER_INTIALIZATION_CONTROL 0x376c
55230#define A_MAC_PORT_RX_LINKD_DYNAMIC_AMPLITUDE_CENTERING_DAC_AND_DYNAMIC_PEAKING_CONTROL_DPC 0x3770
55231#define A_MAC_PORT_RX_LINKD_DYNAMIC_DATA_CENTERING_DDC 0x3774
55232
55233#define S_T6_ODEC 0
55234#define M_T6_ODEC 0xfU
55235#define V_T6_ODEC(x) ((x) << S_T6_ODEC)
55236#define G_T6_ODEC(x) (((x) >> S_T6_ODEC) & M_T6_ODEC)
55237
55238#define A_MAC_PORT_RX_LINKD_RECEIVER_INTERNAL_STATUS 0x3778
55239
55240#define S_RX_LINKD_ACCCMP_RIS 11
55241#define V_RX_LINKD_ACCCMP_RIS(x) ((x) << S_RX_LINKD_ACCCMP_RIS)
55242#define F_RX_LINKD_ACCCMP_RIS V_RX_LINKD_ACCCMP_RIS(1U)
55243
55244#define A_MAC_PORT_RX_LINKD_DFE_FUNCTION_CONTROL_1 0x377c
55245#define A_MAC_PORT_RX_LINKD_DFE_FUNCTION_CONTROL_2 0x3780
55246#define A_MAC_PORT_RX_LINKD_DFE_OFFSET_EVN1_EVN2 0x3784
55247#define A_MAC_PORT_RX_LINKD_DFE_OFFSET_CHANNEL 0x3784
55248#define A_MAC_PORT_RX_LINKD_DFE_OFFSET_ODD1_ODD2 0x3788
55249#define A_MAC_PORT_RX_LINKD_DFE_OFFSET_VALUE 0x3788
55250#define A_MAC_PORT_RX_LINKD_DFE_OFFSET_EVN3_EVN4 0x378c
55251#define A_MAC_PORT_RX_LINKD_H_COEFFICIENBT_BIST 0x378c
55252#define A_MAC_PORT_RX_LINKD_DFE_OFFSET_ODD3_ODD4 0x3790
55253#define A_MAC_PORT_RX_LINKD_AC_CAPACITOR_BIST 0x3790
55254
55255#define S_RX_LINKD_ACCCMP_BIST 13
55256#define V_RX_LINKD_ACCCMP_BIST(x) ((x) << S_RX_LINKD_ACCCMP_BIST)
55257#define F_RX_LINKD_ACCCMP_BIST V_RX_LINKD_ACCCMP_BIST(1U)
55258
55259#define A_MAC_PORT_RX_LINKD_DFE_E0_AND_E1_OFFSET 0x3794
55260#define A_MAC_PORT_RX_LINKD_RECEIVER_LOFF_CONTROL 0x3798
55261#define A_MAC_PORT_RX_LINKD_RECEIVER_LOFF_CONTROL_REGISTER 0x3798
55262#define A_MAC_PORT_RX_LINKD_RECEIVER_SIGDET_CONTROL 0x379c
55263#define A_MAC_PORT_RX_LINKD_RECEIVER_ANALOG_CONTROL_SWITCH 0x37a0
55264#define A_MAC_PORT_RX_LINKD_INTEGRATOR_DAC_OFFSET 0x37a4
55265#define A_MAC_PORT_RX_LINKD_DIGITAL_EYE_CONTROL 0x37a8
55266#define A_MAC_PORT_RX_LINKD_DIGITAL_EYE_METRICS 0x37ac
55267
55268#define S_T6_EMMD 3
55269#define M_T6_EMMD 0x3U
55270#define V_T6_EMMD(x) ((x) << S_T6_EMMD)
55271#define G_T6_EMMD(x) (((x) >> S_T6_EMMD) & M_T6_EMMD)
55272
55273#define S_T6_EMBRDY 2
55274#define V_T6_EMBRDY(x) ((x) << S_T6_EMBRDY)
55275#define F_T6_EMBRDY V_T6_EMBRDY(1U)
55276
55277#define S_T6_EMBUMP 1
55278#define V_T6_EMBUMP(x) ((x) << S_T6_EMBUMP)
55279#define F_T6_EMBUMP V_T6_EMBUMP(1U)
55280
55281#define A_MAC_PORT_RX_LINKD_DIGITAL_EYE_METRICS_ERROR_COUNT 0x37b0
55282#define A_MAC_PORT_RX_LINKD_DIGITAL_EYE_METRICS_PDF_EYE_COUNT 0x37b4
55283#define A_MAC_PORT_RX_LINKD_DIGITAL_EYE_METRICS_PATTERN_LENGTH 0x37b8
55284#define A_MAC_PORT_RX_LINKD_DFE_FUNCTION_CONTROL_3 0x37bc
55285#define A_MAC_PORT_RX_LINKD_DFE_TAP_ENABLE 0x37c0
55286#define A_MAC_PORT_RX_LINKD_DFE_TAP_CONTROL 0x37c0
55287
55288#define S_RX_LINKD_INDEX_DFE_TC 0
55289#define M_RX_LINKD_INDEX_DFE_TC 0xfU
55290#define V_RX_LINKD_INDEX_DFE_TC(x) ((x) << S_RX_LINKD_INDEX_DFE_TC)
55291#define G_RX_LINKD_INDEX_DFE_TC(x) (((x) >> S_RX_LINKD_INDEX_DFE_TC) & M_RX_LINKD_INDEX_DFE_TC)
55292
55293#define A_MAC_PORT_RX_LINKD_DFE_H1 0x37c4
55294#define A_MAC_PORT_RX_LINKD_DFE_TAP 0x37c4
55295
55296#define S_RX_LINKD_INDEX_DFE_TAP 0
55297#define M_RX_LINKD_INDEX_DFE_TAP 0xfU
55298#define V_RX_LINKD_INDEX_DFE_TAP(x) ((x) << S_RX_LINKD_INDEX_DFE_TAP)
55299#define G_RX_LINKD_INDEX_DFE_TAP(x) (((x) >> S_RX_LINKD_INDEX_DFE_TAP) & M_RX_LINKD_INDEX_DFE_TAP)
55300
55301#define A_MAC_PORT_RX_LINKD_DFE_H2 0x37c8
55302#define A_MAC_PORT_RX_LINKD_DFE_H3 0x37cc
55303#define A_MAC_PORT_RX_LINKD_DFE_H4 0x37d0
55304#define A_MAC_PORT_RX_LINKD_DFE_H5 0x37d4
55305#define A_MAC_PORT_RX_LINKD_DFE_H6_AND_H7 0x37d8
55306#define A_MAC_PORT_RX_LINKD_DFE_H8_AND_H9 0x37dc
55307#define A_MAC_PORT_RX_LINKD_DFE_H10_AND_H11 0x37e0
55308#define A_MAC_PORT_RX_LINKD_DFE_H12 0x37e4
55309#define A_MAC_PORT_RX_LINKD_RECEIVER_INTERNAL_STATUS_2 0x37e4
55310#define A_MAC_PORT_RX_LINKD_AC_COUPLING_CURRENT_SOURCE_ADJUST 0x37e8
55311#define A_MAC_PORT_RX_LINKD_RECEIVER_DCD_CONTROL 0x37ec
55312#define A_MAC_PORT_RX_LINKD_RECEIVER_DCC_CONTROL 0x37f0
55313
55314#define S_RX_LINKD_DCCSTEP_RXCTL 10
55315#define M_RX_LINKD_DCCSTEP_RXCTL 0x3U
55316#define V_RX_LINKD_DCCSTEP_RXCTL(x) ((x) << S_RX_LINKD_DCCSTEP_RXCTL)
55317#define G_RX_LINKD_DCCSTEP_RXCTL(x) (((x) >> S_RX_LINKD_DCCSTEP_RXCTL) & M_RX_LINKD_DCCSTEP_RXCTL)
55318
55319#define S_RX_LINKD_DCCLOCK_RXCTL 8
55320#define V_RX_LINKD_DCCLOCK_RXCTL(x) ((x) << S_RX_LINKD_DCCLOCK_RXCTL)
55321#define F_RX_LINKD_DCCLOCK_RXCTL V_RX_LINKD_DCCLOCK_RXCTL(1U)
55322
55323#define A_MAC_PORT_RX_LINKD_RECEIVER_QCC_CONTROL 0x37f4
55324#define A_MAC_PORT_RX_LINKD_RECEIVER_MACRO_TEST_CONTROL_2 0x37f8
55325#define A_MAC_PORT_RX_LINKD_RECEIVER_MACRO_TEST_CONTROL_REGISTER_2 0x37f8
55326#define A_MAC_PORT_RX_LINKD_RECEIVER_MACRO_TEST_CONTROL_1 0x37fc
55327#define A_MAC_PORT_ANALOG_TEST_MUX 0x3814
55328#define A_MAC_PORT_BANDGAP_CONTROL 0x382c
55329
55330#define S_T5BGCTL 0
55331#define M_T5BGCTL 0xfU
55332#define V_T5BGCTL(x) ((x) << S_T5BGCTL)
55333#define G_T5BGCTL(x) (((x) >> S_T5BGCTL) & M_T5BGCTL)
55334
55335#define A_MAC_PORT_PLLREFSEL_CONTROL 0x3854
55336
55337#define S_REFSEL 0
55338#define M_REFSEL 0x7U
55339#define V_REFSEL(x) ((x) << S_REFSEL)
55340#define G_REFSEL(x) (((x) >> S_REFSEL) & M_REFSEL)
55341
55342#define A_MAC_PORT_REFISINK_CONTROL 0x3858
55343
55344#define S_REFISINK 0
55345#define M_REFISINK 0x3fU
55346#define V_REFISINK(x) ((x) << S_REFISINK)
55347#define G_REFISINK(x) (((x) >> S_REFISINK) & M_REFISINK)
55348
55349#define A_MAC_PORT_REFISRC_CONTROL 0x385c
55350
55351#define S_REFISRC 0
55352#define M_REFISRC 0x3fU
55353#define V_REFISRC(x) ((x) << S_REFISRC)
55354#define G_REFISRC(x) (((x) >> S_REFISRC) & M_REFISRC)
55355
55356#define A_MAC_PORT_REFVREG_CONTROL 0x3860
55357
55358#define S_REFVREG 0
55359#define M_REFVREG 0x3fU
55360#define V_REFVREG(x) ((x) << S_REFVREG)
55361#define G_REFVREG(x) (((x) >> S_REFVREG) & M_REFVREG)
55362
55363#define A_MAC_PORT_VBGENDOC_CONTROL 0x3864
55364
55365#define S_BGCLKSEL 2
55366#define V_BGCLKSEL(x) ((x) << S_BGCLKSEL)
55367#define F_BGCLKSEL V_BGCLKSEL(1U)
55368
55369#define S_VBGENDOC 0
55370#define M_VBGENDOC 0x3U
55371#define V_VBGENDOC(x) ((x) << S_VBGENDOC)
55372#define G_VBGENDOC(x) (((x) >> S_VBGENDOC) & M_VBGENDOC)
55373
55374#define A_MAC_PORT_VREFTUNE_CONTROL 0x3868
55375
55376#define S_VREFTUNE 0
55377#define M_VREFTUNE 0xfU
55378#define V_VREFTUNE(x) ((x) << S_VREFTUNE)
55379#define G_VREFTUNE(x) (((x) >> S_VREFTUNE) & M_VREFTUNE)
55380
55381#define A_MAC_PORT_RESISTOR_CALIBRATION_CONTROL 0x3880
55382
55383#define S_RCCTL1 5
55384#define V_RCCTL1(x) ((x) << S_RCCTL1)
55385#define F_RCCTL1 V_RCCTL1(1U)
55386
55387#define S_RCCTL0 4
55388#define V_RCCTL0(x) ((x) << S_RCCTL0)
55389#define F_RCCTL0 V_RCCTL0(1U)
55390
55391#define S_RCAMP1 3
55392#define V_RCAMP1(x) ((x) << S_RCAMP1)
55393#define F_RCAMP1 V_RCAMP1(1U)
55394
55395#define S_RCAMP0 2
55396#define V_RCAMP0(x) ((x) << S_RCAMP0)
55397#define F_RCAMP0 V_RCAMP0(1U)
55398
55399#define S_RCAMPEN 1
55400#define V_RCAMPEN(x) ((x) << S_RCAMPEN)
55401#define F_RCAMPEN V_RCAMPEN(1U)
55402
55403#define S_RCRST 0
55404#define V_RCRST(x) ((x) << S_RCRST)
55405#define F_RCRST V_RCRST(1U)
55406
55407#define A_MAC_PORT_IMPEDENCE_CALIBRATION_CONTROL 0x3880
55408
55409#define S_FRCCAL_COMP 6
55410#define V_FRCCAL_COMP(x) ((x) << S_FRCCAL_COMP)
55411#define F_FRCCAL_COMP V_FRCCAL_COMP(1U)
55412
55413#define S_IC_FRCERR 5
55414#define V_IC_FRCERR(x) ((x) << S_IC_FRCERR)
55415#define F_IC_FRCERR V_IC_FRCERR(1U)
55416
55417#define S_CAL_BISTENAB 4
55418#define V_CAL_BISTENAB(x) ((x) << S_CAL_BISTENAB)
55419#define F_CAL_BISTENAB V_CAL_BISTENAB(1U)
55420
55421#define S_RCAL_RESET 0
55422#define V_RCAL_RESET(x) ((x) << S_RCAL_RESET)
55423#define F_RCAL_RESET V_RCAL_RESET(1U)
55424
55425#define A_MAC_PORT_RESISTOR_CALIBRATION_STATUS_1 0x3884
55426
55427#define S_RCERR 1
55428#define V_RCERR(x) ((x) << S_RCERR)
55429#define F_RCERR V_RCERR(1U)
55430
55431#define S_RCCOMP 0
55432#define V_RCCOMP(x) ((x) << S_RCCOMP)
55433#define F_RCCOMP V_RCCOMP(1U)
55434
55435#define A_MAC_PORT_IMPEDENCE_CALIBRATION_STATUS_1 0x3884
55436
55437#define S_RCALBENAB 3
55438#define V_RCALBENAB(x) ((x) << S_RCALBENAB)
55439#define F_RCALBENAB V_RCALBENAB(1U)
55440
55441#define S_RCALBUSY 2
55442#define V_RCALBUSY(x) ((x) << S_RCALBUSY)
55443#define F_RCALBUSY V_RCALBUSY(1U)
55444
55445#define S_RCALERR 1
55446#define V_RCALERR(x) ((x) << S_RCALERR)
55447#define F_RCALERR V_RCALERR(1U)
55448
55449#define S_RCALCOMP 0
55450#define V_RCALCOMP(x) ((x) << S_RCALCOMP)
55451#define F_RCALCOMP V_RCALCOMP(1U)
55452
55453#define A_MAC_PORT_RESISTOR_CALIBRATION_STATUS_2 0x3888
55454
55455#define S_RESREG2 0
55456#define M_RESREG2 0xffU
55457#define V_RESREG2(x) ((x) << S_RESREG2)
55458#define G_RESREG2(x) (((x) >> S_RESREG2) & M_RESREG2)
55459
55460#define A_MAC_PORT_IMPEDENCE_CALIBRATION_STATUS_2 0x3888
55461
55462#define S_T6_RESREG2 0
55463#define M_T6_RESREG2 0x3fU
55464#define V_T6_RESREG2(x) ((x) << S_T6_RESREG2)
55465#define G_T6_RESREG2(x) (((x) >> S_T6_RESREG2) & M_T6_RESREG2)
55466
55467#define A_MAC_PORT_RESISTOR_CALIBRATION_STATUS_3 0x388c
55468
55469#define S_RESREG3 0
55470#define M_RESREG3 0xffU
55471#define V_RESREG3(x) ((x) << S_RESREG3)
55472#define G_RESREG3(x) (((x) >> S_RESREG3) & M_RESREG3)
55473
55474#define A_MAC_PORT_IMPEDENCE_CALIBRATION_STATUS_3 0x388c
55475
55476#define S_T6_RESREG3 0
55477#define M_T6_RESREG3 0x3fU
55478#define V_T6_RESREG3(x) ((x) << S_T6_RESREG3)
55479#define G_T6_RESREG3(x) (((x) >> S_T6_RESREG3) & M_T6_RESREG3)
55480
55481#define A_MAC_PORT_INEQUALITY_CONTROL_AND_RESULT 0x38c0
55482
55483#define S_ISGT 7
55484#define V_ISGT(x) ((x) << S_ISGT)
55485#define F_ISGT V_ISGT(1U)
55486
55487#define S_ISLT 6
55488#define V_ISLT(x) ((x) << S_ISLT)
55489#define F_ISLT V_ISLT(1U)
55490
55491#define S_ISEQ 5
55492#define V_ISEQ(x) ((x) << S_ISEQ)
55493#define F_ISEQ V_ISEQ(1U)
55494
55495#define S_ISVAL 3
55496#define M_ISVAL 0x3U
55497#define V_ISVAL(x) ((x) << S_ISVAL)
55498#define G_ISVAL(x) (((x) >> S_ISVAL) & M_ISVAL)
55499
55500#define S_GTORLT 1
55501#define M_GTORLT 0x3U
55502#define V_GTORLT(x) ((x) << S_GTORLT)
55503#define G_GTORLT(x) (((x) >> S_GTORLT) & M_GTORLT)
55504
55505#define S_INEQ 0
55506#define V_INEQ(x) ((x) << S_INEQ)
55507#define F_INEQ V_INEQ(1U)
55508
55509#define A_MAC_PORT_INEQUALITY_LOW_LIMIT 0x38c4
55510
55511#define S_LLIM 0
55512#define M_LLIM 0xffffU
55513#define V_LLIM(x) ((x) << S_LLIM)
55514#define G_LLIM(x) (((x) >> S_LLIM) & M_LLIM)
55515
55516#define A_MAC_PORT_INEQUALITY_LOW_LIMIT_MASK 0x38c8
55517
55518#define S_LMSK 0
55519#define M_LMSK 0xffffU
55520#define V_LMSK(x) ((x) << S_LMSK)
55521#define G_LMSK(x) (((x) >> S_LMSK) & M_LMSK)
55522
55523#define A_MAC_PORT_INEQUALITY_HIGH_LIMIT 0x38cc
55524
55525#define S_HLIM 0
55526#define M_HLIM 0xffffU
55527#define V_HLIM(x) ((x) << S_HLIM)
55528#define G_HLIM(x) (((x) >> S_HLIM) & M_HLIM)
55529
55530#define A_MAC_PORT_INEQUALITY_HIGH_LIMIT_MASK 0x38d0
55531
55532#define S_HMSK 0
55533#define M_HMSK 0xffffU
55534#define V_HMSK(x) ((x) << S_HMSK)
55535#define G_HMSK(x) (((x) >> S_HMSK) & M_HMSK)
55536
55537#define A_MAC_PORT_MACRO_TEST_CONTROL_6 0x38e8
55538
55539#define S_LBIST 7
55540#define V_LBIST(x) ((x) << S_LBIST)
55541#define F_LBIST V_LBIST(1U)
55542
55543#define S_LOGICTEST 6
55544#define V_LOGICTEST(x) ((x) << S_LOGICTEST)
55545#define F_LOGICTEST V_LOGICTEST(1U)
55546
55547#define S_MAVDHI 5
55548#define V_MAVDHI(x) ((x) << S_MAVDHI)
55549#define F_MAVDHI V_MAVDHI(1U)
55550
55551#define S_AUXEN 4
55552#define V_AUXEN(x) ((x) << S_AUXEN)
55553#define F_AUXEN V_AUXEN(1U)
55554
55555#define S_JTAGMD 3
55556#define V_JTAGMD(x) ((x) << S_JTAGMD)
55557#define F_JTAGMD V_JTAGMD(1U)
55558
55559#define S_RXACMODE 2
55560#define V_RXACMODE(x) ((x) << S_RXACMODE)
55561#define F_RXACMODE V_RXACMODE(1U)
55562
55563#define S_HSSACJPC 1
55564#define V_HSSACJPC(x) ((x) << S_HSSACJPC)
55565#define F_HSSACJPC V_HSSACJPC(1U)
55566
55567#define S_HSSACJAC 0
55568#define V_HSSACJAC(x) ((x) << S_HSSACJAC)
55569#define F_HSSACJAC V_HSSACJAC(1U)
55570
55571#define A_MAC_PORT_MACRO_TEST_CONTROL_5 0x38ec
55572
55573#define S_REFVALIDD 6
55574#define V_REFVALIDD(x) ((x) << S_REFVALIDD)
55575#define F_REFVALIDD V_REFVALIDD(1U)
55576
55577#define S_REFVALIDC 5
55578#define V_REFVALIDC(x) ((x) << S_REFVALIDC)
55579#define F_REFVALIDC V_REFVALIDC(1U)
55580
55581#define S_REFVALIDB 4
55582#define V_REFVALIDB(x) ((x) << S_REFVALIDB)
55583#define F_REFVALIDB V_REFVALIDB(1U)
55584
55585#define S_REFVALIDA 3
55586#define V_REFVALIDA(x) ((x) << S_REFVALIDA)
55587#define F_REFVALIDA V_REFVALIDA(1U)
55588
55589#define S_REFSELRESET 2
55590#define V_REFSELRESET(x) ((x) << S_REFSELRESET)
55591#define F_REFSELRESET V_REFSELRESET(1U)
55592
55593#define S_SOFTRESET 1
55594#define V_SOFTRESET(x) ((x) << S_SOFTRESET)
55595#define F_SOFTRESET V_SOFTRESET(1U)
55596
55597#define S_MACROTEST 0
55598#define V_MACROTEST(x) ((x) << S_MACROTEST)
55599#define F_MACROTEST V_MACROTEST(1U)
55600
55601#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_CONFIGURATION_MODE 0x3900
55602
55603#define S_T6_T5_TX_RXLOOP 5
55604#define V_T6_T5_TX_RXLOOP(x) ((x) << S_T6_T5_TX_RXLOOP)
55605#define F_T6_T5_TX_RXLOOP V_T6_T5_TX_RXLOOP(1U)
55606
55607#define S_T6_T5_TX_BWSEL 2
55608#define M_T6_T5_TX_BWSEL 0x3U
55609#define V_T6_T5_TX_BWSEL(x) ((x) << S_T6_T5_TX_BWSEL)
55610#define G_T6_T5_TX_BWSEL(x) (((x) >> S_T6_T5_TX_BWSEL) & M_T6_T5_TX_BWSEL)
55611
55612#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_TEST_CONTROL 0x3904
55613
55614#define S_T6_ERROR 9
55615#define V_T6_ERROR(x) ((x) << S_T6_ERROR)
55616#define F_T6_ERROR V_T6_ERROR(1U)
55617
55618#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_COEFFICIENT_CONTROL 0x3908
55619#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_DRIVER_MODE_CONTROL 0x390c
55620#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_DRIVER_OVERRIDE_CONTROL 0x3910
55621#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_DCLK_ROTATOR_OVERRIDE 0x3914
55622#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_IMPEDANCE_CALIBRATION_OVERRIDE 0x3918
55623
55624#define S_T6_CALSSTN 8
55625#define M_T6_CALSSTN 0x3fU
55626#define V_T6_CALSSTN(x) ((x) << S_T6_CALSSTN)
55627#define G_T6_CALSSTN(x) (((x) >> S_T6_CALSSTN) & M_T6_CALSSTN)
55628
55629#define S_T6_CALSSTP 0
55630#define M_T6_CALSSTP 0x3fU
55631#define V_T6_CALSSTP(x) ((x) << S_T6_CALSSTP)
55632#define G_T6_CALSSTP(x) (((x) >> S_T6_CALSSTP) & M_T6_CALSSTP)
55633
55634#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_DCLK_DRIFT_TOLERANCE 0x391c
55635
55636#define S_T6_DRTOL 2
55637#define M_T6_DRTOL 0x7U
55638#define V_T6_DRTOL(x) ((x) << S_T6_DRTOL)
55639#define G_T6_DRTOL(x) (((x) >> S_T6_DRTOL) & M_T6_DRTOL)
55640
55641#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_0_COEFFICIENT 0x3920
55642
55643#define S_T6_NXTT0 0
55644#define M_T6_NXTT0 0x3fU
55645#define V_T6_NXTT0(x) ((x) << S_T6_NXTT0)
55646#define G_T6_NXTT0(x) (((x) >> S_T6_NXTT0) & M_T6_NXTT0)
55647
55648#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_1_COEFFICIENT 0x3924
55649#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_2_COEFFICIENT 0x3928
55650
55651#define S_T6_NXTT2 0
55652#define M_T6_NXTT2 0x3fU
55653#define V_T6_NXTT2(x) ((x) << S_T6_NXTT2)
55654#define G_T6_NXTT2(x) (((x) >> S_T6_NXTT2) & M_T6_NXTT2)
55655
55656#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_3_COEFFICIENT 0x392c
55657#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_AMPLITUDE 0x3930
55658#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_POLARITY 0x3934
55659
55660#define S_T6_NXTPOL 0
55661#define M_T6_NXTPOL 0xfU
55662#define V_T6_NXTPOL(x) ((x) << S_T6_NXTPOL)
55663#define G_T6_NXTPOL(x) (((x) >> S_T6_NXTPOL) & M_T6_NXTPOL)
55664
55665#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_COMMAND 0x3938
55666
55667#define S_T6_C0UPDT 6
55668#define M_T6_C0UPDT 0x3U
55669#define V_T6_C0UPDT(x) ((x) << S_T6_C0UPDT)
55670#define G_T6_C0UPDT(x) (((x) >> S_T6_C0UPDT) & M_T6_C0UPDT)
55671
55672#define S_T6_C2UPDT 2
55673#define M_T6_C2UPDT 0x3U
55674#define V_T6_C2UPDT(x) ((x) << S_T6_C2UPDT)
55675#define G_T6_C2UPDT(x) (((x) >> S_T6_C2UPDT) & M_T6_C2UPDT)
55676
55677#define S_T6_C1UPDT 0
55678#define M_T6_C1UPDT 0x3U
55679#define V_T6_C1UPDT(x) ((x) << S_T6_C1UPDT)
55680#define G_T6_C1UPDT(x) (((x) >> S_T6_C1UPDT) & M_T6_C1UPDT)
55681
55682#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_STATUS 0x393c
55683
55684#define S_T6_C0STAT 6
55685#define M_T6_C0STAT 0x3U
55686#define V_T6_C0STAT(x) ((x) << S_T6_C0STAT)
55687#define G_T6_C0STAT(x) (((x) >> S_T6_C0STAT) & M_T6_C0STAT)
55688
55689#define S_T6_C2STAT 2
55690#define M_T6_C2STAT 0x3U
55691#define V_T6_C2STAT(x) ((x) << S_T6_C2STAT)
55692#define G_T6_C2STAT(x) (((x) >> S_T6_C2STAT) & M_T6_C2STAT)
55693
55694#define S_T6_C1STAT 0
55695#define M_T6_C1STAT 0x3U
55696#define V_T6_C1STAT(x) ((x) << S_T6_C1STAT)
55697#define G_T6_C1STAT(x) (((x) >> S_T6_C1STAT) & M_T6_C1STAT)
55698
55699#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_0_COEFFICIENT_OVERRIDE 0x3940
55700#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_TAP_0_COEFFICIENT_OVERRIDE 0x3940
55701#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_1_COEFFICIENT_OVERRIDE 0x3944
55702#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_TAP_1_COEFFICIENT_OVERRIDE 0x3944
55703#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_2_COEFFICIENT_OVERRIDE 0x3948
55704#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_TAP_2_COEFFICIENT_OVERRIDE 0x3948
55705#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_TAP_3_COEFFICIENT_OVERRIDE 0x394c
55706#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_APPLIED_TUNE_REGISTER 0x3950
55707#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_ANALOG_DIAGNOSTICS_REGISTER 0x3958
55708#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_0_COEFFICIENT_APPLIED 0x3960
55709#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_4X_SEGMENT_APPLIED 0x3960
55710#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_1_COEFFICIENT_APPLIED 0x3964
55711#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_2X_SEGMENT_APPLIED 0x3964
55712#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_2_COEFFICIENT_APPLIED 0x3968
55713#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_1X_SEGMENT_APPLIED 0x3968
55714#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_SEGMENT_4X_TERMINATION_APPLIED 0x396c
55715#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_SEGMENT_DISABLE_APPLIED_1 0x3970
55716#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_SEGMENT_2X1X_TERMINATION_APPLIED 0x3970
55717#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_SEGMENT_DISABLE_APPLIED_2 0x3974
55718#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_SIGN_APPLIED_REGISTER 0x3974
55719#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_EXTENDED_ADDRESS_DATA 0x3978
55720#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_EXTENDED_ADDRESS_ADDR 0x397c
55721
55722#define S_T6_XADDR 1
55723#define M_T6_XADDR 0x1fU
55724#define V_T6_XADDR(x) ((x) << S_T6_XADDR)
55725#define G_T6_XADDR(x) (((x) >> S_T6_XADDR) & M_T6_XADDR)
55726
55727#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_PATTERN_BUFFER_BYTES_1_0 0x3980
55728#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_PATTERN_BUFFER_BYTES_3_2 0x3984
55729#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_PATTERN_BUFFER_BYTE_4 0x3988
55730#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_PATTERN_BUFFER_BYTES_5_4 0x3988
55731#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_DCC_CONTROL 0x398c
55732#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_PATTERN_BUFFER_BYTES_7_6 0x398c
55733#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_DCC_OVERRIDE 0x3990
55734#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_DCC_APPLIED 0x3994
55735#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_DCC_TIME_OUT 0x3998
55736#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AZ_CONTROL 0x399c
55737#define A_T6_MAC_PORT_TX_LINK_BCST_TRANSMIT_DCC_CONTROL 0x39a0
55738
55739#define S_T6_DCCTIMEEN 13
55740#define M_T6_DCCTIMEEN 0x3U
55741#define V_T6_DCCTIMEEN(x) ((x) << S_T6_DCCTIMEEN)
55742#define G_T6_DCCTIMEEN(x) (((x) >> S_T6_DCCTIMEEN) & M_T6_DCCTIMEEN)
55743
55744#define S_T6_DCCLOCK 11
55745#define M_T6_DCCLOCK 0x3U
55746#define V_T6_DCCLOCK(x) ((x) << S_T6_DCCLOCK)
55747#define G_T6_DCCLOCK(x) (((x) >> S_T6_DCCLOCK) & M_T6_DCCLOCK)
55748
55749#define S_T6_DCCOFFSET 8
55750#define M_T6_DCCOFFSET 0x7U
55751#define V_T6_DCCOFFSET(x) ((x) << S_T6_DCCOFFSET)
55752#define G_T6_DCCOFFSET(x) (((x) >> S_T6_DCCOFFSET) & M_T6_DCCOFFSET)
55753
55754#define S_TX_LINK_BCST_DCCSTEP_CTL 6
55755#define M_TX_LINK_BCST_DCCSTEP_CTL 0x3U
55756#define V_TX_LINK_BCST_DCCSTEP_CTL(x) ((x) << S_TX_LINK_BCST_DCCSTEP_CTL)
55757#define G_TX_LINK_BCST_DCCSTEP_CTL(x) (((x) >> S_TX_LINK_BCST_DCCSTEP_CTL) & M_TX_LINK_BCST_DCCSTEP_CTL)
55758
55759#define A_T6_MAC_PORT_TX_LINK_BCST_TRANSMIT_DCC_OVERRIDE 0x39a4
55760#define A_T6_MAC_PORT_TX_LINK_BCST_TRANSMIT_DCC_APPLIED 0x39a8
55761#define A_T6_MAC_PORT_TX_LINK_BCST_TRANSMIT_DCC_TIME_OUT 0x39ac
55762#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_SIGN_OVERRIDE 0x39c0
55763#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_SEGMENT_4X_OVERRIDE 0x39c8
55764#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_SEGMENT_2X_OVERRIDE 0x39cc
55765#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_SEGMENT_1X_OVERRIDE 0x39d0
55766#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_SEGMENT_4X_TERMINATION_OVERRIDE 0x39d8
55767#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_SEGMENT_2X_TERMINATION_OVERRIDE 0x39dc
55768#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_SEGMENT_1X_TERMINATION_OVERRIDE 0x39e0
55769#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_MACRO_TEST_CONTROL_5 0x39ec
55770#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_MACRO_TEST_CONTROL_4 0x39f0
55771
55772#define S_T6_SDOVRD 0
55773#define M_T6_SDOVRD 0xffffU
55774#define V_T6_SDOVRD(x) ((x) << S_T6_SDOVRD)
55775#define G_T6_SDOVRD(x) (((x) >> S_T6_SDOVRD) & M_T6_SDOVRD)
55776
55777#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_MACRO_TEST_CONTROL_3 0x39f4
55778#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_MACRO_TEST_CONTROL_2 0x39f8
55779#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_MACRO_TEST_CONTROL_1 0x39fc
55780
55781#define S_T6_SDOVRDEN 15
55782#define V_T6_SDOVRDEN(x) ((x) << S_T6_SDOVRDEN)
55783#define F_T6_SDOVRDEN V_T6_SDOVRDEN(1U)
55784
55785#define A_MAC_PORT_RX_LINK_BCST_RECEIVER_CONFIGURATION_MODE 0x3a00
55786#define A_MAC_PORT_RX_LINK_BCST_RECEIVER_TEST_CONTROL 0x3a04
55787#define A_MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_CONTROL 0x3a08
55788#define A_MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_OFFSET_CONTROL 0x3a0c
55789
55790#define S_T6_TMSCAL 8
55791#define M_T6_TMSCAL 0x3U
55792#define V_T6_TMSCAL(x) ((x) << S_T6_TMSCAL)
55793#define G_T6_TMSCAL(x) (((x) >> S_T6_TMSCAL) & M_T6_TMSCAL)
55794
55795#define S_T6_APADJ 7
55796#define V_T6_APADJ(x) ((x) << S_T6_APADJ)
55797#define F_T6_APADJ V_T6_APADJ(1U)
55798
55799#define S_T6_RSEL 6
55800#define V_T6_RSEL(x) ((x) << S_T6_RSEL)
55801#define F_T6_RSEL V_T6_RSEL(1U)
55802
55803#define S_T6_PHOFFS 0
55804#define M_T6_PHOFFS 0x3fU
55805#define V_T6_PHOFFS(x) ((x) << S_T6_PHOFFS)
55806#define G_T6_PHOFFS(x) (((x) >> S_T6_PHOFFS) & M_T6_PHOFFS)
55807
55808#define A_MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_POSITION_1 0x3a10
55809#define A_MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_POSITION_2 0x3a14
55810#define A_MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_STATIC_PHASE_OFFSET_1 0x3a18
55811#define A_MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_STATIC_PHASE_OFFSET_2 0x3a1c
55812#define A_MAC_PORT_RX_LINK_BCST_DFE_CONTROL 0x3a20
55813
55814#define S_T6_SPIFMT 8
55815#define M_T6_SPIFMT 0xfU
55816#define V_T6_SPIFMT(x) ((x) << S_T6_SPIFMT)
55817#define G_T6_SPIFMT(x) (((x) >> S_T6_SPIFMT) & M_T6_SPIFMT)
55818
55819#define A_MAC_PORT_RX_LINK_BCST_DFE_SAMPLE_SNAPSHOT_1 0x3a24
55820#define A_MAC_PORT_RX_LINK_BCST_DFE_SAMPLE_SNAPSHOT_2 0x3a28
55821#define A_MAC_PORT_RX_LINK_BCST_RECEIVER_VGA_CONTROL_1 0x3a2c
55822
55823#define S_T6_WRAPSEL 15
55824#define V_T6_WRAPSEL(x) ((x) << S_T6_WRAPSEL)
55825#define F_T6_WRAPSEL V_T6_WRAPSEL(1U)
55826
55827#define S_T6_PEAK 9
55828#define M_T6_PEAK 0x1fU
55829#define V_T6_PEAK(x) ((x) << S_T6_PEAK)
55830#define G_T6_PEAK(x) (((x) >> S_T6_PEAK) & M_T6_PEAK)
55831
55832#define A_MAC_PORT_RX_LINK_BCST_RECEIVER_VGA_CONTROL_2 0x3a30
55833
55834#define S_T6_T5VGAIN 0
55835#define M_T6_T5VGAIN 0x7fU
55836#define V_T6_T5VGAIN(x) ((x) << S_T6_T5VGAIN)
55837#define G_T6_T5VGAIN(x) (((x) >> S_T6_T5VGAIN) & M_T6_T5VGAIN)
55838
55839#define A_MAC_PORT_RX_LINK_BCST_RECEIVER_VGA_CONTROL_3 0x3a34
55840#define A_MAC_PORT_RX_LINK_BCST_RECEIVER_DQCC_CONTROL_1 0x3a38
55841#define A_MAC_PORT_RX_LINK_BCST_RECEIVER_POWER_MANAGEMENT_CONTROL 0x3a38
55842#define A_MAC_PORT_RX_LINK_BCST_RECEIVER_IQAMP_CONTROL_1 0x3a3c
55843#define A_MAC_PORT_RX_LINK_BCST_RECEIVER_DQCC_CONTROL_3 0x3a40
55844#define A_MAC_PORT_RX_LINK_BCST_RECEIVER_IQAMP_CONTROL_2 0x3a40
55845#define A_MAC_PORT_RX_LINK_BCST_RECEIVER_DACAP_AND_DACAN_SELECTION 0x3a44
55846#define A_MAC_PORT_RX_LINK_BCST_RECEIVER_DACAP_AND_DACAN 0x3a48
55847#define A_MAC_PORT_RX_LINK_BCST_RECEIVER_DACA_MIN_AND_DACAZ 0x3a4c
55848#define A_MAC_PORT_RX_LINK_BCST_RECEIVER_DACA_MIN 0x3a4c
55849#define A_MAC_PORT_RX_LINK_BCST_RECEIVER_ADAC_CONTROL 0x3a50
55850#define A_MAC_PORT_RX_LINK_BCST_RECEIVER_AC_COUPLING_CONTROL 0x3a54
55851#define A_MAC_PORT_RX_LINK_BCST_RECEIVER_AC_COUPLING_VALUE 0x3a58
55852#define A_MAC_PORT_RX_LINK_BCST_DFE_H1_LOCAL_OFFSET_ODD2_EVN2 0x3a5c
55853#define A_MAC_PORT_RX_LINK_BCST_DFE_H1H2H3_LOCAL_OFFSET 0x3a5c
55854#define A_MAC_PORT_RX_LINK_BCST_DFE_H1_LOCAL_OFFSET_ODD3_EVN3 0x3a60
55855#define A_MAC_PORT_RX_LINK_BCST_DFE_H1H2H3_LOCAL_OFFSET_VALUE 0x3a60
55856#define A_MAC_PORT_RX_LINK_BCST_DFE_H1_LOCAL_OFFSET_ODD4_EVN4 0x3a64
55857#define A_MAC_PORT_RX_LINK_BCST_PEAKED_INTEGRATOR 0x3a64
55858#define A_MAC_PORT_RX_LINK_BCST_CDR_ANALOG_SWITCH 0x3a68
55859#define A_MAC_PORT_RX_LINK_BCST_PEAKING_AMPLIFIER_INTIALIZATION_CONTROL 0x3a6c
55860#define A_MAC_PORT_RX_LINK_BCST_DYNAMIC_AMPLITUDE_CENTERING_DAC_AND_DYNAMIC_PEAKING_CONTROL_DPC 0x3a70
55861#define A_MAC_PORT_RX_LINK_BCST_DYNAMIC_DATA_CENTERING_DDC 0x3a74
55862
55863#define S_T6_ODEC 0
55864#define M_T6_ODEC 0xfU
55865#define V_T6_ODEC(x) ((x) << S_T6_ODEC)
55866#define G_T6_ODEC(x) (((x) >> S_T6_ODEC) & M_T6_ODEC)
55867
55868#define A_MAC_PORT_RX_LINK_BCST_RECEIVER_INTERNAL_STATUS 0x3a78
55869
55870#define S_RX_LINK_BCST_ACCCMP_RIS 11
55871#define V_RX_LINK_BCST_ACCCMP_RIS(x) ((x) << S_RX_LINK_BCST_ACCCMP_RIS)
55872#define F_RX_LINK_BCST_ACCCMP_RIS V_RX_LINK_BCST_ACCCMP_RIS(1U)
55873
55874#define A_MAC_PORT_RX_LINK_BCST_DFE_FUNCTION_CONTROL_1 0x3a7c
55875#define A_MAC_PORT_RX_LINK_BCST_DFE_FUNCTION_CONTROL_2 0x3a80
55876#define A_MAC_PORT_RX_LINK_BCST_DFE_OFFSET_EVN1_EVN2 0x3a84
55877#define A_MAC_PORT_RX_LINK_BCST_DFE_OFFSET_CHANNEL 0x3a84
55878#define A_MAC_PORT_RX_LINK_BCST_DFE_OFFSET_ODD1_ODD2 0x3a88
55879#define A_MAC_PORT_RX_LINK_BCST_DFE_OFFSET_VALUE 0x3a88
55880#define A_MAC_PORT_RX_LINK_BCST_DFE_OFFSET_EVN3_EVN4 0x3a8c
55881#define A_MAC_PORT_RX_LINK_BCST_H_COEFFICIENBT_BIST 0x3a8c
55882#define A_MAC_PORT_RX_LINK_BCST_DFE_OFFSET_ODD3_ODD4 0x3a90
55883#define A_MAC_PORT_RX_LINK_BCST_AC_CAPACITOR_BIST 0x3a90
55884
55885#define S_RX_LINK_BCST_ACCCMP_BIST 13
55886#define V_RX_LINK_BCST_ACCCMP_BIST(x) ((x) << S_RX_LINK_BCST_ACCCMP_BIST)
55887#define F_RX_LINK_BCST_ACCCMP_BIST V_RX_LINK_BCST_ACCCMP_BIST(1U)
55888
55889#define A_MAC_PORT_RX_LINK_BCST_DFE_E0_AND_E1_OFFSET 0x3a94
55890#define A_MAC_PORT_RX_LINK_BCST_RECEIVER_LOFF_CONTROL 0x3a98
55891#define A_MAC_PORT_RX_LINK_BCST_RECEIVER_LOFF_CONTROL_REGISTER 0x3a98
55892#define A_MAC_PORT_RX_LINK_BCST_RECEIVER_SIGDET_CONTROL 0x3a9c
55893#define A_MAC_PORT_RX_LINK_BCST_RECEIVER_ANALOG_CONTROL_SWITCH 0x3aa0
55894#define A_MAC_PORT_RX_LINK_BCST_INTEGRATOR_DAC_OFFSET 0x3aa4
55895#define A_MAC_PORT_RX_LINK_BCST_DIGITAL_EYE_CONTROL 0x3aa8
55896#define A_MAC_PORT_RX_LINK_BCST_DIGITAL_EYE_METRICS 0x3aac
55897
55898#define S_T6_EMMD 3
55899#define M_T6_EMMD 0x3U
55900#define V_T6_EMMD(x) ((x) << S_T6_EMMD)
55901#define G_T6_EMMD(x) (((x) >> S_T6_EMMD) & M_T6_EMMD)
55902
55903#define S_T6_EMBRDY 2
55904#define V_T6_EMBRDY(x) ((x) << S_T6_EMBRDY)
55905#define F_T6_EMBRDY V_T6_EMBRDY(1U)
55906
55907#define S_T6_EMBUMP 1
55908#define V_T6_EMBUMP(x) ((x) << S_T6_EMBUMP)
55909#define F_T6_EMBUMP V_T6_EMBUMP(1U)
55910
55911#define A_MAC_PORT_RX_LINK_BCST_DIGITAL_EYE_METRICS_ERROR_COUNT 0x3ab0
55912#define A_MAC_PORT_RX_LINK_BCST_DIGITAL_EYE_METRICS_PDF_EYE_COUNT 0x3ab4
55913#define A_MAC_PORT_RX_LINK_BCST_DIGITAL_EYE_METRICS_PATTERN_LENGTH 0x3ab8
55914#define A_MAC_PORT_RX_LINK_BCST_DFE_FUNCTION_CONTROL_3 0x3abc
55915#define A_MAC_PORT_RX_LINK_BCST_DFE_TAP_ENABLE 0x3ac0
55916#define A_MAC_PORT_RX_LINK_BCST_DFE_TAP_CONTROL 0x3ac0
55917
55918#define S_RX_LINK_BCST_INDEX_DFE_TC 0
55919#define M_RX_LINK_BCST_INDEX_DFE_TC 0xfU
55920#define V_RX_LINK_BCST_INDEX_DFE_TC(x) ((x) << S_RX_LINK_BCST_INDEX_DFE_TC)
55921#define G_RX_LINK_BCST_INDEX_DFE_TC(x) (((x) >> S_RX_LINK_BCST_INDEX_DFE_TC) & M_RX_LINK_BCST_INDEX_DFE_TC)
55922
55923#define A_MAC_PORT_RX_LINK_BCST_DFE_H1 0x3ac4
55924#define A_MAC_PORT_RX_LINK_BCST_DFE_TAP 0x3ac4
55925
55926#define S_RX_LINK_BCST_INDEX_DFE_TAP 0
55927#define M_RX_LINK_BCST_INDEX_DFE_TAP 0xfU
55928#define V_RX_LINK_BCST_INDEX_DFE_TAP(x) ((x) << S_RX_LINK_BCST_INDEX_DFE_TAP)
55929#define G_RX_LINK_BCST_INDEX_DFE_TAP(x) (((x) >> S_RX_LINK_BCST_INDEX_DFE_TAP) & M_RX_LINK_BCST_INDEX_DFE_TAP)
55930
55931#define A_MAC_PORT_RX_LINK_BCST_DFE_H2 0x3ac8
55932#define A_MAC_PORT_RX_LINK_BCST_DFE_H3 0x3acc
55933#define A_MAC_PORT_RX_LINK_BCST_DFE_H4 0x3ad0
55934#define A_MAC_PORT_RX_LINK_BCST_DFE_H5 0x3ad4
55935#define A_MAC_PORT_RX_LINK_BCST_DFE_H6_AND_H7 0x3ad8
55936#define A_MAC_PORT_RX_LINK_BCST_DFE_H8_AND_H9 0x3adc
55937#define A_MAC_PORT_RX_LINK_BCST_DFE_H10_AND_H11 0x3ae0
55938#define A_MAC_PORT_RX_LINK_BCST_DFE_H12 0x3ae4
55939#define A_MAC_PORT_RX_LINK_BCST_RECEIVER_INTERNAL_STATUS_2 0x3ae4
55940#define A_MAC_PORT_RX_LINK_BCST_AC_COUPLING_CURRENT_SOURCE_ADJUST 0x3ae8
55941#define A_MAC_PORT_RX_LINK_BCST_RECEIVER_DCD_CONTROL 0x3aec
55942#define A_MAC_PORT_RX_LINK_BCST_RECEIVER_DCC_CONTROL 0x3af0
55943
55944#define S_RX_LINK_BCST_DCCSTEP_RXCTL 10
55945#define M_RX_LINK_BCST_DCCSTEP_RXCTL 0x3U
55946#define V_RX_LINK_BCST_DCCSTEP_RXCTL(x) ((x) << S_RX_LINK_BCST_DCCSTEP_RXCTL)
55947#define G_RX_LINK_BCST_DCCSTEP_RXCTL(x) (((x) >> S_RX_LINK_BCST_DCCSTEP_RXCTL) & M_RX_LINK_BCST_DCCSTEP_RXCTL)
55948
55949#define S_RX_LINK_BCST_DCCLOCK_RXCTL 8
55950#define V_RX_LINK_BCST_DCCLOCK_RXCTL(x) ((x) << S_RX_LINK_BCST_DCCLOCK_RXCTL)
55951#define F_RX_LINK_BCST_DCCLOCK_RXCTL V_RX_LINK_BCST_DCCLOCK_RXCTL(1U)
55952
55953#define A_MAC_PORT_RX_LINK_BCST_RECEIVER_QCC_CONTROL 0x3af4
55954#define A_MAC_PORT_RX_LINK_BCST_RECEIVER_MACRO_TEST_CONTROL_2 0x3af8
55955#define A_MAC_PORT_RX_LINK_BCST_RECEIVER_MACRO_TEST_CONTROL_REGISTER_2 0x3af8
55956#define A_MAC_PORT_RX_LINK_BCST_RECEIVER_MACRO_TEST_CONTROL_1 0x3afc
55957#define A_MAC_PORT_PLLA_VCO_COARSE_CALIBRATION_0 0x3b00
55958#define A_MAC_PORT_PLLA_VCO_COARSE_CALIBRATION_1 0x3b04
55959#define A_MAC_PORT_PLLA_VCO_COARSE_CALIBRATION_2 0x3b08
55960#define A_MAC_PORT_PLLA_VCO_COARSE_CALIBRATION_3 0x3b0c
55961#define A_MAC_PORT_PLLA_VCO_COARSE_CALIBRATION_4 0x3b10
55962#define A_MAC_PORT_PLLA_POWER_CONTROL 0x3b24
55963
55964#define S_SPWRENA 1
55965#define V_SPWRENA(x) ((x) << S_SPWRENA)
55966#define F_SPWRENA V_SPWRENA(1U)
55967
55968#define S_NPWRENA 0
55969#define V_NPWRENA(x) ((x) << S_NPWRENA)
55970#define F_NPWRENA V_NPWRENA(1U)
55971
55972#define A_MAC_PORT_PLLA_CHARGE_PUMP_CONTROL 0x3b28
55973
55974#define S_T5CPISEL 0
55975#define M_T5CPISEL 0x7U
55976#define V_T5CPISEL(x) ((x) << S_T5CPISEL)
55977#define G_T5CPISEL(x) (((x) >> S_T5CPISEL) & M_T5CPISEL)
55978
55979#define A_MAC_PORT_PLLA_PLL_MICELLANEOUS_CONTROL 0x3b38
55980#define A_MAC_PORT_PLLA_PCLK_CONTROL 0x3b3c
55981
55982#define S_SPEDIV 3
55983#define M_SPEDIV 0x1fU
55984#define V_SPEDIV(x) ((x) << S_SPEDIV)
55985#define G_SPEDIV(x) (((x) >> S_SPEDIV) & M_SPEDIV)
55986
55987#define S_PCKSEL 0
55988#define M_PCKSEL 0x7U
55989#define V_PCKSEL(x) ((x) << S_PCKSEL)
55990#define G_PCKSEL(x) (((x) >> S_PCKSEL) & M_PCKSEL)
55991
55992#define A_MAC_PORT_PLLA_EYE_METRICS_INTERVAL_CONTROL 0x3b40
55993
55994#define S_EMIL 2
55995#define V_EMIL(x) ((x) << S_EMIL)
55996#define F_EMIL V_EMIL(1U)
55997
55998#define S_EMID 1
55999#define V_EMID(x) ((x) << S_EMID)
56000#define F_EMID V_EMID(1U)
56001
56002#define S_EMIS 0
56003#define V_EMIS(x) ((x) << S_EMIS)
56004#define F_EMIS V_EMIS(1U)
56005
56006#define A_MAC_PORT_PLLA_EYE_METRICS_INTERVAL_LIMIT_1 0x3b44
56007
56008#define S_EMIL1 0
56009#define M_EMIL1 0xffU
56010#define V_EMIL1(x) ((x) << S_EMIL1)
56011#define G_EMIL1(x) (((x) >> S_EMIL1) & M_EMIL1)
56012
56013#define A_MAC_PORT_PLLA_EYE_METRICS_INTERVAL_LIMIT_2 0x3b48
56014
56015#define S_EMIL2 0
56016#define M_EMIL2 0xffU
56017#define V_EMIL2(x) ((x) << S_EMIL2)
56018#define G_EMIL2(x) (((x) >> S_EMIL2) & M_EMIL2)
56019
56020#define A_MAC_PORT_PLLA_EYE_METRICS_INTERVAL_LIMIT_3 0x3b4c
56021
56022#define S_EMIL3 0
56023#define M_EMIL3 0xffU
56024#define V_EMIL3(x) ((x) << S_EMIL3)
56025#define G_EMIL3(x) (((x) >> S_EMIL3) & M_EMIL3)
56026
56027#define A_MAC_PORT_PLLA_EYE_METRICS_INTERVAL_LIMIT_4 0x3b50
56028
56029#define S_EMIL4 0
56030#define M_EMIL4 0xffU
56031#define V_EMIL4(x) ((x) << S_EMIL4)
56032#define G_EMIL4(x) (((x) >> S_EMIL4) & M_EMIL4)
56033
56034#define A_MAC_PORT_PLLA_MACRO_TEST_CONTROL_4 0x3bf0
56035
56036#define S_VBST 1
56037#define M_VBST 0x7U
56038#define V_VBST(x) ((x) << S_VBST)
56039#define G_VBST(x) (((x) >> S_VBST) & M_VBST)
56040
56041#define S_PLLDIVA 4
56042#define V_PLLDIVA(x) ((x) << S_PLLDIVA)
56043#define F_PLLDIVA V_PLLDIVA(1U)
56044
56045#define S_REFDIV 0
56046#define M_REFDIV 0xfU
56047#define V_REFDIV(x) ((x) << S_REFDIV)
56048#define G_REFDIV(x) (((x) >> S_REFDIV) & M_REFDIV)
56049
56050#define A_MAC_PORT_PLLA_MACRO_TEST_CONTROL_3 0x3bf4
56051
56052#define S_RESYNC 6
56053#define V_RESYNC(x) ((x) << S_RESYNC)
56054#define F_RESYNC V_RESYNC(1U)
56055
56056#define S_RXCLKSEL 5
56057#define V_RXCLKSEL(x) ((x) << S_RXCLKSEL)
56058#define F_RXCLKSEL V_RXCLKSEL(1U)
56059
56060#define S_FRCBAND 4
56061#define V_FRCBAND(x) ((x) << S_FRCBAND)
56062#define F_FRCBAND V_FRCBAND(1U)
56063
56064#define S_PLLBYP 3
56065#define V_PLLBYP(x) ((x) << S_PLLBYP)
56066#define F_PLLBYP V_PLLBYP(1U)
56067
56068#define S_PDWNP 2
56069#define V_PDWNP(x) ((x) << S_PDWNP)
56070#define F_PDWNP V_PDWNP(1U)
56071
56072#define S_VCOSEL 1
56073#define V_VCOSEL(x) ((x) << S_VCOSEL)
56074#define F_VCOSEL V_VCOSEL(1U)
56075
56076#define S_DIVSEL8 0
56077#define V_DIVSEL8(x) ((x) << S_DIVSEL8)
56078#define F_DIVSEL8 V_DIVSEL8(1U)
56079
56080#define A_MAC_PORT_PLLA_MACRO_TEST_CONTROL_2 0x3bf8
56081
56082#define S_DIVSEL 0
56083#define M_DIVSEL 0xffU
56084#define V_DIVSEL(x) ((x) << S_DIVSEL)
56085#define G_DIVSEL(x) (((x) >> S_DIVSEL) & M_DIVSEL)
56086
56087#define A_MAC_PORT_PLLA_MACRO_TEST_CONTROL_1 0x3bfc
56088
56089#define S_CONFIG 0
56090#define M_CONFIG 0xffU
56091#define V_CONFIG(x) ((x) << S_CONFIG)
56092#define G_CONFIG(x) (((x) >> S_CONFIG) & M_CONFIG)
56093
56094#define A_MAC_PORT_PLLB_VCO_COARSE_CALIBRATION_0 0x3c00
56095#define A_MAC_PORT_PLLB_VCO_COARSE_CALIBRATION_1 0x3c04
56096#define A_MAC_PORT_PLLB_VCO_COARSE_CALIBRATION_2 0x3c08
56097#define A_MAC_PORT_PLLB_VCO_COARSE_CALIBRATION_3 0x3c0c
56098#define A_MAC_PORT_PLLB_VCO_COARSE_CALIBRATION_4 0x3c10
56099#define A_MAC_PORT_PLLB_POWER_CONTROL 0x3c24
56100#define A_MAC_PORT_PLLB_CHARGE_PUMP_CONTROL 0x3c28
56101#define A_MAC_PORT_PLLB_PLL_MICELLANEOUS_CONTROL 0x3c38
56102#define A_MAC_PORT_PLLB_PCLK_CONTROL 0x3c3c
56103#define A_MAC_PORT_PLLB_EYE_METRICS_INTERVAL_CONTROL 0x3c40
56104#define A_MAC_PORT_PLLB_EYE_METRICS_INTERVAL_LIMIT_1 0x3c44
56105#define A_MAC_PORT_PLLB_EYE_METRICS_INTERVAL_LIMIT_2 0x3c48
56106#define A_MAC_PORT_PLLB_EYE_METRICS_INTERVAL_LIMIT_3 0x3c4c
56107#define A_MAC_PORT_PLLB_EYE_METRICS_INTERVAL_LIMIT_4 0x3c50
56108#define A_MAC_PORT_PLLB_MACRO_TEST_CONTROL_4 0x3cf0
56109#define A_MAC_PORT_PLLB_MACRO_TEST_CONTROL_3 0x3cf4
56110#define A_MAC_PORT_PLLB_MACRO_TEST_CONTROL_2 0x3cf8
56111#define A_MAC_PORT_PLLB_MACRO_TEST_CONTROL_1 0x3cfc
56112#define A_MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_STEP_SIZE_EXTENDED 0x0
56113
56114#define S_STEP 0
56115#define M_STEP 0x7U
56116#define V_STEP(x) ((x) << S_STEP)
56117#define G_STEP(x) (((x) >> S_STEP) & M_STEP)
56118
56119#define A_MAC_PORT_TX_LINKA_TRANSMIT_AE_STEP_SIZE_EXTENDED 0x0
56120#define A_MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_C0_INIT_EXTENDED 0x8
56121
56122#define S_C0INIT 0
56123#define M_C0INIT 0x1fU
56124#define V_C0INIT(x) ((x) << S_C0INIT)
56125#define G_C0INIT(x) (((x) >> S_C0INIT) & M_C0INIT)
56126
56127#define S_C0PRESET 8
56128#define M_C0PRESET 0x7fU
56129#define V_C0PRESET(x) ((x) << S_C0PRESET)
56130#define G_C0PRESET(x) (((x) >> S_C0PRESET) & M_C0PRESET)
56131
56132#define S_C0INIT1 0
56133#define M_C0INIT1 0x7fU
56134#define V_C0INIT1(x) ((x) << S_C0INIT1)
56135#define G_C0INIT1(x) (((x) >> S_C0INIT1) & M_C0INIT1)
56136
56137#define A_MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_C0_LIMIT_EXTENDED 0x10
56138
56139#define S_C0MAX 8
56140#define M_C0MAX 0x1fU
56141#define V_C0MAX(x) ((x) << S_C0MAX)
56142#define G_C0MAX(x) (((x) >> S_C0MAX) & M_C0MAX)
56143
56144#define S_C0MIN 0
56145#define M_C0MIN 0x1fU
56146#define V_C0MIN(x) ((x) << S_C0MIN)
56147#define G_C0MIN(x) (((x) >> S_C0MIN) & M_C0MIN)
56148
56149#define A_MAC_PORT_TX_LINKA_TRANSMIT_AE_C0_LIMIT_EXTENDED 0x10
56150
56151#define S_T6_C0MAX 8
56152#define M_T6_C0MAX 0x7fU
56153#define V_T6_C0MAX(x) ((x) << S_T6_C0MAX)
56154#define G_T6_C0MAX(x) (((x) >> S_T6_C0MAX) & M_T6_C0MAX)
56155
56156#define S_T6_C0MIN 0
56157#define M_T6_C0MIN 0x7fU
56158#define V_T6_C0MIN(x) ((x) << S_T6_C0MIN)
56159#define G_T6_C0MIN(x) (((x) >> S_T6_C0MIN) & M_T6_C0MIN)
56160
56161#define A_MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_C1_INIT_EXTENDED 0x18
56162
56163#define S_C1INIT 0
56164#define M_C1INIT 0x7fU
56165#define V_C1INIT(x) ((x) << S_C1INIT)
56166#define G_C1INIT(x) (((x) >> S_C1INIT) & M_C1INIT)
56167
56168#define A_MAC_PORT_TX_LINKA_TRANSMIT_AE_C1_INIT_EXTENDED 0x18
56169
56170#define S_C1PRESET 8
56171#define M_C1PRESET 0x7fU
56172#define V_C1PRESET(x) ((x) << S_C1PRESET)
56173#define G_C1PRESET(x) (((x) >> S_C1PRESET) & M_C1PRESET)
56174
56175#define S_C1INIT1 0
56176#define M_C1INIT1 0x7fU
56177#define V_C1INIT1(x) ((x) << S_C1INIT1)
56178#define G_C1INIT1(x) (((x) >> S_C1INIT1) & M_C1INIT1)
56179
56180#define A_MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_C1_LIMIT_EXTENDED 0x20
56181
56182#define S_C1MAX 8
56183#define M_C1MAX 0x7fU
56184#define V_C1MAX(x) ((x) << S_C1MAX)
56185#define G_C1MAX(x) (((x) >> S_C1MAX) & M_C1MAX)
56186
56187#define S_C1MIN 0
56188#define M_C1MIN 0x7fU
56189#define V_C1MIN(x) ((x) << S_C1MIN)
56190#define G_C1MIN(x) (((x) >> S_C1MIN) & M_C1MIN)
56191
56192#define A_MAC_PORT_TX_LINKA_TRANSMIT_AE_C1_LIMIT_EXTENDED 0x20
56193#define A_MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_C2_INIT_EXTENDED 0x28
56194
56195#define S_C2INIT 0
56196#define M_C2INIT 0x3fU
56197#define V_C2INIT(x) ((x) << S_C2INIT)
56198#define G_C2INIT(x) (((x) >> S_C2INIT) & M_C2INIT)
56199
56200#define A_MAC_PORT_TX_LINKA_TRANSMIT_AE_C2_INIT_EXTENDED 0x28
56201
56202#define S_C2PRESET 8
56203#define M_C2PRESET 0x7fU
56204#define V_C2PRESET(x) ((x) << S_C2PRESET)
56205#define G_C2PRESET(x) (((x) >> S_C2PRESET) & M_C2PRESET)
56206
56207#define S_C2INIT1 0
56208#define M_C2INIT1 0x7fU
56209#define V_C2INIT1(x) ((x) << S_C2INIT1)
56210#define G_C2INIT1(x) (((x) >> S_C2INIT1) & M_C2INIT1)
56211
56212#define A_MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_C2_LIMIT_EXTENDED 0x30
56213
56214#define S_C2MAX 8
56215#define M_C2MAX 0x3fU
56216#define V_C2MAX(x) ((x) << S_C2MAX)
56217#define G_C2MAX(x) (((x) >> S_C2MAX) & M_C2MAX)
56218
56219#define S_C2MIN 0
56220#define M_C2MIN 0x3fU
56221#define V_C2MIN(x) ((x) << S_C2MIN)
56222#define G_C2MIN(x) (((x) >> S_C2MIN) & M_C2MIN)
56223
56224#define A_MAC_PORT_TX_LINKA_TRANSMIT_AE_C2_LIMIT_EXTENDED 0x30
56225
56226#define S_T6_C2MAX 8
56227#define M_T6_C2MAX 0x7fU
56228#define V_T6_C2MAX(x) ((x) << S_T6_C2MAX)
56229#define G_T6_C2MAX(x) (((x) >> S_T6_C2MAX) & M_T6_C2MAX)
56230
56231#define S_T6_C2MIN 0
56232#define M_T6_C2MIN 0x7fU
56233#define V_T6_C2MIN(x) ((x) << S_T6_C2MIN)
56234#define G_T6_C2MIN(x) (((x) >> S_T6_C2MIN) & M_T6_C2MIN)
56235
56236#define A_MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_VM_LIMIT_EXTENDED 0x38
56237
56238#define S_VMMAX 0
56239#define M_VMMAX 0x7fU
56240#define V_VMMAX(x) ((x) << S_VMMAX)
56241#define G_VMMAX(x) (((x) >> S_VMMAX) & M_VMMAX)
56242
56243#define A_MAC_PORT_TX_LINKA_TRANSMIT_AE_VM_LIMIT_EXTENDED 0x38
56244#define A_MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_V2_LIMIT_EXTENDED 0x40
56245
56246#define S_V2MIN 0
56247#define M_V2MIN 0x7fU
56248#define V_V2MIN(x) ((x) << S_V2MIN)
56249#define G_V2MIN(x) (((x) >> S_V2MIN) & M_V2MIN)
56250
56251#define A_MAC_PORT_TX_LINKA_TRANSMIT_AE_V2_LIMIT_EXTENDED 0x40
56252#define A_MAC_PORT_TX_LINKA_TRANSMIT_AE_C3_INIT_EXTENDED 0x48
56253
56254#define S_C3PRESET 8
56255#define M_C3PRESET 0x7fU
56256#define V_C3PRESET(x) ((x) << S_C3PRESET)
56257#define G_C3PRESET(x) (((x) >> S_C3PRESET) & M_C3PRESET)
56258
56259#define S_C3INIT1 0
56260#define M_C3INIT1 0x7fU
56261#define V_C3INIT1(x) ((x) << S_C3INIT1)
56262#define G_C3INIT1(x) (((x) >> S_C3INIT1) & M_C3INIT1)
56263
56264#define A_MAC_PORT_TX_LINKA_TRANSMIT_AE_C3_LIMIT_EXTENDED 0x50
56265
56266#define S_C3MAX 8
56267#define M_C3MAX 0x7fU
56268#define V_C3MAX(x) ((x) << S_C3MAX)
56269#define G_C3MAX(x) (((x) >> S_C3MAX) & M_C3MAX)
56270
56271#define S_C3MIN 0
56272#define M_C3MIN 0x7fU
56273#define V_C3MIN(x) ((x) << S_C3MIN)
56274#define G_C3MIN(x) (((x) >> S_C3MIN) & M_C3MIN)
56275
56276#define A_MAC_PORT_TX_LINKA_TRANSMIT_AE_C0_INIT2_EXTENDED 0x5c
56277
56278#define S_C0INIT2 0
56279#define M_C0INIT2 0x7fU
56280#define V_C0INIT2(x) ((x) << S_C0INIT2)
56281#define G_C0INIT2(x) (((x) >> S_C0INIT2) & M_C0INIT2)
56282
56283#define A_MAC_PORT_TX_LINKA_TRANSMIT_AE_C1_INIT2_EXTENDED 0x60
56284
56285#define S_C1INIT2 0
56286#define M_C1INIT2 0x7fU
56287#define V_C1INIT2(x) ((x) << S_C1INIT2)
56288#define G_C1INIT2(x) (((x) >> S_C1INIT2) & M_C1INIT2)
56289
56290#define A_MAC_PORT_TX_LINKA_TRANSMIT_AE_C2_INIT2_EXTENDED 0x68
56291
56292#define S_C2INIT2 0
56293#define M_C2INIT2 0x7fU
56294#define V_C2INIT2(x) ((x) << S_C2INIT2)
56295#define G_C2INIT2(x) (((x) >> S_C2INIT2) & M_C2INIT2)
56296
56297#define A_MAC_PORT_TX_LINKA_TRANSMIT_AE_C3_INIT2_EXTENDED 0x70
56298
56299#define S_C3INIT2 0
56300#define M_C3INIT2 0x7fU
56301#define V_C3INIT2(x) ((x) << S_C3INIT2)
56302#define G_C3INIT2(x) (((x) >> S_C3INIT2) & M_C3INIT2)
56303
56304#define A_MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_STEP_SIZE_EXTENDED 0x0
56305#define A_MAC_PORT_TX_LINKB_TRANSMIT_AE_STEP_SIZE_EXTENDED 0x0
56306#define A_MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_C0_INIT_EXTENDED 0x8
56307#define A_MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_C0_LIMIT_EXTENDED 0x10
56308#define A_MAC_PORT_TX_LINKB_TRANSMIT_AE_C0_LIMIT_EXTENDED 0x10
56309
56310#define S_T6_C0MAX 8
56311#define M_T6_C0MAX 0x7fU
56312#define V_T6_C0MAX(x) ((x) << S_T6_C0MAX)
56313#define G_T6_C0MAX(x) (((x) >> S_T6_C0MAX) & M_T6_C0MAX)
56314
56315#define S_T6_C0MIN 0
56316#define M_T6_C0MIN 0x7fU
56317#define V_T6_C0MIN(x) ((x) << S_T6_C0MIN)
56318#define G_T6_C0MIN(x) (((x) >> S_T6_C0MIN) & M_T6_C0MIN)
56319
56320#define A_MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_C1_INIT_EXTENDED 0x18
56321#define A_MAC_PORT_TX_LINKB_TRANSMIT_AE_C1_INIT_EXTENDED 0x18
56322#define A_MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_C1_LIMIT_EXTENDED 0x20
56323#define A_MAC_PORT_TX_LINKB_TRANSMIT_AE_C1_LIMIT_EXTENDED 0x20
56324#define A_MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_C2_INIT_EXTENDED 0x28
56325#define A_MAC_PORT_TX_LINKB_TRANSMIT_AE_C2_INIT_EXTENDED 0x28
56326#define A_MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_C2_LIMIT_EXTENDED 0x30
56327#define A_MAC_PORT_TX_LINKB_TRANSMIT_AE_C2_LIMIT_EXTENDED 0x30
56328
56329#define S_T6_C2MAX 8
56330#define M_T6_C2MAX 0x7fU
56331#define V_T6_C2MAX(x) ((x) << S_T6_C2MAX)
56332#define G_T6_C2MAX(x) (((x) >> S_T6_C2MAX) & M_T6_C2MAX)
56333
56334#define S_T6_C2MIN 0
56335#define M_T6_C2MIN 0x7fU
56336#define V_T6_C2MIN(x) ((x) << S_T6_C2MIN)
56337#define G_T6_C2MIN(x) (((x) >> S_T6_C2MIN) & M_T6_C2MIN)
56338
56339#define A_MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_VM_LIMIT_EXTENDED 0x38
56340#define A_MAC_PORT_TX_LINKB_TRANSMIT_AE_VM_LIMIT_EXTENDED 0x38
56341#define A_MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_V2_LIMIT_EXTENDED 0x40
56342#define A_MAC_PORT_TX_LINKB_TRANSMIT_AE_V2_LIMIT_EXTENDED 0x40
56343#define A_MAC_PORT_TX_LINKB_TRANSMIT_AE_C3_INIT_EXTENDED 0x48
56344#define A_MAC_PORT_TX_LINKB_TRANSMIT_AE_C3_LIMIT_EXTENDED 0x50
56345#define A_MAC_PORT_TX_LINKB_TRANSMIT_AE_C0_INIT2_EXTENDED 0x5c
56346#define A_MAC_PORT_TX_LINKB_TRANSMIT_AE_C1_INIT2_EXTENDED 0x60
56347#define A_MAC_PORT_TX_LINKB_TRANSMIT_AE_C2_INIT2_EXTENDED 0x68
56348#define A_MAC_PORT_TX_LINKB_TRANSMIT_AE_C3_INIT2_EXTENDED 0x70
56349#define A_MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_STEP_SIZE_EXTENDED 0x0
56350#define A_MAC_PORT_TX_LINKC_TRANSMIT_AE_STEP_SIZE_EXTENDED 0x0
56351#define A_MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_C0_INIT_EXTENDED 0x8
56352#define A_MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_C0_LIMIT_EXTENDED 0x10
56353#define A_MAC_PORT_TX_LINKC_TRANSMIT_AE_C0_LIMIT_EXTENDED 0x10
56354
56355#define S_T6_C0MAX 8
56356#define M_T6_C0MAX 0x7fU
56357#define V_T6_C0MAX(x) ((x) << S_T6_C0MAX)
56358#define G_T6_C0MAX(x) (((x) >> S_T6_C0MAX) & M_T6_C0MAX)
56359
56360#define S_T6_C0MIN 0
56361#define M_T6_C0MIN 0x7fU
56362#define V_T6_C0MIN(x) ((x) << S_T6_C0MIN)
56363#define G_T6_C0MIN(x) (((x) >> S_T6_C0MIN) & M_T6_C0MIN)
56364
56365#define A_MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_C1_INIT_EXTENDED 0x18
56366#define A_MAC_PORT_TX_LINKC_TRANSMIT_AE_C1_INIT_EXTENDED 0x18
56367#define A_MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_C1_LIMIT_EXTENDED 0x20
56368#define A_MAC_PORT_TX_LINKC_TRANSMIT_AE_C1_LIMIT_EXTENDED 0x20
56369#define A_MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_C2_INIT_EXTENDED 0x28
56370#define A_MAC_PORT_TX_LINKC_TRANSMIT_AE_C2_INIT_EXTENDED 0x28
56371#define A_MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_C2_LIMIT_EXTENDED 0x30
56372#define A_MAC_PORT_TX_LINKC_TRANSMIT_AE_C2_LIMIT_EXTENDED 0x30
56373
56374#define S_T6_C2MAX 8
56375#define M_T6_C2MAX 0x7fU
56376#define V_T6_C2MAX(x) ((x) << S_T6_C2MAX)
56377#define G_T6_C2MAX(x) (((x) >> S_T6_C2MAX) & M_T6_C2MAX)
56378
56379#define S_T6_C2MIN 0
56380#define M_T6_C2MIN 0x7fU
56381#define V_T6_C2MIN(x) ((x) << S_T6_C2MIN)
56382#define G_T6_C2MIN(x) (((x) >> S_T6_C2MIN) & M_T6_C2MIN)
56383
56384#define A_MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_VM_LIMIT_EXTENDED 0x38
56385#define A_MAC_PORT_TX_LINKC_TRANSMIT_AE_VM_LIMIT_EXTENDED 0x38
56386#define A_MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_V2_LIMIT_EXTENDED 0x40
56387#define A_MAC_PORT_TX_LINKC_TRANSMIT_AE_V2_LIMIT_EXTENDED 0x40
56388#define A_MAC_PORT_TX_LINKC_TRANSMIT_AE_C3_INIT_EXTENDED 0x48
56389#define A_MAC_PORT_TX_LINKC_TRANSMIT_AE_C3_LIMIT_EXTENDED 0x50
56390#define A_MAC_PORT_TX_LINKC_TRANSMIT_AE_C0_INIT2_EXTENDED 0x5c
56391#define A_MAC_PORT_TX_LINKC_TRANSMIT_AE_C1_INIT2_EXTENDED 0x60
56392#define A_MAC_PORT_TX_LINKC_TRANSMIT_AE_C2_INIT2_EXTENDED 0x68
56393#define A_MAC_PORT_TX_LINKC_TRANSMIT_AE_C3_INIT2_EXTENDED 0x70
56394#define A_MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_STEP_SIZE_EXTENDED 0x0
56395#define A_MAC_PORT_TX_LINKD_TRANSMIT_AE_STEP_SIZE_EXTENDED 0x0
56396#define A_MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_C0_INIT_EXTENDED 0x8
56397#define A_MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_C0_LIMIT_EXTENDED 0x10
56398#define A_MAC_PORT_TX_LINKD_TRANSMIT_AE_C0_LIMIT_EXTENDED 0x10
56399
56400#define S_T6_C0MAX 8
56401#define M_T6_C0MAX 0x7fU
56402#define V_T6_C0MAX(x) ((x) << S_T6_C0MAX)
56403#define G_T6_C0MAX(x) (((x) >> S_T6_C0MAX) & M_T6_C0MAX)
56404
56405#define S_T6_C0MIN 0
56406#define M_T6_C0MIN 0x7fU
56407#define V_T6_C0MIN(x) ((x) << S_T6_C0MIN)
56408#define G_T6_C0MIN(x) (((x) >> S_T6_C0MIN) & M_T6_C0MIN)
56409
56410#define A_MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_C1_INIT_EXTENDED 0x18
56411#define A_MAC_PORT_TX_LINKD_TRANSMIT_AE_C1_INIT_EXTENDED 0x18
56412#define A_MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_C1_LIMIT_EXTENDED 0x20
56413#define A_MAC_PORT_TX_LINKD_TRANSMIT_AE_C1_LIMIT_EXTENDED 0x20
56414#define A_MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_C2_INIT_EXTENDED 0x28
56415#define A_MAC_PORT_TX_LINKD_TRANSMIT_AE_C2_INIT_EXTENDED 0x28
56416#define A_MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_C2_LIMIT_EXTENDED 0x30
56417#define A_MAC_PORT_TX_LINKD_TRANSMIT_AE_C2_LIMIT_EXTENDED 0x30
56418
56419#define S_T6_C2MAX 8
56420#define M_T6_C2MAX 0x7fU
56421#define V_T6_C2MAX(x) ((x) << S_T6_C2MAX)
56422#define G_T6_C2MAX(x) (((x) >> S_T6_C2MAX) & M_T6_C2MAX)
56423
56424#define S_T6_C2MIN 0
56425#define M_T6_C2MIN 0x7fU
56426#define V_T6_C2MIN(x) ((x) << S_T6_C2MIN)
56427#define G_T6_C2MIN(x) (((x) >> S_T6_C2MIN) & M_T6_C2MIN)
56428
56429#define A_MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_VM_LIMIT_EXTENDED 0x38
56430#define A_MAC_PORT_TX_LINKD_TRANSMIT_AE_VM_LIMIT_EXTENDED 0x38
56431#define A_MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_V2_LIMIT_EXTENDED 0x40
56432#define A_MAC_PORT_TX_LINKD_TRANSMIT_AE_V2_LIMIT_EXTENDED 0x40
56433#define A_MAC_PORT_TX_LINKD_TRANSMIT_AE_C3_INIT_EXTENDED 0x48
56434#define A_MAC_PORT_TX_LINKD_TRANSMIT_AE_C3_LIMIT_EXTENDED 0x50
56435#define A_MAC_PORT_TX_LINKD_TRANSMIT_AE_C0_INIT2_EXTENDED 0x5c
56436#define A_MAC_PORT_TX_LINKD_TRANSMIT_AE_C1_INIT2_EXTENDED 0x60
56437#define A_MAC_PORT_TX_LINKD_TRANSMIT_AE_C2_INIT2_EXTENDED 0x68
56438#define A_MAC_PORT_TX_LINKD_TRANSMIT_AE_C3_INIT2_EXTENDED 0x70
56439#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_STEP_SIZE_EXTENDED 0x0
56440#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_STEP_SIZE_EXTENDED 0x0
56441#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_C0_INIT_EXTENDED 0x8
56442#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_C0_LIMIT_EXTENDED 0x10
56443#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C0_LIMIT_EXTENDED 0x10
56444
56445#define S_T6_C0MAX 8
56446#define M_T6_C0MAX 0x7fU
56447#define V_T6_C0MAX(x) ((x) << S_T6_C0MAX)
56448#define G_T6_C0MAX(x) (((x) >> S_T6_C0MAX) & M_T6_C0MAX)
56449
56450#define S_T6_C0MIN 0
56451#define M_T6_C0MIN 0x7fU
56452#define V_T6_C0MIN(x) ((x) << S_T6_C0MIN)
56453#define G_T6_C0MIN(x) (((x) >> S_T6_C0MIN) & M_T6_C0MIN)
56454
56455#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_C1_INIT_EXTENDED 0x18
56456#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C1_INIT_EXTENDED 0x18
56457#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_C1_LIMIT_EXTENDED 0x20
56458#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C1_LIMIT_EXTENDED 0x20
56459#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_C2_INIT_EXTENDED 0x28
56460#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C2_INIT_EXTENDED 0x28
56461#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_C2_LIMIT_EXTENDED 0x30
56462#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C2_LIMIT_EXTENDED 0x30
56463
56464#define S_T6_C2MAX 8
56465#define M_T6_C2MAX 0x7fU
56466#define V_T6_C2MAX(x) ((x) << S_T6_C2MAX)
56467#define G_T6_C2MAX(x) (((x) >> S_T6_C2MAX) & M_T6_C2MAX)
56468
56469#define S_T6_C2MIN 0
56470#define M_T6_C2MIN 0x7fU
56471#define V_T6_C2MIN(x) ((x) << S_T6_C2MIN)
56472#define G_T6_C2MIN(x) (((x) >> S_T6_C2MIN) & M_T6_C2MIN)
56473
56474#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_VM_LIMIT_EXTENDED 0x38
56475#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_VM_LIMIT_EXTENDED 0x38
56476#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_V2_LIMIT_EXTENDED 0x40
56477#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_V2_LIMIT_EXTENDED 0x40
56478#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C3_INIT_EXTENDED 0x48
56479#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C3_LIMIT_EXTENDED 0x50
56480#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C0_INIT2_EXTENDED 0x5c
56481#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C1_INIT2_EXTENDED 0x60
56482#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C2_INIT2_EXTENDED 0x68
56483#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C3_INIT2_EXTENDED 0x70
56484#define A_T6_MAC_PORT_RX_LINKA_DFE_TAP_ENABLE 0x2a00
56485
56486#define S_RX_LINKA_INDEX_DFE_EN 1
56487#define M_RX_LINKA_INDEX_DFE_EN 0x7fffU
56488#define V_RX_LINKA_INDEX_DFE_EN(x) ((x) << S_RX_LINKA_INDEX_DFE_EN)
56489#define G_RX_LINKA_INDEX_DFE_EN(x) (((x) >> S_RX_LINKA_INDEX_DFE_EN) & M_RX_LINKA_INDEX_DFE_EN)
56490
56491#define A_T6_MAC_PORT_RX_LINKA_DFE_H1 0x2a04
56492
56493#define S_T6_H1OSN 13
56494#define M_T6_H1OSN 0x7U
56495#define V_T6_H1OSN(x) ((x) << S_T6_H1OSN)
56496#define G_T6_H1OSN(x) (((x) >> S_T6_H1OSN) & M_T6_H1OSN)
56497
56498#define S_T6_H1OMAG 8
56499#define M_T6_H1OMAG 0x1fU
56500#define V_T6_H1OMAG(x) ((x) << S_T6_H1OMAG)
56501#define G_T6_H1OMAG(x) (((x) >> S_T6_H1OMAG) & M_T6_H1OMAG)
56502
56503#define A_T6_MAC_PORT_RX_LINKA_DFE_H2 0x2a08
56504#define A_T6_MAC_PORT_RX_LINKA_DFE_H3 0x2a0c
56505#define A_T6_MAC_PORT_RX_LINKA_DFE_H4 0x2a10
56506
56507#define S_H4SN 4
56508#define M_H4SN 0x3U
56509#define V_H4SN(x) ((x) << S_H4SN)
56510#define G_H4SN(x) (((x) >> S_H4SN) & M_H4SN)
56511
56512#define S_H4MAG 0
56513#define M_H4MAG 0xfU
56514#define V_H4MAG(x) ((x) << S_H4MAG)
56515#define G_H4MAG(x) (((x) >> S_H4MAG) & M_H4MAG)
56516
56517#define A_T6_MAC_PORT_RX_LINKA_DFE_H5 0x2a14
56518
56519#define S_H5GS 6
56520#define M_H5GS 0x3U
56521#define V_H5GS(x) ((x) << S_H5GS)
56522#define G_H5GS(x) (((x) >> S_H5GS) & M_H5GS)
56523
56524#define S_H5SN 4
56525#define M_H5SN 0x3U
56526#define V_H5SN(x) ((x) << S_H5SN)
56527#define G_H5SN(x) (((x) >> S_H5SN) & M_H5SN)
56528
56529#define S_H5MAG 0
56530#define M_H5MAG 0xfU
56531#define V_H5MAG(x) ((x) << S_H5MAG)
56532#define G_H5MAG(x) (((x) >> S_H5MAG) & M_H5MAG)
56533
56534#define A_T6_MAC_PORT_RX_LINKA_DFE_H6_AND_H7 0x2a18
56535
56536#define S_H7SN 12
56537#define M_H7SN 0x3U
56538#define V_H7SN(x) ((x) << S_H7SN)
56539#define G_H7SN(x) (((x) >> S_H7SN) & M_H7SN)
56540
56541#define S_H6SN 4
56542#define M_H6SN 0x3U
56543#define V_H6SN(x) ((x) << S_H6SN)
56544#define G_H6SN(x) (((x) >> S_H6SN) & M_H6SN)
56545
56546#define A_T6_MAC_PORT_RX_LINKA_DFE_H8_AND_H9 0x2a1c
56547
56548#define S_H9SN 12
56549#define M_H9SN 0x3U
56550#define V_H9SN(x) ((x) << S_H9SN)
56551#define G_H9SN(x) (((x) >> S_H9SN) & M_H9SN)
56552
56553#define S_H8SN 4
56554#define M_H8SN 0x3U
56555#define V_H8SN(x) ((x) << S_H8SN)
56556#define G_H8SN(x) (((x) >> S_H8SN) & M_H8SN)
56557
56558#define A_T6_MAC_PORT_RX_LINKA_DFE_H10_AND_H11 0x2a20
56559
56560#define S_H11SN 12
56561#define M_H11SN 0x3U
56562#define V_H11SN(x) ((x) << S_H11SN)
56563#define G_H11SN(x) (((x) >> S_H11SN) & M_H11SN)
56564
56565#define S_H10SN 4
56566#define M_H10SN 0x3U
56567#define V_H10SN(x) ((x) << S_H10SN)
56568#define G_H10SN(x) (((x) >> S_H10SN) & M_H10SN)
56569
56570#define A_MAC_PORT_RX_LINKA_DFE_H12_13 0x2a24
56571
56572#define S_H13GS 13
56573#define M_H13GS 0x7U
56574#define V_H13GS(x) ((x) << S_H13GS)
56575#define G_H13GS(x) (((x) >> S_H13GS) & M_H13GS)
56576
56577#define S_H13SN 10
56578#define M_H13SN 0x7U
56579#define V_H13SN(x) ((x) << S_H13SN)
56580#define G_H13SN(x) (((x) >> S_H13SN) & M_H13SN)
56581
56582#define S_H13MAG 8
56583#define M_H13MAG 0x3U
56584#define V_H13MAG(x) ((x) << S_H13MAG)
56585#define G_H13MAG(x) (((x) >> S_H13MAG) & M_H13MAG)
56586
56587#define S_H12SN 4
56588#define M_H12SN 0x3U
56589#define V_H12SN(x) ((x) << S_H12SN)
56590#define G_H12SN(x) (((x) >> S_H12SN) & M_H12SN)
56591
56592#define A_MAC_PORT_RX_LINKA_DFE_H14_15 0x2a28
56593
56594#define S_H15GS 13
56595#define M_H15GS 0x7U
56596#define V_H15GS(x) ((x) << S_H15GS)
56597#define G_H15GS(x) (((x) >> S_H15GS) & M_H15GS)
56598
56599#define S_H15SN 10
56600#define M_H15SN 0x7U
56601#define V_H15SN(x) ((x) << S_H15SN)
56602#define G_H15SN(x) (((x) >> S_H15SN) & M_H15SN)
56603
56604#define S_H15MAG 8
56605#define M_H15MAG 0x3U
56606#define V_H15MAG(x) ((x) << S_H15MAG)
56607#define G_H15MAG(x) (((x) >> S_H15MAG) & M_H15MAG)
56608
56609#define S_H14GS 6
56610#define M_H14GS 0x3U
56611#define V_H14GS(x) ((x) << S_H14GS)
56612#define G_H14GS(x) (((x) >> S_H14GS) & M_H14GS)
56613
56614#define S_H14SN 4
56615#define M_H14SN 0x3U
56616#define V_H14SN(x) ((x) << S_H14SN)
56617#define G_H14SN(x) (((x) >> S_H14SN) & M_H14SN)
56618
56619#define S_H14MAG 0
56620#define M_H14MAG 0xfU
56621#define V_H14MAG(x) ((x) << S_H14MAG)
56622#define G_H14MAG(x) (((x) >> S_H14MAG) & M_H14MAG)
56623
56624#define A_MAC_PORT_RX_LINKA_DFE_H1ODD_DELTA_AND_H1EVEN_DELTA 0x2a2c
56625
56626#define S_H1ODELTA 8
56627#define M_H1ODELTA 0x1fU
56628#define V_H1ODELTA(x) ((x) << S_H1ODELTA)
56629#define G_H1ODELTA(x) (((x) >> S_H1ODELTA) & M_H1ODELTA)
56630
56631#define S_H1EDELTA 0
56632#define M_H1EDELTA 0x3fU
56633#define V_H1EDELTA(x) ((x) << S_H1EDELTA)
56634#define G_H1EDELTA(x) (((x) >> S_H1EDELTA) & M_H1EDELTA)
56635
56636#define A_T6_MAC_PORT_RX_LINKB_DFE_TAP_ENABLE 0x2b00
56637
56638#define S_RX_LINKB_INDEX_DFE_EN 1
56639#define M_RX_LINKB_INDEX_DFE_EN 0x7fffU
56640#define V_RX_LINKB_INDEX_DFE_EN(x) ((x) << S_RX_LINKB_INDEX_DFE_EN)
56641#define G_RX_LINKB_INDEX_DFE_EN(x) (((x) >> S_RX_LINKB_INDEX_DFE_EN) & M_RX_LINKB_INDEX_DFE_EN)
56642
56643#define A_T6_MAC_PORT_RX_LINKB_DFE_H1 0x2b04
56644
56645#define S_T6_H1OSN 13
56646#define M_T6_H1OSN 0x7U
56647#define V_T6_H1OSN(x) ((x) << S_T6_H1OSN)
56648#define G_T6_H1OSN(x) (((x) >> S_T6_H1OSN) & M_T6_H1OSN)
56649
56650#define S_T6_H1OMAG 8
56651#define M_T6_H1OMAG 0x1fU
56652#define V_T6_H1OMAG(x) ((x) << S_T6_H1OMAG)
56653#define G_T6_H1OMAG(x) (((x) >> S_T6_H1OMAG) & M_T6_H1OMAG)
56654
56655#define A_T6_MAC_PORT_RX_LINKB_DFE_H2 0x2b08
56656#define A_T6_MAC_PORT_RX_LINKB_DFE_H3 0x2b0c
56657#define A_T6_MAC_PORT_RX_LINKB_DFE_H4 0x2b10
56658#define A_T6_MAC_PORT_RX_LINKB_DFE_H5 0x2b14
56659#define A_T6_MAC_PORT_RX_LINKB_DFE_H6_AND_H7 0x2b18
56660#define A_T6_MAC_PORT_RX_LINKB_DFE_H8_AND_H9 0x2b1c
56661#define A_T6_MAC_PORT_RX_LINKB_DFE_H10_AND_H11 0x2b20
56662#define A_MAC_PORT_RX_LINKB_DFE_H12_13 0x2b24
56663#define A_MAC_PORT_RX_LINKB_DFE_H14_15 0x2b28
56664#define A_MAC_PORT_RX_LINKB_DFE_H1ODD_DELTA_AND_H1EVEN_DELTA 0x2b2c
56665#define A_T6_MAC_PORT_RX_LINKC_DFE_TAP_ENABLE 0x2e00
56666
56667#define S_RX_LINKC_INDEX_DFE_EN 1
56668#define M_RX_LINKC_INDEX_DFE_EN 0x7fffU
56669#define V_RX_LINKC_INDEX_DFE_EN(x) ((x) << S_RX_LINKC_INDEX_DFE_EN)
56670#define G_RX_LINKC_INDEX_DFE_EN(x) (((x) >> S_RX_LINKC_INDEX_DFE_EN) & M_RX_LINKC_INDEX_DFE_EN)
56671
56672#define A_T6_MAC_PORT_RX_LINKC_DFE_H1 0x2e04
56673
56674#define S_T6_H1OSN 13
56675#define M_T6_H1OSN 0x7U
56676#define V_T6_H1OSN(x) ((x) << S_T6_H1OSN)
56677#define G_T6_H1OSN(x) (((x) >> S_T6_H1OSN) & M_T6_H1OSN)
56678
56679#define S_T6_H1OMAG 8
56680#define M_T6_H1OMAG 0x1fU
56681#define V_T6_H1OMAG(x) ((x) << S_T6_H1OMAG)
56682#define G_T6_H1OMAG(x) (((x) >> S_T6_H1OMAG) & M_T6_H1OMAG)
56683
56684#define A_T6_MAC_PORT_RX_LINKC_DFE_H2 0x2e08
56685#define A_T6_MAC_PORT_RX_LINKC_DFE_H3 0x2e0c
56686#define A_T6_MAC_PORT_RX_LINKC_DFE_H4 0x2e10
56687#define A_T6_MAC_PORT_RX_LINKC_DFE_H5 0x2e14
56688#define A_T6_MAC_PORT_RX_LINKC_DFE_H6_AND_H7 0x2e18
56689#define A_T6_MAC_PORT_RX_LINKC_DFE_H8_AND_H9 0x2e1c
56690#define A_T6_MAC_PORT_RX_LINKC_DFE_H10_AND_H11 0x2e20
56691#define A_MAC_PORT_RX_LINKC_DFE_H12_13 0x2e24
56692#define A_MAC_PORT_RX_LINKC_DFE_H14_15 0x2e28
56693#define A_MAC_PORT_RX_LINKC_DFE_H1ODD_DELTA_AND_H1EVEN_DELTA 0x2e2c
56694#define A_T6_MAC_PORT_RX_LINKD_DFE_TAP_ENABLE 0x2f00
56695
56696#define S_RX_LINKD_INDEX_DFE_EN 1
56697#define M_RX_LINKD_INDEX_DFE_EN 0x7fffU
56698#define V_RX_LINKD_INDEX_DFE_EN(x) ((x) << S_RX_LINKD_INDEX_DFE_EN)
56699#define G_RX_LINKD_INDEX_DFE_EN(x) (((x) >> S_RX_LINKD_INDEX_DFE_EN) & M_RX_LINKD_INDEX_DFE_EN)
56700
56701#define A_T6_MAC_PORT_RX_LINKD_DFE_H1 0x2f04
56702
56703#define S_T6_H1OSN 13
56704#define M_T6_H1OSN 0x7U
56705#define V_T6_H1OSN(x) ((x) << S_T6_H1OSN)
56706#define G_T6_H1OSN(x) (((x) >> S_T6_H1OSN) & M_T6_H1OSN)
56707
56708#define S_T6_H1OMAG 8
56709#define M_T6_H1OMAG 0x1fU
56710#define V_T6_H1OMAG(x) ((x) << S_T6_H1OMAG)
56711#define G_T6_H1OMAG(x) (((x) >> S_T6_H1OMAG) & M_T6_H1OMAG)
56712
56713#define A_T6_MAC_PORT_RX_LINKD_DFE_H2 0x2f08
56714#define A_T6_MAC_PORT_RX_LINKD_DFE_H3 0x2f0c
56715#define A_T6_MAC_PORT_RX_LINKD_DFE_H4 0x2f10
56716#define A_T6_MAC_PORT_RX_LINKD_DFE_H5 0x2f14
56717#define A_T6_MAC_PORT_RX_LINKD_DFE_H6_AND_H7 0x2f18
56718#define A_T6_MAC_PORT_RX_LINKD_DFE_H8_AND_H9 0x2f1c
56719#define A_T6_MAC_PORT_RX_LINKD_DFE_H10_AND_H11 0x2f20
56720#define A_MAC_PORT_RX_LINKD_DFE_H12_13 0x2f24
56721#define A_MAC_PORT_RX_LINKD_DFE_H14_15 0x2f28
56722#define A_MAC_PORT_RX_LINKD_DFE_H1ODD_DELTA_AND_H1EVEN_DELTA 0x2f2c
56723#define A_T6_MAC_PORT_RX_LINK_BCST_DFE_TAP_ENABLE 0x3200
56724
56725#define S_RX_LINK_BCST_INDEX_DFE_EN 1
56726#define M_RX_LINK_BCST_INDEX_DFE_EN 0x7fffU
56727#define V_RX_LINK_BCST_INDEX_DFE_EN(x) ((x) << S_RX_LINK_BCST_INDEX_DFE_EN)
56728#define G_RX_LINK_BCST_INDEX_DFE_EN(x) (((x) >> S_RX_LINK_BCST_INDEX_DFE_EN) & M_RX_LINK_BCST_INDEX_DFE_EN)
56729
56730#define A_T6_MAC_PORT_RX_LINK_BCST_DFE_H1 0x3204
56731
56732#define S_T6_H1OSN 13
56733#define M_T6_H1OSN 0x7U
56734#define V_T6_H1OSN(x) ((x) << S_T6_H1OSN)
56735#define G_T6_H1OSN(x) (((x) >> S_T6_H1OSN) & M_T6_H1OSN)
56736
56737#define S_T6_H1OMAG 8
56738#define M_T6_H1OMAG 0x1fU
56739#define V_T6_H1OMAG(x) ((x) << S_T6_H1OMAG)
56740#define G_T6_H1OMAG(x) (((x) >> S_T6_H1OMAG) & M_T6_H1OMAG)
56741
56742#define A_T6_MAC_PORT_RX_LINK_BCST_DFE_H2 0x3208
56743#define A_T6_MAC_PORT_RX_LINK_BCST_DFE_H3 0x320c
56744#define A_T6_MAC_PORT_RX_LINK_BCST_DFE_H4 0x3210
56745#define A_T6_MAC_PORT_RX_LINK_BCST_DFE_H5 0x3214
56746#define A_T6_MAC_PORT_RX_LINK_BCST_DFE_H6_AND_H7 0x3218
56747#define A_T6_MAC_PORT_RX_LINK_BCST_DFE_H8_AND_H9 0x321c
56748#define A_T6_MAC_PORT_RX_LINK_BCST_DFE_H10_AND_H11 0x3220
56749#define A_MAC_PORT_RX_LINK_BCST_DFE_H12_13 0x3224
56750#define A_MAC_PORT_RX_LINK_BCST_DFE_H14_15 0x3228
56751#define A_MAC_PORT_RX_LINK_BCST_DFE_H1ODD_DELTA_AND_H1EVEN_DELTA 0x322c
56752
56753/* registers for module MC_0 */
56754#define MC_0_BASE_ADDR 0x40000
56755
56756#define A_MC_UPCTL_SCFG 0x40000
56757
56758#define S_BBFLAGS_TIMING 8
56759#define M_BBFLAGS_TIMING 0xfU
56760#define V_BBFLAGS_TIMING(x) ((x) << S_BBFLAGS_TIMING)
56761#define G_BBFLAGS_TIMING(x) (((x) >> S_BBFLAGS_TIMING) & M_BBFLAGS_TIMING)
56762
56763#define S_NFIFO_NIF1_DIS 6
56764#define V_NFIFO_NIF1_DIS(x) ((x) << S_NFIFO_NIF1_DIS)
56765#define F_NFIFO_NIF1_DIS V_NFIFO_NIF1_DIS(1U)
56766
56767#define A_MC_UPCTL_SCTL 0x40004
56768#define A_MC_UPCTL_STAT 0x40008
56769
56770#define S_LP_TRIG 4
56771#define M_LP_TRIG 0x7U
56772#define V_LP_TRIG(x) ((x) << S_LP_TRIG)
56773#define G_LP_TRIG(x) (((x) >> S_LP_TRIG) & M_LP_TRIG)
56774
56775#define A_MC_UPCTL_INTRSTAT 0x4000c
56776
56777#define S_PARITY_INTR 1
56778#define V_PARITY_INTR(x) ((x) << S_PARITY_INTR)
56779#define F_PARITY_INTR V_PARITY_INTR(1U)
56780
56781#define S_ECC_INTR 0
56782#define V_ECC_INTR(x) ((x) << S_ECC_INTR)
56783#define F_ECC_INTR V_ECC_INTR(1U)
56784
56785#define A_MC_UPCTL_MCMD 0x40040
56786
56787#define S_CMD_OPCODE0 0
56788#define M_CMD_OPCODE0 0xfU
56789#define V_CMD_OPCODE0(x) ((x) << S_CMD_OPCODE0)
56790#define G_CMD_OPCODE0(x) (((x) >> S_CMD_OPCODE0) & M_CMD_OPCODE0)
56791
56792#define A_MC_LMC_MCSTAT 0x40040
56793
56794#define S_INIT_COMPLETE 31
56795#define V_INIT_COMPLETE(x) ((x) << S_INIT_COMPLETE)
56796#define F_INIT_COMPLETE V_INIT_COMPLETE(1U)
56797
56798#define S_SELF_REF_MODE 30
56799#define V_SELF_REF_MODE(x) ((x) << S_SELF_REF_MODE)
56800#define F_SELF_REF_MODE V_SELF_REF_MODE(1U)
56801
56802#define S_IDLE 29
56803#define V_IDLE(x) ((x) << S_IDLE)
56804#define F_IDLE V_IDLE(1U)
56805
56806#define S_T6_DFI_INIT_COMPLETE 28
56807#define V_T6_DFI_INIT_COMPLETE(x) ((x) << S_T6_DFI_INIT_COMPLETE)
56808#define F_T6_DFI_INIT_COMPLETE V_T6_DFI_INIT_COMPLETE(1U)
56809
56810#define S_PREFILL_COMPLETE 27
56811#define V_PREFILL_COMPLETE(x) ((x) << S_PREFILL_COMPLETE)
56812#define F_PREFILL_COMPLETE V_PREFILL_COMPLETE(1U)
56813
56814#define A_MC_UPCTL_POWCTL 0x40044
56815#define A_MC_UPCTL_POWSTAT 0x40048
56816#define A_MC_UPCTL_CMDTSTAT 0x4004c
56817
56818#define S_CMD_TSTAT 0
56819#define V_CMD_TSTAT(x) ((x) << S_CMD_TSTAT)
56820#define F_CMD_TSTAT V_CMD_TSTAT(1U)
56821
56822#define A_MC_UPCTL_CMDTSTATEN 0x40050
56823
56824#define S_CMD_TSTAT_EN 0
56825#define V_CMD_TSTAT_EN(x) ((x) << S_CMD_TSTAT_EN)
56826#define F_CMD_TSTAT_EN V_CMD_TSTAT_EN(1U)
56827
56828#define A_MC_UPCTL_MRRCFG0 0x40060
56829
56830#define S_MRR_BYTE_SEL 0
56831#define M_MRR_BYTE_SEL 0xfU
56832#define V_MRR_BYTE_SEL(x) ((x) << S_MRR_BYTE_SEL)
56833#define G_MRR_BYTE_SEL(x) (((x) >> S_MRR_BYTE_SEL) & M_MRR_BYTE_SEL)
56834
56835#define A_MC_UPCTL_MRRSTAT0 0x40064
56836
56837#define S_MRRSTAT_BEAT3 24
56838#define M_MRRSTAT_BEAT3 0xffU
56839#define V_MRRSTAT_BEAT3(x) ((x) << S_MRRSTAT_BEAT3)
56840#define G_MRRSTAT_BEAT3(x) (((x) >> S_MRRSTAT_BEAT3) & M_MRRSTAT_BEAT3)
56841
56842#define S_MRRSTAT_BEAT2 16
56843#define M_MRRSTAT_BEAT2 0xffU
56844#define V_MRRSTAT_BEAT2(x) ((x) << S_MRRSTAT_BEAT2)
56845#define G_MRRSTAT_BEAT2(x) (((x) >> S_MRRSTAT_BEAT2) & M_MRRSTAT_BEAT2)
56846
56847#define S_MRRSTAT_BEAT1 8
56848#define M_MRRSTAT_BEAT1 0xffU
56849#define V_MRRSTAT_BEAT1(x) ((x) << S_MRRSTAT_BEAT1)
56850#define G_MRRSTAT_BEAT1(x) (((x) >> S_MRRSTAT_BEAT1) & M_MRRSTAT_BEAT1)
56851
56852#define S_MRRSTAT_BEAT0 0
56853#define M_MRRSTAT_BEAT0 0xffU
56854#define V_MRRSTAT_BEAT0(x) ((x) << S_MRRSTAT_BEAT0)
56855#define G_MRRSTAT_BEAT0(x) (((x) >> S_MRRSTAT_BEAT0) & M_MRRSTAT_BEAT0)
56856
56857#define A_MC_UPCTL_MRRSTAT1 0x40068
56858
56859#define S_MRRSTAT_BEAT7 24
56860#define M_MRRSTAT_BEAT7 0xffU
56861#define V_MRRSTAT_BEAT7(x) ((x) << S_MRRSTAT_BEAT7)
56862#define G_MRRSTAT_BEAT7(x) (((x) >> S_MRRSTAT_BEAT7) & M_MRRSTAT_BEAT7)
56863
56864#define S_MRRSTAT_BEAT6 16
56865#define M_MRRSTAT_BEAT6 0xffU
56866#define V_MRRSTAT_BEAT6(x) ((x) << S_MRRSTAT_BEAT6)
56867#define G_MRRSTAT_BEAT6(x) (((x) >> S_MRRSTAT_BEAT6) & M_MRRSTAT_BEAT6)
56868
56869#define S_MRRSTAT_BEAT5 8
56870#define M_MRRSTAT_BEAT5 0xffU
56871#define V_MRRSTAT_BEAT5(x) ((x) << S_MRRSTAT_BEAT5)
56872#define G_MRRSTAT_BEAT5(x) (((x) >> S_MRRSTAT_BEAT5) & M_MRRSTAT_BEAT5)
56873
56874#define S_MRRSTAT_BEAT4 0
56875#define M_MRRSTAT_BEAT4 0xffU
56876#define V_MRRSTAT_BEAT4(x) ((x) << S_MRRSTAT_BEAT4)
56877#define G_MRRSTAT_BEAT4(x) (((x) >> S_MRRSTAT_BEAT4) & M_MRRSTAT_BEAT4)
56878
56879#define A_MC_UPCTL_MCFG1 0x4007c
56880
56881#define S_HW_EXIT_IDLE_EN 31
56882#define V_HW_EXIT_IDLE_EN(x) ((x) << S_HW_EXIT_IDLE_EN)
56883#define F_HW_EXIT_IDLE_EN V_HW_EXIT_IDLE_EN(1U)
56884
56885#define S_HW_IDLE 16
56886#define M_HW_IDLE 0xffU
56887#define V_HW_IDLE(x) ((x) << S_HW_IDLE)
56888#define G_HW_IDLE(x) (((x) >> S_HW_IDLE) & M_HW_IDLE)
56889
56890#define S_SR_IDLE 0
56891#define M_SR_IDLE 0xffU
56892#define V_SR_IDLE(x) ((x) << S_SR_IDLE)
56893#define G_SR_IDLE(x) (((x) >> S_SR_IDLE) & M_SR_IDLE)
56894
56895#define A_MC_UPCTL_MCFG 0x40080
56896
56897#define S_MDDR_LPDDR2_CLK_STOP_IDLE 24
56898#define M_MDDR_LPDDR2_CLK_STOP_IDLE 0xffU
56899#define V_MDDR_LPDDR2_CLK_STOP_IDLE(x) ((x) << S_MDDR_LPDDR2_CLK_STOP_IDLE)
56900#define G_MDDR_LPDDR2_CLK_STOP_IDLE(x) (((x) >> S_MDDR_LPDDR2_CLK_STOP_IDLE) & M_MDDR_LPDDR2_CLK_STOP_IDLE)
56901
56902#define S_MDDR_LPDDR2_EN 22
56903#define M_MDDR_LPDDR2_EN 0x3U
56904#define V_MDDR_LPDDR2_EN(x) ((x) << S_MDDR_LPDDR2_EN)
56905#define G_MDDR_LPDDR2_EN(x) (((x) >> S_MDDR_LPDDR2_EN) & M_MDDR_LPDDR2_EN)
56906
56907#define S_MDDR_LPDDR2_BL 20
56908#define M_MDDR_LPDDR2_BL 0x3U
56909#define V_MDDR_LPDDR2_BL(x) ((x) << S_MDDR_LPDDR2_BL)
56910#define G_MDDR_LPDDR2_BL(x) (((x) >> S_MDDR_LPDDR2_BL) & M_MDDR_LPDDR2_BL)
56911
56912#define S_LPDDR2_S4 6
56913#define V_LPDDR2_S4(x) ((x) << S_LPDDR2_S4)
56914#define F_LPDDR2_S4 V_LPDDR2_S4(1U)
56915
56916#define S_STAGGER_CS 4
56917#define V_STAGGER_CS(x) ((x) << S_STAGGER_CS)
56918#define F_STAGGER_CS V_STAGGER_CS(1U)
56919
56920#define S_CKE_OR_EN 1
56921#define V_CKE_OR_EN(x) ((x) << S_CKE_OR_EN)
56922#define F_CKE_OR_EN V_CKE_OR_EN(1U)
56923
56924#define A_MC_LMC_MCOPT1 0x40080
56925
56926#define S_MC_PROTOCOL 31
56927#define V_MC_PROTOCOL(x) ((x) << S_MC_PROTOCOL)
56928#define F_MC_PROTOCOL V_MC_PROTOCOL(1U)
56929
56930#define S_DM_ENABLE 30
56931#define V_DM_ENABLE(x) ((x) << S_DM_ENABLE)
56932#define F_DM_ENABLE V_DM_ENABLE(1U)
56933
56934#define S_T6_ECC_EN 29
56935#define V_T6_ECC_EN(x) ((x) << S_T6_ECC_EN)
56936#define F_T6_ECC_EN V_T6_ECC_EN(1U)
56937
56938#define S_ECC_COR 28
56939#define V_ECC_COR(x) ((x) << S_ECC_COR)
56940#define F_ECC_COR V_ECC_COR(1U)
56941
56942#define S_RDIMM 27
56943#define V_RDIMM(x) ((x) << S_RDIMM)
56944#define F_RDIMM V_RDIMM(1U)
56945
56946#define S_PMUM 25
56947#define M_PMUM 0x3U
56948#define V_PMUM(x) ((x) << S_PMUM)
56949#define G_PMUM(x) (((x) >> S_PMUM) & M_PMUM)
56950
56951#define S_WIDTH0 24
56952#define V_WIDTH0(x) ((x) << S_WIDTH0)
56953#define F_WIDTH0 V_WIDTH0(1U)
56954
56955#define S_PORT_ID_CHK_EN 23
56956#define V_PORT_ID_CHK_EN(x) ((x) << S_PORT_ID_CHK_EN)
56957#define F_PORT_ID_CHK_EN V_PORT_ID_CHK_EN(1U)
56958
56959#define S_UIOS 22
56960#define V_UIOS(x) ((x) << S_UIOS)
56961#define F_UIOS V_UIOS(1U)
56962
56963#define S_QUADCS_RDIMM 21
56964#define V_QUADCS_RDIMM(x) ((x) << S_QUADCS_RDIMM)
56965#define F_QUADCS_RDIMM V_QUADCS_RDIMM(1U)
56966
56967#define S_ZQCL_EN 20
56968#define V_ZQCL_EN(x) ((x) << S_ZQCL_EN)
56969#define F_ZQCL_EN V_ZQCL_EN(1U)
56970
56971#define S_WIDTH1 19
56972#define V_WIDTH1(x) ((x) << S_WIDTH1)
56973#define F_WIDTH1 V_WIDTH1(1U)
56974
56975#define S_WD_DLY 18
56976#define V_WD_DLY(x) ((x) << S_WD_DLY)
56977#define F_WD_DLY V_WD_DLY(1U)
56978
56979#define S_QDEPTH 16
56980#define M_QDEPTH 0x3U
56981#define V_QDEPTH(x) ((x) << S_QDEPTH)
56982#define G_QDEPTH(x) (((x) >> S_QDEPTH) & M_QDEPTH)
56983
56984#define S_RWOO 15
56985#define V_RWOO(x) ((x) << S_RWOO)
56986#define F_RWOO V_RWOO(1U)
56987
56988#define S_WOOO 14
56989#define V_WOOO(x) ((x) << S_WOOO)
56990#define F_WOOO V_WOOO(1U)
56991
56992#define S_DCOO 13
56993#define V_DCOO(x) ((x) << S_DCOO)
56994#define F_DCOO V_DCOO(1U)
56995
56996#define S_DEF_REF 12
56997#define V_DEF_REF(x) ((x) << S_DEF_REF)
56998#define F_DEF_REF V_DEF_REF(1U)
56999
57000#define S_DEV_TYPE 11
57001#define V_DEV_TYPE(x) ((x) << S_DEV_TYPE)
57002#define F_DEV_TYPE V_DEV_TYPE(1U)
57003
57004#define S_CA_PTY_DLY 10
57005#define V_CA_PTY_DLY(x) ((x) << S_CA_PTY_DLY)
57006#define F_CA_PTY_DLY V_CA_PTY_DLY(1U)
57007
57008#define S_ECC_MUX 8
57009#define M_ECC_MUX 0x3U
57010#define V_ECC_MUX(x) ((x) << S_ECC_MUX)
57011#define G_ECC_MUX(x) (((x) >> S_ECC_MUX) & M_ECC_MUX)
57012
57013#define S_CE_THRESHOLD 0
57014#define M_CE_THRESHOLD 0xffU
57015#define V_CE_THRESHOLD(x) ((x) << S_CE_THRESHOLD)
57016#define G_CE_THRESHOLD(x) (((x) >> S_CE_THRESHOLD) & M_CE_THRESHOLD)
57017
57018#define A_MC_UPCTL_PPCFG 0x40084
57019#define A_MC_LMC_MCOPT2 0x40084
57020
57021#define S_SELF_REF_EN 31
57022#define V_SELF_REF_EN(x) ((x) << S_SELF_REF_EN)
57023#define F_SELF_REF_EN V_SELF_REF_EN(1U)
57024
57025#define S_XSR_PREVENT 30
57026#define V_XSR_PREVENT(x) ((x) << S_XSR_PREVENT)
57027#define F_XSR_PREVENT V_XSR_PREVENT(1U)
57028
57029#define S_INIT_START 29
57030#define V_INIT_START(x) ((x) << S_INIT_START)
57031#define F_INIT_START V_INIT_START(1U)
57032
57033#define S_MC_ENABLE 28
57034#define V_MC_ENABLE(x) ((x) << S_MC_ENABLE)
57035#define F_MC_ENABLE V_MC_ENABLE(1U)
57036
57037#define S_CLK_DISABLE 24
57038#define M_CLK_DISABLE 0xfU
57039#define V_CLK_DISABLE(x) ((x) << S_CLK_DISABLE)
57040#define G_CLK_DISABLE(x) (((x) >> S_CLK_DISABLE) & M_CLK_DISABLE)
57041
57042#define S_RESET_RANK 20
57043#define M_RESET_RANK 0xfU
57044#define V_RESET_RANK(x) ((x) << S_RESET_RANK)
57045#define G_RESET_RANK(x) (((x) >> S_RESET_RANK) & M_RESET_RANK)
57046
57047#define S_MCIF_COMP_PTY_EN 19
57048#define V_MCIF_COMP_PTY_EN(x) ((x) << S_MCIF_COMP_PTY_EN)
57049#define F_MCIF_COMP_PTY_EN V_MCIF_COMP_PTY_EN(1U)
57050
57051#define S_CKE_OE 17
57052#define V_CKE_OE(x) ((x) << S_CKE_OE)
57053#define F_CKE_OE V_CKE_OE(1U)
57054
57055#define S_RESET_OE 16
57056#define V_RESET_OE(x) ((x) << S_RESET_OE)
57057#define F_RESET_OE V_RESET_OE(1U)
57058
57059#define S_DFI_PHYUD_CNTL 14
57060#define V_DFI_PHYUD_CNTL(x) ((x) << S_DFI_PHYUD_CNTL)
57061#define F_DFI_PHYUD_CNTL V_DFI_PHYUD_CNTL(1U)
57062
57063#define S_DFI_PHYUD_ACK 13
57064#define V_DFI_PHYUD_ACK(x) ((x) << S_DFI_PHYUD_ACK)
57065#define F_DFI_PHYUD_ACK V_DFI_PHYUD_ACK(1U)
57066
57067#define S_T6_DFI_INIT_START 12
57068#define V_T6_DFI_INIT_START(x) ((x) << S_T6_DFI_INIT_START)
57069#define F_T6_DFI_INIT_START V_T6_DFI_INIT_START(1U)
57070
57071#define S_PM_ENABLE 8
57072#define M_PM_ENABLE 0xfU
57073#define V_PM_ENABLE(x) ((x) << S_PM_ENABLE)
57074#define G_PM_ENABLE(x) (((x) >> S_PM_ENABLE) & M_PM_ENABLE)
57075
57076#define S_RD_DEFREF_CNT 4
57077#define M_RD_DEFREF_CNT 0xfU
57078#define V_RD_DEFREF_CNT(x) ((x) << S_RD_DEFREF_CNT)
57079#define G_RD_DEFREF_CNT(x) (((x) >> S_RD_DEFREF_CNT) & M_RD_DEFREF_CNT)
57080
57081#define A_MC_UPCTL_MSTAT 0x40088
57082
57083#define S_SELF_REFRESH 2
57084#define V_SELF_REFRESH(x) ((x) << S_SELF_REFRESH)
57085#define F_SELF_REFRESH V_SELF_REFRESH(1U)
57086
57087#define S_CLOCK_STOP 1
57088#define V_CLOCK_STOP(x) ((x) << S_CLOCK_STOP)
57089#define F_CLOCK_STOP V_CLOCK_STOP(1U)
57090
57091#define A_MC_UPCTL_LPDDR2ZQCFG 0x4008c
57092
57093#define S_ZQCL_OP 24
57094#define M_ZQCL_OP 0xffU
57095#define V_ZQCL_OP(x) ((x) << S_ZQCL_OP)
57096#define G_ZQCL_OP(x) (((x) >> S_ZQCL_OP) & M_ZQCL_OP)
57097
57098#define S_ZQCL_MA 16
57099#define M_ZQCL_MA 0xffU
57100#define V_ZQCL_MA(x) ((x) << S_ZQCL_MA)
57101#define G_ZQCL_MA(x) (((x) >> S_ZQCL_MA) & M_ZQCL_MA)
57102
57103#define S_ZQCS_OP 8
57104#define M_ZQCS_OP 0xffU
57105#define V_ZQCS_OP(x) ((x) << S_ZQCS_OP)
57106#define G_ZQCS_OP(x) (((x) >> S_ZQCS_OP) & M_ZQCS_OP)
57107
57108#define S_ZQCS_MA 0
57109#define M_ZQCS_MA 0xffU
57110#define V_ZQCS_MA(x) ((x) << S_ZQCS_MA)
57111#define G_ZQCS_MA(x) (((x) >> S_ZQCS_MA) & M_ZQCS_MA)
57112
57113#define A_MC_UPCTL_DTUPDES 0x40094
57114
57115#define S_DTU_ERR_B7 7
57116#define V_DTU_ERR_B7(x) ((x) << S_DTU_ERR_B7)
57117#define F_DTU_ERR_B7 V_DTU_ERR_B7(1U)
57118
57119#define A_MC_UPCTL_DTUNA 0x40098
57120#define A_MC_UPCTL_DTUNE 0x4009c
57121#define A_MC_UPCTL_DTUPRD0 0x400a0
57122#define A_MC_UPCTL_DTUPRD1 0x400a4
57123#define A_MC_UPCTL_DTUPRD2 0x400a8
57124#define A_MC_UPCTL_DTUPRD3 0x400ac
57125#define A_MC_UPCTL_DTUAWDT 0x400b0
57126#define A_MC_UPCTL_TOGCNT1U 0x400c0
57127#define A_MC_UPCTL_TINIT 0x400c4
57128#define A_MC_UPCTL_TRSTH 0x400c8
57129#define A_MC_UPCTL_TOGCNT100N 0x400cc
57130#define A_MC_UPCTL_TREFI 0x400d0
57131#define A_MC_UPCTL_TMRD 0x400d4
57132#define A_MC_UPCTL_TRFC 0x400d8
57133
57134#define S_T_RFC0 0
57135#define M_T_RFC0 0x1ffU
57136#define V_T_RFC0(x) ((x) << S_T_RFC0)
57137#define G_T_RFC0(x) (((x) >> S_T_RFC0) & M_T_RFC0)
57138
57139#define A_MC_UPCTL_TRP 0x400dc
57140
57141#define S_PREA_EXTRA 16
57142#define M_PREA_EXTRA 0x3U
57143#define V_PREA_EXTRA(x) ((x) << S_PREA_EXTRA)
57144#define G_PREA_EXTRA(x) (((x) >> S_PREA_EXTRA) & M_PREA_EXTRA)
57145
57146#define A_MC_UPCTL_TRTW 0x400e0
57147
57148#define S_T_RTW0 0
57149#define M_T_RTW0 0xfU
57150#define V_T_RTW0(x) ((x) << S_T_RTW0)
57151#define G_T_RTW0(x) (((x) >> S_T_RTW0) & M_T_RTW0)
57152
57153#define A_MC_UPCTL_TAL 0x400e4
57154#define A_MC_UPCTL_TCL 0x400e8
57155#define A_MC_UPCTL_TCWL 0x400ec
57156#define A_MC_UPCTL_TRAS 0x400f0
57157#define A_MC_UPCTL_TRC 0x400f4
57158#define A_MC_UPCTL_TRCD 0x400f8
57159#define A_MC_UPCTL_TRRD 0x400fc
57160#define A_MC_UPCTL_TRTP 0x40100
57161
57162#define S_T_RTP0 0
57163#define M_T_RTP0 0xfU
57164#define V_T_RTP0(x) ((x) << S_T_RTP0)
57165#define G_T_RTP0(x) (((x) >> S_T_RTP0) & M_T_RTP0)
57166
57167#define A_MC_LMC_CFGR0 0x40100
57168
57169#define S_ROW_WIDTH 12
57170#define M_ROW_WIDTH 0x7U
57171#define V_ROW_WIDTH(x) ((x) << S_ROW_WIDTH)
57172#define G_ROW_WIDTH(x) (((x) >> S_ROW_WIDTH) & M_ROW_WIDTH)
57173
57174#define S_ADDR_MODE 8
57175#define M_ADDR_MODE 0xfU
57176#define V_ADDR_MODE(x) ((x) << S_ADDR_MODE)
57177#define G_ADDR_MODE(x) (((x) >> S_ADDR_MODE) & M_ADDR_MODE)
57178
57179#define S_MIRROR 4
57180#define V_MIRROR(x) ((x) << S_MIRROR)
57181#define F_MIRROR V_MIRROR(1U)
57182
57183#define S_RANK_ENABLE 0
57184#define V_RANK_ENABLE(x) ((x) << S_RANK_ENABLE)
57185#define F_RANK_ENABLE V_RANK_ENABLE(1U)
57186
57187#define A_MC_UPCTL_TWR 0x40104
57188
57189#define S_U_T_WR 0
57190#define M_U_T_WR 0x1fU
57191#define V_U_T_WR(x) ((x) << S_U_T_WR)
57192#define G_U_T_WR(x) (((x) >> S_U_T_WR) & M_U_T_WR)
57193
57194#define A_MC_UPCTL_TWTR 0x40108
57195
57196#define S_T_WTR0 0
57197#define M_T_WTR0 0xfU
57198#define V_T_WTR0(x) ((x) << S_T_WTR0)
57199#define G_T_WTR0(x) (((x) >> S_T_WTR0) & M_T_WTR0)
57200
57201#define A_MC_UPCTL_TEXSR 0x4010c
57202#define A_MC_UPCTL_TXP 0x40110
57203#define A_MC_UPCTL_TXPDLL 0x40114
57204#define A_MC_UPCTL_TZQCS 0x40118
57205#define A_MC_UPCTL_TZQCSI 0x4011c
57206#define A_MC_UPCTL_TDQS 0x40120
57207#define A_MC_UPCTL_TCKSRE 0x40124
57208
57209#define S_T_CKSRE0 0
57210#define M_T_CKSRE0 0x1fU
57211#define V_T_CKSRE0(x) ((x) << S_T_CKSRE0)
57212#define G_T_CKSRE0(x) (((x) >> S_T_CKSRE0) & M_T_CKSRE0)
57213
57214#define A_MC_UPCTL_TCKSRX 0x40128
57215
57216#define S_T_CKSRX0 0
57217#define M_T_CKSRX0 0x1fU
57218#define V_T_CKSRX0(x) ((x) << S_T_CKSRX0)
57219#define G_T_CKSRX0(x) (((x) >> S_T_CKSRX0) & M_T_CKSRX0)
57220
57221#define A_MC_UPCTL_TCKE 0x4012c
57222#define A_MC_UPCTL_TMOD 0x40130
57223
57224#define S_T_MOD0 0
57225#define M_T_MOD0 0x1fU
57226#define V_T_MOD0(x) ((x) << S_T_MOD0)
57227#define G_T_MOD0(x) (((x) >> S_T_MOD0) & M_T_MOD0)
57228
57229#define A_MC_UPCTL_TRSTL 0x40134
57230
57231#define S_T_RSTL 0
57232#define M_T_RSTL 0x7fU
57233#define V_T_RSTL(x) ((x) << S_T_RSTL)
57234#define G_T_RSTL(x) (((x) >> S_T_RSTL) & M_T_RSTL)
57235
57236#define A_MC_UPCTL_TZQCL 0x40138
57237#define A_MC_UPCTL_TMRR 0x4013c
57238
57239#define S_T_MRR 0
57240#define M_T_MRR 0xffU
57241#define V_T_MRR(x) ((x) << S_T_MRR)
57242#define G_T_MRR(x) (((x) >> S_T_MRR) & M_T_MRR)
57243
57244#define A_MC_UPCTL_TCKESR 0x40140
57245
57246#define S_T_CKESR 0
57247#define M_T_CKESR 0xfU
57248#define V_T_CKESR(x) ((x) << S_T_CKESR)
57249#define G_T_CKESR(x) (((x) >> S_T_CKESR) & M_T_CKESR)
57250
57251#define A_MC_LMC_INITSEQ0 0x40140
57252
57253#define S_INIT_ENABLE 31
57254#define V_INIT_ENABLE(x) ((x) << S_INIT_ENABLE)
57255#define F_INIT_ENABLE V_INIT_ENABLE(1U)
57256
57257#define S_WAIT 16
57258#define M_WAIT 0xfffU
57259#define CXGBE_V_WAIT(x) ((x) << S_WAIT)
57260#define G_WAIT(x) (((x) >> S_WAIT) & M_WAIT)
57261
57262#define S_EN_MULTI_RANK_SEL 4
57263#define V_EN_MULTI_RANK_SEL(x) ((x) << S_EN_MULTI_RANK_SEL)
57264#define F_EN_MULTI_RANK_SEL V_EN_MULTI_RANK_SEL(1U)
57265
57266#define S_T6_RANK 0
57267#define M_T6_RANK 0xfU
57268#define V_T6_RANK(x) ((x) << S_T6_RANK)
57269#define G_T6_RANK(x) (((x) >> S_T6_RANK) & M_T6_RANK)
57270
57271#define A_MC_UPCTL_TDPD 0x40144
57272
57273#define S_T_DPD 0
57274#define M_T_DPD 0x3ffU
57275#define V_T_DPD(x) ((x) << S_T_DPD)
57276#define G_T_DPD(x) (((x) >> S_T_DPD) & M_T_DPD)
57277
57278#define A_MC_LMC_CMD0 0x40144
57279
57280#define S_CMD 29
57281#define M_CMD 0x7U
57282#define V_CMD(x) ((x) << S_CMD)
57283#define G_CMD(x) (((x) >> S_CMD) & M_CMD)
57284
57285#define S_CMD_ACTN 28
57286#define V_CMD_ACTN(x) ((x) << S_CMD_ACTN)
57287#define F_CMD_ACTN V_CMD_ACTN(1U)
57288
57289#define S_BG1 23
57290#define V_BG1(x) ((x) << S_BG1)
57291#define F_BG1 V_BG1(1U)
57292
57293#define S_BANK 20
57294#define M_BANK 0x7U
57295#define V_BANK(x) ((x) << S_BANK)
57296#define G_BANK(x) (((x) >> S_BANK) & M_BANK)
57297
57298#define A_MC_LMC_INITSEQ1 0x40148
57299
57300#define S_T6_RANK 0
57301#define M_T6_RANK 0xfU
57302#define V_T6_RANK(x) ((x) << S_T6_RANK)
57303#define G_T6_RANK(x) (((x) >> S_T6_RANK) & M_T6_RANK)
57304
57305#define A_MC_LMC_CMD1 0x4014c
57306#define A_MC_LMC_INITSEQ2 0x40150
57307
57308#define S_T6_RANK 0
57309#define M_T6_RANK 0xfU
57310#define V_T6_RANK(x) ((x) << S_T6_RANK)
57311#define G_T6_RANK(x) (((x) >> S_T6_RANK) & M_T6_RANK)
57312
57313#define A_MC_LMC_CMD2 0x40154
57314#define A_MC_LMC_INITSEQ3 0x40158
57315
57316#define S_T6_RANK 0
57317#define M_T6_RANK 0xfU
57318#define V_T6_RANK(x) ((x) << S_T6_RANK)
57319#define G_T6_RANK(x) (((x) >> S_T6_RANK) & M_T6_RANK)
57320
57321#define A_MC_LMC_CMD3 0x4015c
57322#define A_MC_LMC_INITSEQ4 0x40160
57323
57324#define S_T6_RANK 0
57325#define M_T6_RANK 0xfU
57326#define V_T6_RANK(x) ((x) << S_T6_RANK)
57327#define G_T6_RANK(x) (((x) >> S_T6_RANK) & M_T6_RANK)
57328
57329#define A_MC_LMC_CMD4 0x40164
57330#define A_MC_LMC_INITSEQ5 0x40168
57331
57332#define S_T6_RANK 0
57333#define M_T6_RANK 0xfU
57334#define V_T6_RANK(x) ((x) << S_T6_RANK)
57335#define G_T6_RANK(x) (((x) >> S_T6_RANK) & M_T6_RANK)
57336
57337#define A_MC_LMC_CMD5 0x4016c
57338#define A_MC_LMC_INITSEQ6 0x40170
57339
57340#define S_T6_RANK 0
57341#define M_T6_RANK 0xfU
57342#define V_T6_RANK(x) ((x) << S_T6_RANK)
57343#define G_T6_RANK(x) (((x) >> S_T6_RANK) & M_T6_RANK)
57344
57345#define A_MC_LMC_CMD6 0x40174
57346#define A_MC_LMC_INITSEQ7 0x40178
57347
57348#define S_T6_RANK 0
57349#define M_T6_RANK 0xfU
57350#define V_T6_RANK(x) ((x) << S_T6_RANK)
57351#define G_T6_RANK(x) (((x) >> S_T6_RANK) & M_T6_RANK)
57352
57353#define A_MC_LMC_CMD7 0x4017c
57354#define A_MC_UPCTL_ECCCFG 0x40180
57355#define A_MC_LMC_INITSEQ8 0x40180
57356
57357#define S_T6_RANK 0
57358#define M_T6_RANK 0xfU
57359#define V_T6_RANK(x) ((x) << S_T6_RANK)
57360#define G_T6_RANK(x) (((x) >> S_T6_RANK) & M_T6_RANK)
57361
57362#define A_MC_UPCTL_ECCTST 0x40184
57363
57364#define S_ECC_TEST_MASK0 0
57365#define M_ECC_TEST_MASK0 0x7fU
57366#define V_ECC_TEST_MASK0(x) ((x) << S_ECC_TEST_MASK0)
57367#define G_ECC_TEST_MASK0(x) (((x) >> S_ECC_TEST_MASK0) & M_ECC_TEST_MASK0)
57368
57369#define A_MC_LMC_CMD8 0x40184
57370#define A_MC_UPCTL_ECCCLR 0x40188
57371#define A_MC_LMC_INITSEQ9 0x40188
57372
57373#define S_T6_RANK 0
57374#define M_T6_RANK 0xfU
57375#define V_T6_RANK(x) ((x) << S_T6_RANK)
57376#define G_T6_RANK(x) (((x) >> S_T6_RANK) & M_T6_RANK)
57377
57378#define A_MC_UPCTL_ECCLOG 0x4018c
57379#define A_MC_LMC_CMD9 0x4018c
57380#define A_MC_LMC_INITSEQ10 0x40190
57381
57382#define S_T6_RANK 0
57383#define M_T6_RANK 0xfU
57384#define V_T6_RANK(x) ((x) << S_T6_RANK)
57385#define G_T6_RANK(x) (((x) >> S_T6_RANK) & M_T6_RANK)
57386
57387#define A_MC_LMC_CMD10 0x40194
57388#define A_MC_LMC_INITSEQ11 0x40198
57389
57390#define S_T6_RANK 0
57391#define M_T6_RANK 0xfU
57392#define V_T6_RANK(x) ((x) << S_T6_RANK)
57393#define G_T6_RANK(x) (((x) >> S_T6_RANK) & M_T6_RANK)
57394
57395#define A_MC_LMC_CMD11 0x4019c
57396#define A_MC_LMC_INITSEQ12 0x401a0
57397
57398#define S_T6_RANK 0
57399#define M_T6_RANK 0xfU
57400#define V_T6_RANK(x) ((x) << S_T6_RANK)
57401#define G_T6_RANK(x) (((x) >> S_T6_RANK) & M_T6_RANK)
57402
57403#define A_MC_LMC_CMD12 0x401a4
57404#define A_MC_LMC_INITSEQ13 0x401a8
57405
57406#define S_T6_RANK 0
57407#define M_T6_RANK 0xfU
57408#define V_T6_RANK(x) ((x) << S_T6_RANK)
57409#define G_T6_RANK(x) (((x) >> S_T6_RANK) & M_T6_RANK)
57410
57411#define A_MC_LMC_CMD13 0x401ac
57412#define A_MC_LMC_INITSEQ14 0x401b0
57413
57414#define S_T6_RANK 0
57415#define M_T6_RANK 0xfU
57416#define V_T6_RANK(x) ((x) << S_T6_RANK)
57417#define G_T6_RANK(x) (((x) >> S_T6_RANK) & M_T6_RANK)
57418
57419#define A_MC_LMC_CMD14 0x401b4
57420#define A_MC_LMC_INITSEQ15 0x401b8
57421
57422#define S_T6_RANK 0
57423#define M_T6_RANK 0xfU
57424#define V_T6_RANK(x) ((x) << S_T6_RANK)
57425#define G_T6_RANK(x) (((x) >> S_T6_RANK) & M_T6_RANK)
57426
57427#define A_MC_LMC_CMD15 0x401bc
57428#define A_MC_UPCTL_DTUWACTL 0x40200
57429
57430#define S_DTU_WR_ROW0 13
57431#define M_DTU_WR_ROW0 0xffffU
57432#define V_DTU_WR_ROW0(x) ((x) << S_DTU_WR_ROW0)
57433#define G_DTU_WR_ROW0(x) (((x) >> S_DTU_WR_ROW0) & M_DTU_WR_ROW0)
57434
57435#define A_MC_LMC_SDTR0 0x40200
57436
57437#define S_REFI 16
57438#define M_REFI 0xffffU
57439#define V_REFI(x) ((x) << S_REFI)
57440#define G_REFI(x) (((x) >> S_REFI) & M_REFI)
57441
57442#define S_T_RFC_XPR 0
57443#define M_T_RFC_XPR 0xfffU
57444#define V_T_RFC_XPR(x) ((x) << S_T_RFC_XPR)
57445#define G_T_RFC_XPR(x) (((x) >> S_T_RFC_XPR) & M_T_RFC_XPR)
57446
57447#define A_MC_UPCTL_DTURACTL 0x40204
57448
57449#define S_DTU_RD_ROW0 13
57450#define M_DTU_RD_ROW0 0xffffU
57451#define V_DTU_RD_ROW0(x) ((x) << S_DTU_RD_ROW0)
57452#define G_DTU_RD_ROW0(x) (((x) >> S_DTU_RD_ROW0) & M_DTU_RD_ROW0)
57453
57454#define A_MC_LMC_SDTR1 0x40204
57455
57456#define S_T_LEADOFF 31
57457#define V_T_LEADOFF(x) ((x) << S_T_LEADOFF)
57458#define F_T_LEADOFF V_T_LEADOFF(1U)
57459
57460#define S_ODT_DELAY 30
57461#define V_ODT_DELAY(x) ((x) << S_ODT_DELAY)
57462#define F_ODT_DELAY V_ODT_DELAY(1U)
57463
57464#define S_ODT_WIDTH 29
57465#define V_ODT_WIDTH(x) ((x) << S_ODT_WIDTH)
57466#define F_ODT_WIDTH V_ODT_WIDTH(1U)
57467
57468#define S_T_WTRO 24
57469#define M_T_WTRO 0xfU
57470#define V_T_WTRO(x) ((x) << S_T_WTRO)
57471#define G_T_WTRO(x) (((x) >> S_T_WTRO) & M_T_WTRO)
57472
57473#define S_T_RTWO 16
57474#define M_T_RTWO 0xfU
57475#define V_T_RTWO(x) ((x) << S_T_RTWO)
57476#define G_T_RTWO(x) (((x) >> S_T_RTWO) & M_T_RTWO)
57477
57478#define S_T_RTW_ADJ 12
57479#define M_T_RTW_ADJ 0xfU
57480#define V_T_RTW_ADJ(x) ((x) << S_T_RTW_ADJ)
57481#define G_T_RTW_ADJ(x) (((x) >> S_T_RTW_ADJ) & M_T_RTW_ADJ)
57482
57483#define S_T_WTWO 8
57484#define M_T_WTWO 0xfU
57485#define V_T_WTWO(x) ((x) << S_T_WTWO)
57486#define G_T_WTWO(x) (((x) >> S_T_WTWO) & M_T_WTWO)
57487
57488#define S_T_RTRO 0
57489#define M_T_RTRO 0xfU
57490#define V_T_RTRO(x) ((x) << S_T_RTRO)
57491#define G_T_RTRO(x) (((x) >> S_T_RTRO) & M_T_RTRO)
57492
57493#define A_MC_UPCTL_DTUCFG 0x40208
57494#define A_MC_LMC_SDTR2 0x40208
57495
57496#define S_T6_T_CWL 28
57497#define M_T6_T_CWL 0xfU
57498#define V_T6_T_CWL(x) ((x) << S_T6_T_CWL)
57499#define G_T6_T_CWL(x) (((x) >> S_T6_T_CWL) & M_T6_T_CWL)
57500
57501#define S_T_RCD0 24
57502#define M_T_RCD0 0xfU
57503#define V_T_RCD0(x) ((x) << S_T_RCD0)
57504#define G_T_RCD0(x) (((x) >> S_T_RCD0) & M_T_RCD0)
57505
57506#define S_T_PL 20
57507#define M_T_PL 0xfU
57508#define V_T_PL(x) ((x) << S_T_PL)
57509#define G_T_PL(x) (((x) >> S_T_PL) & M_T_PL)
57510
57511#define S_T_RP0 16
57512#define M_T_RP0 0xfU
57513#define V_T_RP0(x) ((x) << S_T_RP0)
57514#define G_T_RP0(x) (((x) >> S_T_RP0) & M_T_RP0)
57515
57516#define S_T_RP1 15
57517#define V_T_RP1(x) ((x) << S_T_RP1)
57518#define F_T_RP1 V_T_RP1(1U)
57519
57520#define S_T_RCD1 14
57521#define V_T_RCD1(x) ((x) << S_T_RCD1)
57522#define F_T_RCD1 V_T_RCD1(1U)
57523
57524#define S_T6_T_RC 8
57525#define M_T6_T_RC 0x3fU
57526#define V_T6_T_RC(x) ((x) << S_T6_T_RC)
57527#define G_T6_T_RC(x) (((x) >> S_T6_T_RC) & M_T6_T_RC)
57528
57529#define A_MC_UPCTL_DTUECTL 0x4020c
57530#define A_MC_LMC_SDTR3 0x4020c
57531
57532#define S_T_WTR_S 28
57533#define M_T_WTR_S 0xfU
57534#define V_T_WTR_S(x) ((x) << S_T_WTR_S)
57535#define G_T_WTR_S(x) (((x) >> S_T_WTR_S) & M_T_WTR_S)
57536
57537#define S_T6_T_WTR 24
57538#define M_T6_T_WTR 0xfU
57539#define V_T6_T_WTR(x) ((x) << S_T6_T_WTR)
57540#define G_T6_T_WTR(x) (((x) >> S_T6_T_WTR) & M_T6_T_WTR)
57541
57542#define S_FAW_ADJ 20
57543#define M_FAW_ADJ 0x3U
57544#define V_FAW_ADJ(x) ((x) << S_FAW_ADJ)
57545#define G_FAW_ADJ(x) (((x) >> S_FAW_ADJ) & M_FAW_ADJ)
57546
57547#define S_T6_T_RTP 16
57548#define M_T6_T_RTP 0xfU
57549#define V_T6_T_RTP(x) ((x) << S_T6_T_RTP)
57550#define G_T6_T_RTP(x) (((x) >> S_T6_T_RTP) & M_T6_T_RTP)
57551
57552#define S_T_RRD_L 12
57553#define M_T_RRD_L 0xfU
57554#define V_T_RRD_L(x) ((x) << S_T_RRD_L)
57555#define G_T_RRD_L(x) (((x) >> S_T_RRD_L) & M_T_RRD_L)
57556
57557#define S_T6_T_RRD 8
57558#define M_T6_T_RRD 0xfU
57559#define V_T6_T_RRD(x) ((x) << S_T6_T_RRD)
57560#define G_T6_T_RRD(x) (((x) >> S_T6_T_RRD) & M_T6_T_RRD)
57561
57562#define S_T_XSDLL 0
57563#define M_T_XSDLL 0xffU
57564#define V_T_XSDLL(x) ((x) << S_T_XSDLL)
57565#define G_T_XSDLL(x) (((x) >> S_T_XSDLL) & M_T_XSDLL)
57566
57567#define A_MC_UPCTL_DTUWD0 0x40210
57568#define A_MC_LMC_SDTR4 0x40210
57569
57570#define S_T_RDDATA_EN 24
57571#define M_T_RDDATA_EN 0x7fU
57572#define V_T_RDDATA_EN(x) ((x) << S_T_RDDATA_EN)
57573#define G_T_RDDATA_EN(x) (((x) >> S_T_RDDATA_EN) & M_T_RDDATA_EN)
57574
57575#define S_T_SYS_RDLAT 16
57576#define M_T_SYS_RDLAT 0x3fU
57577#define V_T_SYS_RDLAT(x) ((x) << S_T_SYS_RDLAT)
57578#define G_T_SYS_RDLAT(x) (((x) >> S_T_SYS_RDLAT) & M_T_SYS_RDLAT)
57579
57580#define S_T_CCD_L 12
57581#define M_T_CCD_L 0xfU
57582#define V_T_CCD_L(x) ((x) << S_T_CCD_L)
57583#define G_T_CCD_L(x) (((x) >> S_T_CCD_L) & M_T_CCD_L)
57584
57585#define S_T_CCD 8
57586#define M_T_CCD 0x7U
57587#define V_T_CCD(x) ((x) << S_T_CCD)
57588#define G_T_CCD(x) (((x) >> S_T_CCD) & M_T_CCD)
57589
57590#define S_T_CPDED 5
57591#define M_T_CPDED 0x7U
57592#define V_T_CPDED(x) ((x) << S_T_CPDED)
57593#define G_T_CPDED(x) (((x) >> S_T_CPDED) & M_T_CPDED)
57594
57595#define S_T6_T_MOD 0
57596#define M_T6_T_MOD 0x1fU
57597#define V_T6_T_MOD(x) ((x) << S_T6_T_MOD)
57598#define G_T6_T_MOD(x) (((x) >> S_T6_T_MOD) & M_T6_T_MOD)
57599
57600#define A_MC_UPCTL_DTUWD1 0x40214
57601#define A_MC_LMC_SDTR5 0x40214
57602
57603#define S_T_PHY_WRDATA 24
57604#define M_T_PHY_WRDATA 0x7U
57605#define V_T_PHY_WRDATA(x) ((x) << S_T_PHY_WRDATA)
57606#define G_T_PHY_WRDATA(x) (((x) >> S_T_PHY_WRDATA) & M_T_PHY_WRDATA)
57607
57608#define S_T_PHY_WRLAT 16
57609#define M_T_PHY_WRLAT 0x1fU
57610#define V_T_PHY_WRLAT(x) ((x) << S_T_PHY_WRLAT)
57611#define G_T_PHY_WRLAT(x) (((x) >> S_T_PHY_WRLAT) & M_T_PHY_WRLAT)
57612
57613#define A_MC_UPCTL_DTUWD2 0x40218
57614#define A_MC_UPCTL_DTUWD3 0x4021c
57615#define A_MC_UPCTL_DTUWDM 0x40220
57616#define A_MC_UPCTL_DTURD0 0x40224
57617#define A_MC_UPCTL_DTURD1 0x40228
57618#define A_MC_LMC_DBG0 0x40228
57619
57620#define S_T_SYS_RDLAT_DBG 16
57621#define M_T_SYS_RDLAT_DBG 0x1fU
57622#define V_T_SYS_RDLAT_DBG(x) ((x) << S_T_SYS_RDLAT_DBG)
57623#define G_T_SYS_RDLAT_DBG(x) (((x) >> S_T_SYS_RDLAT_DBG) & M_T_SYS_RDLAT_DBG)
57624
57625#define A_MC_UPCTL_DTURD2 0x4022c
57626#define A_MC_UPCTL_DTURD3 0x40230
57627#define A_MC_UPCTL_DTULFSRWD 0x40234
57628#define A_MC_UPCTL_DTULFSRRD 0x40238
57629#define A_MC_UPCTL_DTUEAF 0x4023c
57630
57631#define S_EA_ROW0 13
57632#define M_EA_ROW0 0xffffU
57633#define V_EA_ROW0(x) ((x) << S_EA_ROW0)
57634#define G_EA_ROW0(x) (((x) >> S_EA_ROW0) & M_EA_ROW0)
57635
57636#define A_MC_UPCTL_DFITCTRLDELAY 0x40240
57637
57638#define S_TCTRL_DELAY 0
57639#define M_TCTRL_DELAY 0xfU
57640#define V_TCTRL_DELAY(x) ((x) << S_TCTRL_DELAY)
57641#define G_TCTRL_DELAY(x) (((x) >> S_TCTRL_DELAY) & M_TCTRL_DELAY)
57642
57643#define A_MC_LMC_SMR0 0x40240
57644
57645#define S_SMR0_RFU0 13
57646#define M_SMR0_RFU0 0x7U
57647#define V_SMR0_RFU0(x) ((x) << S_SMR0_RFU0)
57648#define G_SMR0_RFU0(x) (((x) >> S_SMR0_RFU0) & M_SMR0_RFU0)
57649
57650#define S_PPD 12
57651#define V_PPD(x) ((x) << S_PPD)
57652#define F_PPD V_PPD(1U)
57653
57654#define S_WR_RTP 9
57655#define M_WR_RTP 0x7U
57656#define V_WR_RTP(x) ((x) << S_WR_RTP)
57657#define G_WR_RTP(x) (((x) >> S_WR_RTP) & M_WR_RTP)
57658
57659#define S_SMR0_DLL 8
57660#define V_SMR0_DLL(x) ((x) << S_SMR0_DLL)
57661#define F_SMR0_DLL V_SMR0_DLL(1U)
57662
57663#define S_TM 7
57664#define V_TM(x) ((x) << S_TM)
57665#define F_TM V_TM(1U)
57666
57667#define S_CL31 4
57668#define M_CL31 0x7U
57669#define V_CL31(x) ((x) << S_CL31)
57670#define G_CL31(x) (((x) >> S_CL31) & M_CL31)
57671
57672#define S_RBT 3
57673#define V_RBT(x) ((x) << S_RBT)
57674#define F_RBT V_RBT(1U)
57675
57676#define S_CL0 2
57677#define V_CL0(x) ((x) << S_CL0)
57678#define F_CL0 V_CL0(1U)
57679
57680#define S_BL 0
57681#define M_BL 0x3U
57682#define V_BL(x) ((x) << S_BL)
57683#define G_BL(x) (((x) >> S_BL) & M_BL)
57684
57685#define A_MC_UPCTL_DFIODTCFG 0x40244
57686
57687#define S_RANK3_ODT_WRITE_NSEL 26
57688#define V_RANK3_ODT_WRITE_NSEL(x) ((x) << S_RANK3_ODT_WRITE_NSEL)
57689#define F_RANK3_ODT_WRITE_NSEL V_RANK3_ODT_WRITE_NSEL(1U)
57690
57691#define A_MC_LMC_SMR1 0x40244
57692
57693#define S_QOFF 12
57694#define V_QOFF(x) ((x) << S_QOFF)
57695#define F_QOFF V_QOFF(1U)
57696
57697#define S_TDQS 11
57698#define V_TDQS(x) ((x) << S_TDQS)
57699#define F_TDQS V_TDQS(1U)
57700
57701#define S_SMR1_RFU0 10
57702#define V_SMR1_RFU0(x) ((x) << S_SMR1_RFU0)
57703#define F_SMR1_RFU0 V_SMR1_RFU0(1U)
57704
57705#define S_RTT_NOM0 9
57706#define V_RTT_NOM0(x) ((x) << S_RTT_NOM0)
57707#define F_RTT_NOM0 V_RTT_NOM0(1U)
57708
57709#define S_SMR1_RFU1 8
57710#define V_SMR1_RFU1(x) ((x) << S_SMR1_RFU1)
57711#define F_SMR1_RFU1 V_SMR1_RFU1(1U)
57712
57713#define S_WR_LEVEL 7
57714#define V_WR_LEVEL(x) ((x) << S_WR_LEVEL)
57715#define F_WR_LEVEL V_WR_LEVEL(1U)
57716
57717#define S_RTT_NOM1 6
57718#define V_RTT_NOM1(x) ((x) << S_RTT_NOM1)
57719#define F_RTT_NOM1 V_RTT_NOM1(1U)
57720
57721#define S_DIC0 5
57722#define V_DIC0(x) ((x) << S_DIC0)
57723#define F_DIC0 V_DIC0(1U)
57724
57725#define S_AL 3
57726#define M_AL 0x3U
57727#define V_AL(x) ((x) << S_AL)
57728#define G_AL(x) (((x) >> S_AL) & M_AL)
57729
57730#define S_RTT_NOM2 2
57731#define V_RTT_NOM2(x) ((x) << S_RTT_NOM2)
57732#define F_RTT_NOM2 V_RTT_NOM2(1U)
57733
57734#define S_DIC1 1
57735#define V_DIC1(x) ((x) << S_DIC1)
57736#define F_DIC1 V_DIC1(1U)
57737
57738#define S_SMR1_DLL 0
57739#define V_SMR1_DLL(x) ((x) << S_SMR1_DLL)
57740#define F_SMR1_DLL V_SMR1_DLL(1U)
57741
57742#define A_MC_UPCTL_DFIODTCFG1 0x40248
57743
57744#define S_ODT_LEN_B8_R 24
57745#define M_ODT_LEN_B8_R 0x7U
57746#define V_ODT_LEN_B8_R(x) ((x) << S_ODT_LEN_B8_R)
57747#define G_ODT_LEN_B8_R(x) (((x) >> S_ODT_LEN_B8_R) & M_ODT_LEN_B8_R)
57748
57749#define S_ODT_LEN_BL8_W 16
57750#define M_ODT_LEN_BL8_W 0x7U
57751#define V_ODT_LEN_BL8_W(x) ((x) << S_ODT_LEN_BL8_W)
57752#define G_ODT_LEN_BL8_W(x) (((x) >> S_ODT_LEN_BL8_W) & M_ODT_LEN_BL8_W)
57753
57754#define S_ODT_LAT_R 8
57755#define M_ODT_LAT_R 0x1fU
57756#define V_ODT_LAT_R(x) ((x) << S_ODT_LAT_R)
57757#define G_ODT_LAT_R(x) (((x) >> S_ODT_LAT_R) & M_ODT_LAT_R)
57758
57759#define S_ODT_LAT_W 0
57760#define M_ODT_LAT_W 0x1fU
57761#define V_ODT_LAT_W(x) ((x) << S_ODT_LAT_W)
57762#define G_ODT_LAT_W(x) (((x) >> S_ODT_LAT_W) & M_ODT_LAT_W)
57763
57764#define A_MC_LMC_SMR2 0x40248
57765
57766#define S_WR_CRC 12
57767#define V_WR_CRC(x) ((x) << S_WR_CRC)
57768#define F_WR_CRC V_WR_CRC(1U)
57769
57770#define S_RD_CRC 11
57771#define V_RD_CRC(x) ((x) << S_RD_CRC)
57772#define F_RD_CRC V_RD_CRC(1U)
57773
57774#define S_RTT_WR 9
57775#define M_RTT_WR 0x3U
57776#define V_RTT_WR(x) ((x) << S_RTT_WR)
57777#define G_RTT_WR(x) (((x) >> S_RTT_WR) & M_RTT_WR)
57778
57779#define S_SMR2_RFU0 8
57780#define V_SMR2_RFU0(x) ((x) << S_SMR2_RFU0)
57781#define F_SMR2_RFU0 V_SMR2_RFU0(1U)
57782
57783#define S_SRT_ASR1 7
57784#define V_SRT_ASR1(x) ((x) << S_SRT_ASR1)
57785#define F_SRT_ASR1 V_SRT_ASR1(1U)
57786
57787#define S_ASR0 6
57788#define V_ASR0(x) ((x) << S_ASR0)
57789#define F_ASR0 V_ASR0(1U)
57790
57791#define S_CWL 3
57792#define M_CWL 0x7U
57793#define V_CWL(x) ((x) << S_CWL)
57794#define G_CWL(x) (((x) >> S_CWL) & M_CWL)
57795
57796#define S_PASR 0
57797#define M_PASR 0x7U
57798#define V_PASR(x) ((x) << S_PASR)
57799#define G_PASR(x) (((x) >> S_PASR) & M_PASR)
57800
57801#define A_MC_UPCTL_DFIODTRANKMAP 0x4024c
57802
57803#define S_ODT_RANK_MAP3 12
57804#define M_ODT_RANK_MAP3 0xfU
57805#define V_ODT_RANK_MAP3(x) ((x) << S_ODT_RANK_MAP3)
57806#define G_ODT_RANK_MAP3(x) (((x) >> S_ODT_RANK_MAP3) & M_ODT_RANK_MAP3)
57807
57808#define S_ODT_RANK_MAP2 8
57809#define M_ODT_RANK_MAP2 0xfU
57810#define V_ODT_RANK_MAP2(x) ((x) << S_ODT_RANK_MAP2)
57811#define G_ODT_RANK_MAP2(x) (((x) >> S_ODT_RANK_MAP2) & M_ODT_RANK_MAP2)
57812
57813#define S_ODT_RANK_MAP1 4
57814#define M_ODT_RANK_MAP1 0xfU
57815#define V_ODT_RANK_MAP1(x) ((x) << S_ODT_RANK_MAP1)
57816#define G_ODT_RANK_MAP1(x) (((x) >> S_ODT_RANK_MAP1) & M_ODT_RANK_MAP1)
57817
57818#define S_ODT_RANK_MAP0 0
57819#define M_ODT_RANK_MAP0 0xfU
57820#define V_ODT_RANK_MAP0(x) ((x) << S_ODT_RANK_MAP0)
57821#define G_ODT_RANK_MAP0(x) (((x) >> S_ODT_RANK_MAP0) & M_ODT_RANK_MAP0)
57822
57823#define A_MC_LMC_SMR3 0x4024c
57824
57825#define S_MPR_RD_FMT 11
57826#define M_MPR_RD_FMT 0x3U
57827#define V_MPR_RD_FMT(x) ((x) << S_MPR_RD_FMT)
57828#define G_MPR_RD_FMT(x) (((x) >> S_MPR_RD_FMT) & M_MPR_RD_FMT)
57829
57830#define S_SMR3_RFU0 9
57831#define M_SMR3_RFU0 0x3U
57832#define V_SMR3_RFU0(x) ((x) << S_SMR3_RFU0)
57833#define G_SMR3_RFU0(x) (((x) >> S_SMR3_RFU0) & M_SMR3_RFU0)
57834
57835#define S_FGR_MODE 6
57836#define M_FGR_MODE 0x7U
57837#define V_FGR_MODE(x) ((x) << S_FGR_MODE)
57838#define G_FGR_MODE(x) (((x) >> S_FGR_MODE) & M_FGR_MODE)
57839
57840#define S_MRS_RDO 5
57841#define V_MRS_RDO(x) ((x) << S_MRS_RDO)
57842#define F_MRS_RDO V_MRS_RDO(1U)
57843
57844#define S_DRAM_ADR 4
57845#define V_DRAM_ADR(x) ((x) << S_DRAM_ADR)
57846#define F_DRAM_ADR V_DRAM_ADR(1U)
57847
57848#define S_GD_MODE 3
57849#define V_GD_MODE(x) ((x) << S_GD_MODE)
57850#define F_GD_MODE V_GD_MODE(1U)
57851
57852#define S_MPR 2
57853#define V_MPR(x) ((x) << S_MPR)
57854#define F_MPR V_MPR(1U)
57855
57856#define S_MPR_SEL 0
57857#define M_MPR_SEL 0x3U
57858#define V_MPR_SEL(x) ((x) << S_MPR_SEL)
57859#define G_MPR_SEL(x) (((x) >> S_MPR_SEL) & M_MPR_SEL)
57860
57861#define A_MC_UPCTL_DFITPHYWRDATA 0x40250
57862
57863#define S_TPHY_WRDATA 0
57864#define M_TPHY_WRDATA 0x1fU
57865#define V_TPHY_WRDATA(x) ((x) << S_TPHY_WRDATA)
57866#define G_TPHY_WRDATA(x) (((x) >> S_TPHY_WRDATA) & M_TPHY_WRDATA)
57867
57868#define A_MC_LMC_SMR4 0x40250
57869
57870#define S_WR_PRE 12
57871#define V_WR_PRE(x) ((x) << S_WR_PRE)
57872#define F_WR_PRE V_WR_PRE(1U)
57873
57874#define S_RD_PRE 11
57875#define V_RD_PRE(x) ((x) << S_RD_PRE)
57876#define F_RD_PRE V_RD_PRE(1U)
57877
57878#define S_RPT_MODE 10
57879#define V_RPT_MODE(x) ((x) << S_RPT_MODE)
57880#define F_RPT_MODE V_RPT_MODE(1U)
57881
57882#define S_FESR_MODE 9
57883#define V_FESR_MODE(x) ((x) << S_FESR_MODE)
57884#define F_FESR_MODE V_FESR_MODE(1U)
57885
57886#define S_CS_LAT_MODE 6
57887#define M_CS_LAT_MODE 0x7U
57888#define V_CS_LAT_MODE(x) ((x) << S_CS_LAT_MODE)
57889#define G_CS_LAT_MODE(x) (((x) >> S_CS_LAT_MODE) & M_CS_LAT_MODE)
57890
57891#define S_ALERT_STAT 5
57892#define V_ALERT_STAT(x) ((x) << S_ALERT_STAT)
57893#define F_ALERT_STAT V_ALERT_STAT(1U)
57894
57895#define S_IVM_MODE 4
57896#define V_IVM_MODE(x) ((x) << S_IVM_MODE)
57897#define F_IVM_MODE V_IVM_MODE(1U)
57898
57899#define S_TCR_MODE 3
57900#define V_TCR_MODE(x) ((x) << S_TCR_MODE)
57901#define F_TCR_MODE V_TCR_MODE(1U)
57902
57903#define S_TCR_RANGE 2
57904#define V_TCR_RANGE(x) ((x) << S_TCR_RANGE)
57905#define F_TCR_RANGE V_TCR_RANGE(1U)
57906
57907#define S_MPD_MODE 1
57908#define V_MPD_MODE(x) ((x) << S_MPD_MODE)
57909#define F_MPD_MODE V_MPD_MODE(1U)
57910
57911#define S_SMR4_RFU 0
57912#define V_SMR4_RFU(x) ((x) << S_SMR4_RFU)
57913#define F_SMR4_RFU V_SMR4_RFU(1U)
57914
57915#define A_MC_UPCTL_DFITPHYWRLAT 0x40254
57916
57917#define S_TPHY_WRLAT 0
57918#define M_TPHY_WRLAT 0x1fU
57919#define V_TPHY_WRLAT(x) ((x) << S_TPHY_WRLAT)
57920#define G_TPHY_WRLAT(x) (((x) >> S_TPHY_WRLAT) & M_TPHY_WRLAT)
57921
57922#define A_MC_LMC_SMR5 0x40254
57923
57924#define S_RD_DBI 11
57925#define V_RD_DBI(x) ((x) << S_RD_DBI)
57926#define F_RD_DBI V_RD_DBI(1U)
57927
57928#define S_WR_DBI 10
57929#define V_WR_DBI(x) ((x) << S_WR_DBI)
57930#define F_WR_DBI V_WR_DBI(1U)
57931
57932#define S_DM_MODE 9
57933#define V_DM_MODE(x) ((x) << S_DM_MODE)
57934#define F_DM_MODE V_DM_MODE(1U)
57935
57936#define S_RTT_PARK 6
57937#define M_RTT_PARK 0x7U
57938#define V_RTT_PARK(x) ((x) << S_RTT_PARK)
57939#define G_RTT_PARK(x) (((x) >> S_RTT_PARK) & M_RTT_PARK)
57940
57941#define S_SMR5_RFU 5
57942#define V_SMR5_RFU(x) ((x) << S_SMR5_RFU)
57943#define F_SMR5_RFU V_SMR5_RFU(1U)
57944
57945#define S_PAR_ERR_STAT 4
57946#define V_PAR_ERR_STAT(x) ((x) << S_PAR_ERR_STAT)
57947#define F_PAR_ERR_STAT V_PAR_ERR_STAT(1U)
57948
57949#define S_CRC_CLEAR 3
57950#define V_CRC_CLEAR(x) ((x) << S_CRC_CLEAR)
57951#define F_CRC_CLEAR V_CRC_CLEAR(1U)
57952
57953#define S_PAR_LAT_MODE 0
57954#define M_PAR_LAT_MODE 0x7U
57955#define V_PAR_LAT_MODE(x) ((x) << S_PAR_LAT_MODE)
57956#define G_PAR_LAT_MODE(x) (((x) >> S_PAR_LAT_MODE) & M_PAR_LAT_MODE)
57957
57958#define A_MC_LMC_SMR6 0x40258
57959
57960#define S_TCCD_L 10
57961#define M_TCCD_L 0x7U
57962#define V_TCCD_L(x) ((x) << S_TCCD_L)
57963#define G_TCCD_L(x) (((x) >> S_TCCD_L) & M_TCCD_L)
57964
57965#define S_SRM6_RFU 7
57966#define M_SRM6_RFU 0x7U
57967#define V_SRM6_RFU(x) ((x) << S_SRM6_RFU)
57968#define G_SRM6_RFU(x) (((x) >> S_SRM6_RFU) & M_SRM6_RFU)
57969
57970#define S_VREF_DQ_RANGE 6
57971#define V_VREF_DQ_RANGE(x) ((x) << S_VREF_DQ_RANGE)
57972#define F_VREF_DQ_RANGE V_VREF_DQ_RANGE(1U)
57973
57974#define S_VREF_DQ_VALUE 0
57975#define M_VREF_DQ_VALUE 0x3fU
57976#define V_VREF_DQ_VALUE(x) ((x) << S_VREF_DQ_VALUE)
57977#define G_VREF_DQ_VALUE(x) (((x) >> S_VREF_DQ_VALUE) & M_VREF_DQ_VALUE)
57978
57979#define A_MC_UPCTL_DFITRDDATAEN 0x40260
57980
57981#define S_TRDDATA_EN 0
57982#define M_TRDDATA_EN 0x1fU
57983#define V_TRDDATA_EN(x) ((x) << S_TRDDATA_EN)
57984#define G_TRDDATA_EN(x) (((x) >> S_TRDDATA_EN) & M_TRDDATA_EN)
57985
57986#define A_MC_UPCTL_DFITPHYRDLAT 0x40264
57987
57988#define S_TPHY_RDLAT 0
57989#define M_TPHY_RDLAT 0x3fU
57990#define V_TPHY_RDLAT(x) ((x) << S_TPHY_RDLAT)
57991#define G_TPHY_RDLAT(x) (((x) >> S_TPHY_RDLAT) & M_TPHY_RDLAT)
57992
57993#define A_MC_UPCTL_DFITPHYUPDTYPE0 0x40270
57994
57995#define S_TPHYUPD_TYPE0 0
57996#define M_TPHYUPD_TYPE0 0xfffU
57997#define V_TPHYUPD_TYPE0(x) ((x) << S_TPHYUPD_TYPE0)
57998#define G_TPHYUPD_TYPE0(x) (((x) >> S_TPHYUPD_TYPE0) & M_TPHYUPD_TYPE0)
57999
58000#define A_MC_UPCTL_DFITPHYUPDTYPE1 0x40274
58001
58002#define S_TPHYUPD_TYPE1 0
58003#define M_TPHYUPD_TYPE1 0xfffU
58004#define V_TPHYUPD_TYPE1(x) ((x) << S_TPHYUPD_TYPE1)
58005#define G_TPHYUPD_TYPE1(x) (((x) >> S_TPHYUPD_TYPE1) & M_TPHYUPD_TYPE1)
58006
58007#define A_MC_UPCTL_DFITPHYUPDTYPE2 0x40278
58008
58009#define S_TPHYUPD_TYPE2 0
58010#define M_TPHYUPD_TYPE2 0xfffU
58011#define V_TPHYUPD_TYPE2(x) ((x) << S_TPHYUPD_TYPE2)
58012#define G_TPHYUPD_TYPE2(x) (((x) >> S_TPHYUPD_TYPE2) & M_TPHYUPD_TYPE2)
58013
58014#define A_MC_UPCTL_DFITPHYUPDTYPE3 0x4027c
58015
58016#define S_TPHYUPD_TYPE3 0
58017#define M_TPHYUPD_TYPE3 0xfffU
58018#define V_TPHYUPD_TYPE3(x) ((x) << S_TPHYUPD_TYPE3)
58019#define G_TPHYUPD_TYPE3(x) (((x) >> S_TPHYUPD_TYPE3) & M_TPHYUPD_TYPE3)
58020
58021#define A_MC_UPCTL_DFITCTRLUPDMIN 0x40280
58022
58023#define S_TCTRLUPD_MIN 0
58024#define M_TCTRLUPD_MIN 0xffffU
58025#define V_TCTRLUPD_MIN(x) ((x) << S_TCTRLUPD_MIN)
58026#define G_TCTRLUPD_MIN(x) (((x) >> S_TCTRLUPD_MIN) & M_TCTRLUPD_MIN)
58027
58028#define A_MC_LMC_ODTR0 0x40280
58029
58030#define S_RK0W 25
58031#define V_RK0W(x) ((x) << S_RK0W)
58032#define F_RK0W V_RK0W(1U)
58033
58034#define S_RK0R 24
58035#define V_RK0R(x) ((x) << S_RK0R)
58036#define F_RK0R V_RK0R(1U)
58037
58038#define A_MC_UPCTL_DFITCTRLUPDMAX 0x40284
58039
58040#define S_TCTRLUPD_MAX 0
58041#define M_TCTRLUPD_MAX 0xffffU
58042#define V_TCTRLUPD_MAX(x) ((x) << S_TCTRLUPD_MAX)
58043#define G_TCTRLUPD_MAX(x) (((x) >> S_TCTRLUPD_MAX) & M_TCTRLUPD_MAX)
58044
58045#define A_MC_UPCTL_DFITCTRLUPDDLY 0x40288
58046
58047#define S_TCTRLUPD_DLY 0
58048#define M_TCTRLUPD_DLY 0xfU
58049#define V_TCTRLUPD_DLY(x) ((x) << S_TCTRLUPD_DLY)
58050#define G_TCTRLUPD_DLY(x) (((x) >> S_TCTRLUPD_DLY) & M_TCTRLUPD_DLY)
58051
58052#define A_MC_UPCTL_DFIUPDCFG 0x40290
58053
58054#define S_DFI_PHYUPD_EN 1
58055#define V_DFI_PHYUPD_EN(x) ((x) << S_DFI_PHYUPD_EN)
58056#define F_DFI_PHYUPD_EN V_DFI_PHYUPD_EN(1U)
58057
58058#define S_DFI_CTRLUPD_EN 0
58059#define V_DFI_CTRLUPD_EN(x) ((x) << S_DFI_CTRLUPD_EN)
58060#define F_DFI_CTRLUPD_EN V_DFI_CTRLUPD_EN(1U)
58061
58062#define A_MC_UPCTL_DFITREFMSKI 0x40294
58063
58064#define S_TREFMSKI 0
58065#define M_TREFMSKI 0xffU
58066#define V_TREFMSKI(x) ((x) << S_TREFMSKI)
58067#define G_TREFMSKI(x) (((x) >> S_TREFMSKI) & M_TREFMSKI)
58068
58069#define A_MC_UPCTL_DFITCTRLUPDI 0x40298
58070#define A_MC_UPCTL_DFITRCFG0 0x402ac
58071
58072#define S_DFI_WRLVL_RANK_SEL 16
58073#define M_DFI_WRLVL_RANK_SEL 0xfU
58074#define V_DFI_WRLVL_RANK_SEL(x) ((x) << S_DFI_WRLVL_RANK_SEL)
58075#define G_DFI_WRLVL_RANK_SEL(x) (((x) >> S_DFI_WRLVL_RANK_SEL) & M_DFI_WRLVL_RANK_SEL)
58076
58077#define S_DFI_RDLVL_EDGE 4
58078#define M_DFI_RDLVL_EDGE 0x1ffU
58079#define V_DFI_RDLVL_EDGE(x) ((x) << S_DFI_RDLVL_EDGE)
58080#define G_DFI_RDLVL_EDGE(x) (((x) >> S_DFI_RDLVL_EDGE) & M_DFI_RDLVL_EDGE)
58081
58082#define S_DFI_RDLVL_RANK_SEL 0
58083#define M_DFI_RDLVL_RANK_SEL 0xfU
58084#define V_DFI_RDLVL_RANK_SEL(x) ((x) << S_DFI_RDLVL_RANK_SEL)
58085#define G_DFI_RDLVL_RANK_SEL(x) (((x) >> S_DFI_RDLVL_RANK_SEL) & M_DFI_RDLVL_RANK_SEL)
58086
58087#define A_MC_UPCTL_DFITRSTAT0 0x402b0
58088
58089#define S_DFI_WRLVL_MODE 16
58090#define M_DFI_WRLVL_MODE 0x3U
58091#define V_DFI_WRLVL_MODE(x) ((x) << S_DFI_WRLVL_MODE)
58092#define G_DFI_WRLVL_MODE(x) (((x) >> S_DFI_WRLVL_MODE) & M_DFI_WRLVL_MODE)
58093
58094#define S_DFI_RDLVL_GATE_MODE 8
58095#define M_DFI_RDLVL_GATE_MODE 0x3U
58096#define V_DFI_RDLVL_GATE_MODE(x) ((x) << S_DFI_RDLVL_GATE_MODE)
58097#define G_DFI_RDLVL_GATE_MODE(x) (((x) >> S_DFI_RDLVL_GATE_MODE) & M_DFI_RDLVL_GATE_MODE)
58098
58099#define S_DFI_RDLVL_MODE 0
58100#define M_DFI_RDLVL_MODE 0x3U
58101#define V_DFI_RDLVL_MODE(x) ((x) << S_DFI_RDLVL_MODE)
58102#define G_DFI_RDLVL_MODE(x) (((x) >> S_DFI_RDLVL_MODE) & M_DFI_RDLVL_MODE)
58103
58104#define A_MC_UPCTL_DFITRWRLVLEN 0x402b4
58105
58106#define S_DFI_WRLVL_EN 0
58107#define M_DFI_WRLVL_EN 0x1ffU
58108#define V_DFI_WRLVL_EN(x) ((x) << S_DFI_WRLVL_EN)
58109#define G_DFI_WRLVL_EN(x) (((x) >> S_DFI_WRLVL_EN) & M_DFI_WRLVL_EN)
58110
58111#define A_MC_UPCTL_DFITRRDLVLEN 0x402b8
58112
58113#define S_DFI_RDLVL_EN 0
58114#define M_DFI_RDLVL_EN 0x1ffU
58115#define V_DFI_RDLVL_EN(x) ((x) << S_DFI_RDLVL_EN)
58116#define G_DFI_RDLVL_EN(x) (((x) >> S_DFI_RDLVL_EN) & M_DFI_RDLVL_EN)
58117
58118#define A_MC_UPCTL_DFITRRDLVLGATEEN 0x402bc
58119
58120#define S_DFI_RDLVL_GATE_EN 0
58121#define M_DFI_RDLVL_GATE_EN 0x1ffU
58122#define V_DFI_RDLVL_GATE_EN(x) ((x) << S_DFI_RDLVL_GATE_EN)
58123#define G_DFI_RDLVL_GATE_EN(x) (((x) >> S_DFI_RDLVL_GATE_EN) & M_DFI_RDLVL_GATE_EN)
58124
58125#define A_MC_UPCTL_DFISTSTAT0 0x402c0
58126
58127#define S_DFI_DATA_BYTE_DISABLE 16
58128#define M_DFI_DATA_BYTE_DISABLE 0x1ffU
58129#define V_DFI_DATA_BYTE_DISABLE(x) ((x) << S_DFI_DATA_BYTE_DISABLE)
58130#define G_DFI_DATA_BYTE_DISABLE(x) (((x) >> S_DFI_DATA_BYTE_DISABLE) & M_DFI_DATA_BYTE_DISABLE)
58131
58132#define S_DFI_FREQ_RATIO 4
58133#define M_DFI_FREQ_RATIO 0x3U
58134#define V_DFI_FREQ_RATIO(x) ((x) << S_DFI_FREQ_RATIO)
58135#define G_DFI_FREQ_RATIO(x) (((x) >> S_DFI_FREQ_RATIO) & M_DFI_FREQ_RATIO)
58136
58137#define S_DFI_INIT_START0 1
58138#define V_DFI_INIT_START0(x) ((x) << S_DFI_INIT_START0)
58139#define F_DFI_INIT_START0 V_DFI_INIT_START0(1U)
58140
58141#define S_DFI_INIT_COMPLETE 0
58142#define V_DFI_INIT_COMPLETE(x) ((x) << S_DFI_INIT_COMPLETE)
58143#define F_DFI_INIT_COMPLETE V_DFI_INIT_COMPLETE(1U)
58144
58145#define A_MC_UPCTL_DFISTCFG0 0x402c4
58146
58147#define S_DFI_DATA_BYTE_DISABLE_EN 2
58148#define V_DFI_DATA_BYTE_DISABLE_EN(x) ((x) << S_DFI_DATA_BYTE_DISABLE_EN)
58149#define F_DFI_DATA_BYTE_DISABLE_EN V_DFI_DATA_BYTE_DISABLE_EN(1U)
58150
58151#define S_DFI_FREQ_RATIO_EN 1
58152#define V_DFI_FREQ_RATIO_EN(x) ((x) << S_DFI_FREQ_RATIO_EN)
58153#define F_DFI_FREQ_RATIO_EN V_DFI_FREQ_RATIO_EN(1U)
58154
58155#define S_DFI_INIT_START 0
58156#define V_DFI_INIT_START(x) ((x) << S_DFI_INIT_START)
58157#define F_DFI_INIT_START V_DFI_INIT_START(1U)
58158
58159#define A_MC_UPCTL_DFISTCFG1 0x402c8
58160
58161#define S_DFI_DRAM_CLK_DISABLE_EN_DPD 1
58162#define V_DFI_DRAM_CLK_DISABLE_EN_DPD(x) ((x) << S_DFI_DRAM_CLK_DISABLE_EN_DPD)
58163#define F_DFI_DRAM_CLK_DISABLE_EN_DPD V_DFI_DRAM_CLK_DISABLE_EN_DPD(1U)
58164
58165#define S_DFI_DRAM_CLK_DISABLE_EN 0
58166#define V_DFI_DRAM_CLK_DISABLE_EN(x) ((x) << S_DFI_DRAM_CLK_DISABLE_EN)
58167#define F_DFI_DRAM_CLK_DISABLE_EN V_DFI_DRAM_CLK_DISABLE_EN(1U)
58168
58169#define A_MC_UPCTL_DFITDRAMCLKEN 0x402d0
58170
58171#define S_TDRAM_CLK_ENABLE 0
58172#define M_TDRAM_CLK_ENABLE 0xfU
58173#define V_TDRAM_CLK_ENABLE(x) ((x) << S_TDRAM_CLK_ENABLE)
58174#define G_TDRAM_CLK_ENABLE(x) (((x) >> S_TDRAM_CLK_ENABLE) & M_TDRAM_CLK_ENABLE)
58175
58176#define A_MC_UPCTL_DFITDRAMCLKDIS 0x402d4
58177
58178#define S_TDRAM_CLK_DISABLE 0
58179#define M_TDRAM_CLK_DISABLE 0xfU
58180#define V_TDRAM_CLK_DISABLE(x) ((x) << S_TDRAM_CLK_DISABLE)
58181#define G_TDRAM_CLK_DISABLE(x) (((x) >> S_TDRAM_CLK_DISABLE) & M_TDRAM_CLK_DISABLE)
58182
58183#define A_MC_UPCTL_DFISTCFG2 0x402d8
58184
58185#define S_PARITY_EN 1
58186#define V_PARITY_EN(x) ((x) << S_PARITY_EN)
58187#define F_PARITY_EN V_PARITY_EN(1U)
58188
58189#define S_PARITY_INTR_EN 0
58190#define V_PARITY_INTR_EN(x) ((x) << S_PARITY_INTR_EN)
58191#define F_PARITY_INTR_EN V_PARITY_INTR_EN(1U)
58192
58193#define A_MC_UPCTL_DFISTPARCLR 0x402dc
58194
58195#define S_PARITY_LOG_CLR 1
58196#define V_PARITY_LOG_CLR(x) ((x) << S_PARITY_LOG_CLR)
58197#define F_PARITY_LOG_CLR V_PARITY_LOG_CLR(1U)
58198
58199#define S_PARITY_INTR_CLR 0
58200#define V_PARITY_INTR_CLR(x) ((x) << S_PARITY_INTR_CLR)
58201#define F_PARITY_INTR_CLR V_PARITY_INTR_CLR(1U)
58202
58203#define A_MC_UPCTL_DFISTPARLOG 0x402e0
58204#define A_MC_UPCTL_DFILPCFG0 0x402f0
58205
58206#define S_DFI_LP_WAKEUP_DPD 28
58207#define M_DFI_LP_WAKEUP_DPD 0xfU
58208#define V_DFI_LP_WAKEUP_DPD(x) ((x) << S_DFI_LP_WAKEUP_DPD)
58209#define G_DFI_LP_WAKEUP_DPD(x) (((x) >> S_DFI_LP_WAKEUP_DPD) & M_DFI_LP_WAKEUP_DPD)
58210
58211#define S_DFI_LP_EN_DPD 24
58212#define V_DFI_LP_EN_DPD(x) ((x) << S_DFI_LP_EN_DPD)
58213#define F_DFI_LP_EN_DPD V_DFI_LP_EN_DPD(1U)
58214
58215#define S_DFI_TLP_RESP 16
58216#define M_DFI_TLP_RESP 0xfU
58217#define V_DFI_TLP_RESP(x) ((x) << S_DFI_TLP_RESP)
58218#define G_DFI_TLP_RESP(x) (((x) >> S_DFI_TLP_RESP) & M_DFI_TLP_RESP)
58219
58220#define S_DFI_LP_EN_SR 8
58221#define V_DFI_LP_EN_SR(x) ((x) << S_DFI_LP_EN_SR)
58222#define F_DFI_LP_EN_SR V_DFI_LP_EN_SR(1U)
58223
58224#define S_DFI_LP_WAKEUP_PD 4
58225#define M_DFI_LP_WAKEUP_PD 0xfU
58226#define V_DFI_LP_WAKEUP_PD(x) ((x) << S_DFI_LP_WAKEUP_PD)
58227#define G_DFI_LP_WAKEUP_PD(x) (((x) >> S_DFI_LP_WAKEUP_PD) & M_DFI_LP_WAKEUP_PD)
58228
58229#define S_DFI_LP_EN_PD 0
58230#define V_DFI_LP_EN_PD(x) ((x) << S_DFI_LP_EN_PD)
58231#define F_DFI_LP_EN_PD V_DFI_LP_EN_PD(1U)
58232
58233#define A_MC_UPCTL_DFITRWRLVLRESP0 0x40300
58234#define A_MC_UPCTL_DFITRWRLVLRESP1 0x40304
58235#define A_MC_LMC_CALSTAT 0x40304
58236
58237#define S_PHYUPD_ERR 28
58238#define M_PHYUPD_ERR 0xfU
58239#define V_PHYUPD_ERR(x) ((x) << S_PHYUPD_ERR)
58240#define G_PHYUPD_ERR(x) (((x) >> S_PHYUPD_ERR) & M_PHYUPD_ERR)
58241
58242#define S_PHYUPD_BUSY 27
58243#define V_PHYUPD_BUSY(x) ((x) << S_PHYUPD_BUSY)
58244#define F_PHYUPD_BUSY V_PHYUPD_BUSY(1U)
58245
58246#define A_MC_UPCTL_DFITRWRLVLRESP2 0x40308
58247
58248#define S_DFI_WRLVL_RESP2 0
58249#define M_DFI_WRLVL_RESP2 0xffU
58250#define V_DFI_WRLVL_RESP2(x) ((x) << S_DFI_WRLVL_RESP2)
58251#define G_DFI_WRLVL_RESP2(x) (((x) >> S_DFI_WRLVL_RESP2) & M_DFI_WRLVL_RESP2)
58252
58253#define A_MC_UPCTL_DFITRRDLVLRESP0 0x4030c
58254#define A_MC_UPCTL_DFITRRDLVLRESP1 0x40310
58255#define A_MC_UPCTL_DFITRRDLVLRESP2 0x40314
58256
58257#define S_DFI_RDLVL_RESP2 0
58258#define M_DFI_RDLVL_RESP2 0xffU
58259#define V_DFI_RDLVL_RESP2(x) ((x) << S_DFI_RDLVL_RESP2)
58260#define G_DFI_RDLVL_RESP2(x) (((x) >> S_DFI_RDLVL_RESP2) & M_DFI_RDLVL_RESP2)
58261
58262#define A_MC_UPCTL_DFITRWRLVLDELAY0 0x40318
58263#define A_MC_UPCTL_DFITRWRLVLDELAY1 0x4031c
58264#define A_MC_UPCTL_DFITRWRLVLDELAY2 0x40320
58265
58266#define S_DFI_WRLVL_DELAY2 0
58267#define M_DFI_WRLVL_DELAY2 0xffU
58268#define V_DFI_WRLVL_DELAY2(x) ((x) << S_DFI_WRLVL_DELAY2)
58269#define G_DFI_WRLVL_DELAY2(x) (((x) >> S_DFI_WRLVL_DELAY2) & M_DFI_WRLVL_DELAY2)
58270
58271#define A_MC_UPCTL_DFITRRDLVLDELAY0 0x40324
58272#define A_MC_UPCTL_DFITRRDLVLDELAY1 0x40328
58273#define A_MC_UPCTL_DFITRRDLVLDELAY2 0x4032c
58274
58275#define S_DFI_RDLVL_DELAY2 0
58276#define M_DFI_RDLVL_DELAY2 0xffU
58277#define V_DFI_RDLVL_DELAY2(x) ((x) << S_DFI_RDLVL_DELAY2)
58278#define G_DFI_RDLVL_DELAY2(x) (((x) >> S_DFI_RDLVL_DELAY2) & M_DFI_RDLVL_DELAY2)
58279
58280#define A_MC_UPCTL_DFITRRDLVLGATEDELAY0 0x40330
58281#define A_MC_LMC_T_PHYUPD0 0x40330
58282#define A_MC_UPCTL_DFITRRDLVLGATEDELAY1 0x40334
58283#define A_MC_LMC_T_PHYUPD1 0x40334
58284#define A_MC_UPCTL_DFITRRDLVLGATEDELAY2 0x40338
58285
58286#define S_DFI_RDLVL_GATE_DELAY2 0
58287#define M_DFI_RDLVL_GATE_DELAY2 0xffU
58288#define V_DFI_RDLVL_GATE_DELAY2(x) ((x) << S_DFI_RDLVL_GATE_DELAY2)
58289#define G_DFI_RDLVL_GATE_DELAY2(x) (((x) >> S_DFI_RDLVL_GATE_DELAY2) & M_DFI_RDLVL_GATE_DELAY2)
58290
58291#define A_MC_LMC_T_PHYUPD2 0x40338
58292#define A_MC_UPCTL_DFITRCMD 0x4033c
58293
58294#define S_DFITRCMD_START 31
58295#define V_DFITRCMD_START(x) ((x) << S_DFITRCMD_START)
58296#define F_DFITRCMD_START V_DFITRCMD_START(1U)
58297
58298#define S_DFITRCMD_EN 4
58299#define M_DFITRCMD_EN 0x1ffU
58300#define V_DFITRCMD_EN(x) ((x) << S_DFITRCMD_EN)
58301#define G_DFITRCMD_EN(x) (((x) >> S_DFITRCMD_EN) & M_DFITRCMD_EN)
58302
58303#define S_DFITRCMD_OPCODE 0
58304#define M_DFITRCMD_OPCODE 0x3U
58305#define V_DFITRCMD_OPCODE(x) ((x) << S_DFITRCMD_OPCODE)
58306#define G_DFITRCMD_OPCODE(x) (((x) >> S_DFITRCMD_OPCODE) & M_DFITRCMD_OPCODE)
58307
58308#define A_MC_LMC_T_PHYUPD3 0x4033c
58309#define A_MC_UPCTL_IPVR 0x403f8
58310#define A_MC_UPCTL_IPTR 0x403fc
58311#define A_MC_P_DDRPHY_RST_CTRL 0x41300
58312
58313#define S_PHY_DRAM_WL 17
58314#define M_PHY_DRAM_WL 0x1fU
58315#define V_PHY_DRAM_WL(x) ((x) << S_PHY_DRAM_WL)
58316#define G_PHY_DRAM_WL(x) (((x) >> S_PHY_DRAM_WL) & M_PHY_DRAM_WL)
58317
58318#define S_PHY_CALIB_DONE 5
58319#define V_PHY_CALIB_DONE(x) ((x) << S_PHY_CALIB_DONE)
58320#define F_PHY_CALIB_DONE V_PHY_CALIB_DONE(1U)
58321
58322#define S_CTL_CAL_REQ 4
58323#define V_CTL_CAL_REQ(x) ((x) << S_CTL_CAL_REQ)
58324#define F_CTL_CAL_REQ V_CTL_CAL_REQ(1U)
58325
58326#define S_CTL_CKE 3
58327#define V_CTL_CKE(x) ((x) << S_CTL_CKE)
58328#define F_CTL_CKE V_CTL_CKE(1U)
58329
58330#define S_CTL_RST_N 2
58331#define V_CTL_RST_N(x) ((x) << S_CTL_RST_N)
58332#define F_CTL_RST_N V_CTL_RST_N(1U)
58333
58334#define S_PHY_CAL_REQ 21
58335#define V_PHY_CAL_REQ(x) ((x) << S_PHY_CAL_REQ)
58336#define F_PHY_CAL_REQ V_PHY_CAL_REQ(1U)
58337
58338#define S_T6_PHY_DRAM_WL 17
58339#define M_T6_PHY_DRAM_WL 0xfU
58340#define V_T6_PHY_DRAM_WL(x) ((x) << S_T6_PHY_DRAM_WL)
58341#define G_T6_PHY_DRAM_WL(x) (((x) >> S_T6_PHY_DRAM_WL) & M_T6_PHY_DRAM_WL)
58342
58343#define A_MC_P_PERFORMANCE_CTRL 0x41304
58344
58345#define S_BUF_USE_TH 12
58346#define M_BUF_USE_TH 0x7U
58347#define V_BUF_USE_TH(x) ((x) << S_BUF_USE_TH)
58348#define G_BUF_USE_TH(x) (((x) >> S_BUF_USE_TH) & M_BUF_USE_TH)
58349
58350#define S_MC_IDLE_TH 8
58351#define M_MC_IDLE_TH 0xfU
58352#define V_MC_IDLE_TH(x) ((x) << S_MC_IDLE_TH)
58353#define G_MC_IDLE_TH(x) (((x) >> S_MC_IDLE_TH) & M_MC_IDLE_TH)
58354
58355#define S_RMW_DEFER_EN 7
58356#define V_RMW_DEFER_EN(x) ((x) << S_RMW_DEFER_EN)
58357#define F_RMW_DEFER_EN V_RMW_DEFER_EN(1U)
58358
58359#define S_DDR3_BRBC_MODE 6
58360#define V_DDR3_BRBC_MODE(x) ((x) << S_DDR3_BRBC_MODE)
58361#define F_DDR3_BRBC_MODE V_DDR3_BRBC_MODE(1U)
58362
58363#define S_RMW_DWRITE_EN 5
58364#define V_RMW_DWRITE_EN(x) ((x) << S_RMW_DWRITE_EN)
58365#define F_RMW_DWRITE_EN V_RMW_DWRITE_EN(1U)
58366
58367#define S_RMW_MERGE_EN 4
58368#define V_RMW_MERGE_EN(x) ((x) << S_RMW_MERGE_EN)
58369#define F_RMW_MERGE_EN V_RMW_MERGE_EN(1U)
58370
58371#define S_SYNC_PAB_EN 3
58372#define V_SYNC_PAB_EN(x) ((x) << S_SYNC_PAB_EN)
58373#define F_SYNC_PAB_EN V_SYNC_PAB_EN(1U)
58374
58375#define A_MC_P_ECC_CTRL 0x41308
58376#define A_MC_P_PAR_ENABLE 0x4130c
58377#define A_MC_P_PAR_CAUSE 0x41310
58378#define A_MC_P_INT_ENABLE 0x41314
58379#define A_MC_P_INT_CAUSE 0x41318
58380#define A_MC_P_ECC_STATUS 0x4131c
58381#define A_MC_P_PHY_CTRL 0x41320
58382#define A_MC_P_STATIC_CFG_STATUS 0x41324
58383
58384#define S_STATIC_AWEN 23
58385#define V_STATIC_AWEN(x) ((x) << S_STATIC_AWEN)
58386#define F_STATIC_AWEN V_STATIC_AWEN(1U)
58387
58388#define S_STATIC_SWLAT 18
58389#define M_STATIC_SWLAT 0x1fU
58390#define V_STATIC_SWLAT(x) ((x) << S_STATIC_SWLAT)
58391#define G_STATIC_SWLAT(x) (((x) >> S_STATIC_SWLAT) & M_STATIC_SWLAT)
58392
58393#define S_STATIC_WLAT 17
58394#define V_STATIC_WLAT(x) ((x) << S_STATIC_WLAT)
58395#define F_STATIC_WLAT V_STATIC_WLAT(1U)
58396
58397#define S_STATIC_ALIGN 16
58398#define V_STATIC_ALIGN(x) ((x) << S_STATIC_ALIGN)
58399#define F_STATIC_ALIGN V_STATIC_ALIGN(1U)
58400
58401#define S_STATIC_SLAT 11
58402#define M_STATIC_SLAT 0x1fU
58403#define V_STATIC_SLAT(x) ((x) << S_STATIC_SLAT)
58404#define G_STATIC_SLAT(x) (((x) >> S_STATIC_SLAT) & M_STATIC_SLAT)
58405
58406#define S_STATIC_LAT 10
58407#define V_STATIC_LAT(x) ((x) << S_STATIC_LAT)
58408#define F_STATIC_LAT V_STATIC_LAT(1U)
58409
58410#define S_STATIC_PP64 26
58411#define V_STATIC_PP64(x) ((x) << S_STATIC_PP64)
58412#define F_STATIC_PP64 V_STATIC_PP64(1U)
58413
58414#define S_STATIC_PPEN 25
58415#define V_STATIC_PPEN(x) ((x) << S_STATIC_PPEN)
58416#define F_STATIC_PPEN V_STATIC_PPEN(1U)
58417
58418#define S_STATIC_OOOEN 24
58419#define V_STATIC_OOOEN(x) ((x) << S_STATIC_OOOEN)
58420#define F_STATIC_OOOEN V_STATIC_OOOEN(1U)
58421
58422#define A_MC_P_CORE_PCTL_STAT 0x41328
58423#define A_MC_P_DEBUG_CNT 0x4132c
58424#define A_MC_CE_ERR_DATA_RDATA 0x41330
58425#define A_MC_CE_COR_DATA_RDATA 0x41350
58426#define A_MC_UE_ERR_DATA_RDATA 0x41370
58427#define A_MC_UE_COR_DATA_RDATA 0x41390
58428#define A_MC_CE_ADDR 0x413b0
58429#define A_MC_UE_ADDR 0x413b4
58430#define A_MC_P_DEEP_SLEEP 0x413b8
58431
58432#define S_SLEEPSTATUS 1
58433#define V_SLEEPSTATUS(x) ((x) << S_SLEEPSTATUS)
58434#define F_SLEEPSTATUS V_SLEEPSTATUS(1U)
58435
58436#define S_SLEEPREQ 0
58437#define V_SLEEPREQ(x) ((x) << S_SLEEPREQ)
58438#define F_SLEEPREQ V_SLEEPREQ(1U)
58439
58440#define A_MC_P_FPGA_BONUS 0x413bc
58441#define A_MC_P_DEBUG_CFG 0x413c0
58442#define A_MC_P_DEBUG_RPT 0x413c4
58443#define A_MC_P_PHY_ADR_CK_EN 0x413c8
58444
58445#define S_ADR_CK_EN 0
58446#define V_ADR_CK_EN(x) ((x) << S_ADR_CK_EN)
58447#define F_ADR_CK_EN V_ADR_CK_EN(1U)
58448
58449#define A_MC_CE_ERR_ECC_DATA0 0x413d0
58450#define A_MC_CE_ERR_ECC_DATA1 0x413d4
58451#define A_MC_UE_ERR_ECC_DATA0 0x413d8
58452#define A_MC_UE_ERR_ECC_DATA1 0x413dc
58453#define A_MC_P_RMW_PRIO 0x413f0
58454
58455#define S_WR_HI_TH 24
58456#define M_WR_HI_TH 0xffU
58457#define V_WR_HI_TH(x) ((x) << S_WR_HI_TH)
58458#define G_WR_HI_TH(x) (((x) >> S_WR_HI_TH) & M_WR_HI_TH)
58459
58460#define S_WR_MID_TH 16
58461#define M_WR_MID_TH 0xffU
58462#define V_WR_MID_TH(x) ((x) << S_WR_MID_TH)
58463#define G_WR_MID_TH(x) (((x) >> S_WR_MID_TH) & M_WR_MID_TH)
58464
58465#define S_RD_HI_TH 8
58466#define M_RD_HI_TH 0xffU
58467#define V_RD_HI_TH(x) ((x) << S_RD_HI_TH)
58468#define G_RD_HI_TH(x) (((x) >> S_RD_HI_TH) & M_RD_HI_TH)
58469
58470#define S_RD_MID_TH 0
58471#define M_RD_MID_TH 0xffU
58472#define V_RD_MID_TH(x) ((x) << S_RD_MID_TH)
58473#define G_RD_MID_TH(x) (((x) >> S_RD_MID_TH) & M_RD_MID_TH)
58474
58475#define A_MC_P_BIST_CMD 0x41400
58476
58477#define S_BURST_LEN 16
58478#define M_BURST_LEN 0x3U
58479#define V_BURST_LEN(x) ((x) << S_BURST_LEN)
58480#define G_BURST_LEN(x) (((x) >> S_BURST_LEN) & M_BURST_LEN)
58481
58482#define A_MC_P_BIST_CMD_ADDR 0x41404
58483#define A_MC_P_BIST_CMD_LEN 0x41408
58484#define A_MC_P_BIST_DATA_PATTERN 0x4140c
58485#define A_MC_P_BIST_USER_WDATA0 0x41414
58486#define A_MC_P_BIST_USER_WMASK0 0x41414
58487#define A_MC_P_BIST_USER_WDATA1 0x41418
58488#define A_MC_P_BIST_USER_WMASK1 0x41418
58489#define A_MC_P_BIST_USER_WDATA2 0x4141c
58490
58491#define S_USER_DATA_MASK 8
58492#define M_USER_DATA_MASK 0x1ffU
58493#define V_USER_DATA_MASK(x) ((x) << S_USER_DATA_MASK)
58494#define G_USER_DATA_MASK(x) (((x) >> S_USER_DATA_MASK) & M_USER_DATA_MASK)
58495
58496#define A_MC_P_BIST_USER_WMASK2 0x4141c
58497
58498#define S_MASK_128_1 9
58499#define V_MASK_128_1(x) ((x) << S_MASK_128_1)
58500#define F_MASK_128_1 V_MASK_128_1(1U)
58501
58502#define S_MASK_128_0 8
58503#define V_MASK_128_0(x) ((x) << S_MASK_128_0)
58504#define F_MASK_128_0 V_MASK_128_0(1U)
58505
58506#define S_USER_MASK_ECC 0
58507#define M_USER_MASK_ECC 0xffU
58508#define V_USER_MASK_ECC(x) ((x) << S_USER_MASK_ECC)
58509#define G_USER_MASK_ECC(x) (((x) >> S_USER_MASK_ECC) & M_USER_MASK_ECC)
58510
58511#define A_MC_P_BIST_NUM_ERR 0x41480
58512#define A_MC_P_BIST_ERR_FIRST_ADDR 0x41484
58513#define A_MC_P_BIST_STATUS_RDATA 0x41488
58514#define A_MC_P_BIST_CRC_SEED 0x414d0
58515#define A_MC_DDRPHY_DP18_DATA_BIT_ENABLE0 0x44000
58516
58517#define S_DATA_BIT_ENABLE_0_15 0
58518#define M_DATA_BIT_ENABLE_0_15 0xffffU
58519#define V_DATA_BIT_ENABLE_0_15(x) ((x) << S_DATA_BIT_ENABLE_0_15)
58520#define G_DATA_BIT_ENABLE_0_15(x) (((x) >> S_DATA_BIT_ENABLE_0_15) & M_DATA_BIT_ENABLE_0_15)
58521
58522#define A_MC_DDRPHY_DP18_DATA_BIT_ENABLE1 0x44004
58523
58524#define S_DATA_BIT_ENABLE_16_23 8
58525#define M_DATA_BIT_ENABLE_16_23 0xffU
58526#define V_DATA_BIT_ENABLE_16_23(x) ((x) << S_DATA_BIT_ENABLE_16_23)
58527#define G_DATA_BIT_ENABLE_16_23(x) (((x) >> S_DATA_BIT_ENABLE_16_23) & M_DATA_BIT_ENABLE_16_23)
58528
58529#define S_DFT_FORCE_OUTPUTS 7
58530#define V_DFT_FORCE_OUTPUTS(x) ((x) << S_DFT_FORCE_OUTPUTS)
58531#define F_DFT_FORCE_OUTPUTS V_DFT_FORCE_OUTPUTS(1U)
58532
58533#define S_DFT_PRBS7_GEN_EN 6
58534#define V_DFT_PRBS7_GEN_EN(x) ((x) << S_DFT_PRBS7_GEN_EN)
58535#define F_DFT_PRBS7_GEN_EN V_DFT_PRBS7_GEN_EN(1U)
58536
58537#define S_WRAPSEL 5
58538#define V_WRAPSEL(x) ((x) << S_WRAPSEL)
58539#define F_WRAPSEL V_WRAPSEL(1U)
58540
58541#define S_MRS_CMD_DATA_N0 3
58542#define V_MRS_CMD_DATA_N0(x) ((x) << S_MRS_CMD_DATA_N0)
58543#define F_MRS_CMD_DATA_N0 V_MRS_CMD_DATA_N0(1U)
58544
58545#define S_MRS_CMD_DATA_N1 2
58546#define V_MRS_CMD_DATA_N1(x) ((x) << S_MRS_CMD_DATA_N1)
58547#define F_MRS_CMD_DATA_N1 V_MRS_CMD_DATA_N1(1U)
58548
58549#define S_MRS_CMD_DATA_N2 1
58550#define V_MRS_CMD_DATA_N2(x) ((x) << S_MRS_CMD_DATA_N2)
58551#define F_MRS_CMD_DATA_N2 V_MRS_CMD_DATA_N2(1U)
58552
58553#define S_MRS_CMD_DATA_N3 0
58554#define V_MRS_CMD_DATA_N3(x) ((x) << S_MRS_CMD_DATA_N3)
58555#define F_MRS_CMD_DATA_N3 V_MRS_CMD_DATA_N3(1U)
58556
58557#define S_DP18_WRAPSEL 5
58558#define V_DP18_WRAPSEL(x) ((x) << S_DP18_WRAPSEL)
58559#define F_DP18_WRAPSEL V_DP18_WRAPSEL(1U)
58560
58561#define S_HW_VALUE 4
58562#define V_HW_VALUE(x) ((x) << S_HW_VALUE)
58563#define F_HW_VALUE V_HW_VALUE(1U)
58564
58565#define A_MC_DDRPHY_DP18_DATA_BIT_DIR0 0x44008
58566
58567#define S_DATA_BIT_DIR_0_15 0
58568#define M_DATA_BIT_DIR_0_15 0xffffU
58569#define V_DATA_BIT_DIR_0_15(x) ((x) << S_DATA_BIT_DIR_0_15)
58570#define G_DATA_BIT_DIR_0_15(x) (((x) >> S_DATA_BIT_DIR_0_15) & M_DATA_BIT_DIR_0_15)
58571
58572#define A_MC_DDRPHY_DP18_DATA_BIT_DIR1 0x4400c
58573
58574#define S_DATA_BIT_DIR_16_23 8
58575#define M_DATA_BIT_DIR_16_23 0xffU
58576#define V_DATA_BIT_DIR_16_23(x) ((x) << S_DATA_BIT_DIR_16_23)
58577#define G_DATA_BIT_DIR_16_23(x) (((x) >> S_DATA_BIT_DIR_16_23) & M_DATA_BIT_DIR_16_23)
58578
58579#define S_WL_ADVANCE_DISABLE 7
58580#define V_WL_ADVANCE_DISABLE(x) ((x) << S_WL_ADVANCE_DISABLE)
58581#define F_WL_ADVANCE_DISABLE V_WL_ADVANCE_DISABLE(1U)
58582
58583#define S_DISABLE_PING_PONG 6
58584#define V_DISABLE_PING_PONG(x) ((x) << S_DISABLE_PING_PONG)
58585#define F_DISABLE_PING_PONG V_DISABLE_PING_PONG(1U)
58586
58587#define S_DELAY_PING_PONG_HALF 5
58588#define V_DELAY_PING_PONG_HALF(x) ((x) << S_DELAY_PING_PONG_HALF)
58589#define F_DELAY_PING_PONG_HALF V_DELAY_PING_PONG_HALF(1U)
58590
58591#define S_ADVANCE_PING_PONG 4
58592#define V_ADVANCE_PING_PONG(x) ((x) << S_ADVANCE_PING_PONG)
58593#define F_ADVANCE_PING_PONG V_ADVANCE_PING_PONG(1U)
58594
58595#define S_ATEST_MUX_CTL0 3
58596#define V_ATEST_MUX_CTL0(x) ((x) << S_ATEST_MUX_CTL0)
58597#define F_ATEST_MUX_CTL0 V_ATEST_MUX_CTL0(1U)
58598
58599#define S_ATEST_MUX_CTL1 2
58600#define V_ATEST_MUX_CTL1(x) ((x) << S_ATEST_MUX_CTL1)
58601#define F_ATEST_MUX_CTL1 V_ATEST_MUX_CTL1(1U)
58602
58603#define S_ATEST_MUX_CTL2 1
58604#define V_ATEST_MUX_CTL2(x) ((x) << S_ATEST_MUX_CTL2)
58605#define F_ATEST_MUX_CTL2 V_ATEST_MUX_CTL2(1U)
58606
58607#define S_ATEST_MUX_CTL3 0
58608#define V_ATEST_MUX_CTL3(x) ((x) << S_ATEST_MUX_CTL3)
58609#define F_ATEST_MUX_CTL3 V_ATEST_MUX_CTL3(1U)
58610
58611#define A_MC_DDRPHY_DP18_READ_CLOCK_RANK_PAIR 0x44010
58612
58613#define S_QUAD0_CLK16_BIT0 15
58614#define V_QUAD0_CLK16_BIT0(x) ((x) << S_QUAD0_CLK16_BIT0)
58615#define F_QUAD0_CLK16_BIT0 V_QUAD0_CLK16_BIT0(1U)
58616
58617#define S_QUAD1_CLK16_BIT1 14
58618#define V_QUAD1_CLK16_BIT1(x) ((x) << S_QUAD1_CLK16_BIT1)
58619#define F_QUAD1_CLK16_BIT1 V_QUAD1_CLK16_BIT1(1U)
58620
58621#define S_QUAD2_CLK16_BIT2 13
58622#define V_QUAD2_CLK16_BIT2(x) ((x) << S_QUAD2_CLK16_BIT2)
58623#define F_QUAD2_CLK16_BIT2 V_QUAD2_CLK16_BIT2(1U)
58624
58625#define S_QUAD3_CLK16_BIT3 12
58626#define V_QUAD3_CLK16_BIT3(x) ((x) << S_QUAD3_CLK16_BIT3)
58627#define F_QUAD3_CLK16_BIT3 V_QUAD3_CLK16_BIT3(1U)
58628
58629#define S_QUAD0_CLK18_BIT4 11
58630#define V_QUAD0_CLK18_BIT4(x) ((x) << S_QUAD0_CLK18_BIT4)
58631#define F_QUAD0_CLK18_BIT4 V_QUAD0_CLK18_BIT4(1U)
58632
58633#define S_QUAD1_CLK18_BIT5 10
58634#define V_QUAD1_CLK18_BIT5(x) ((x) << S_QUAD1_CLK18_BIT5)
58635#define F_QUAD1_CLK18_BIT5 V_QUAD1_CLK18_BIT5(1U)
58636
58637#define S_QUAD2_CLK20_BIT6 9
58638#define V_QUAD2_CLK20_BIT6(x) ((x) << S_QUAD2_CLK20_BIT6)
58639#define F_QUAD2_CLK20_BIT6 V_QUAD2_CLK20_BIT6(1U)
58640
58641#define S_QUAD3_CLK20_BIT7 8
58642#define V_QUAD3_CLK20_BIT7(x) ((x) << S_QUAD3_CLK20_BIT7)
58643#define F_QUAD3_CLK20_BIT7 V_QUAD3_CLK20_BIT7(1U)
58644
58645#define S_QUAD2_CLK22_BIT8 7
58646#define V_QUAD2_CLK22_BIT8(x) ((x) << S_QUAD2_CLK22_BIT8)
58647#define F_QUAD2_CLK22_BIT8 V_QUAD2_CLK22_BIT8(1U)
58648
58649#define S_QUAD3_CLK22_BIT9 6
58650#define V_QUAD3_CLK22_BIT9(x) ((x) << S_QUAD3_CLK22_BIT9)
58651#define F_QUAD3_CLK22_BIT9 V_QUAD3_CLK22_BIT9(1U)
58652
58653#define S_CLK16_SINGLE_ENDED_BIT10 5
58654#define V_CLK16_SINGLE_ENDED_BIT10(x) ((x) << S_CLK16_SINGLE_ENDED_BIT10)
58655#define F_CLK16_SINGLE_ENDED_BIT10 V_CLK16_SINGLE_ENDED_BIT10(1U)
58656
58657#define S_CLK18_SINGLE_ENDED_BIT11 4
58658#define V_CLK18_SINGLE_ENDED_BIT11(x) ((x) << S_CLK18_SINGLE_ENDED_BIT11)
58659#define F_CLK18_SINGLE_ENDED_BIT11 V_CLK18_SINGLE_ENDED_BIT11(1U)
58660
58661#define S_CLK20_SINGLE_ENDED_BIT12 3
58662#define V_CLK20_SINGLE_ENDED_BIT12(x) ((x) << S_CLK20_SINGLE_ENDED_BIT12)
58663#define F_CLK20_SINGLE_ENDED_BIT12 V_CLK20_SINGLE_ENDED_BIT12(1U)
58664
58665#define S_CLK22_SINGLE_ENDED_BIT13 2
58666#define V_CLK22_SINGLE_ENDED_BIT13(x) ((x) << S_CLK22_SINGLE_ENDED_BIT13)
58667#define F_CLK22_SINGLE_ENDED_BIT13 V_CLK22_SINGLE_ENDED_BIT13(1U)
58668
58669#define A_MC_DDRPHY_DP18_WRCLK_EN_RP 0x44014
58670
58671#define S_QUAD2_CLK18_BIT14 1
58672#define V_QUAD2_CLK18_BIT14(x) ((x) << S_QUAD2_CLK18_BIT14)
58673#define F_QUAD2_CLK18_BIT14 V_QUAD2_CLK18_BIT14(1U)
58674
58675#define S_QUAD3_CLK18_BIT15 0
58676#define V_QUAD3_CLK18_BIT15(x) ((x) << S_QUAD3_CLK18_BIT15)
58677#define F_QUAD3_CLK18_BIT15 V_QUAD3_CLK18_BIT15(1U)
58678
58679#define A_MC_DDRPHY_DP18_RX_PEAK_AMP 0x44018
58680
58681#define S_PEAK_AMP_CTL_SIDE0 13
58682#define M_PEAK_AMP_CTL_SIDE0 0x7U
58683#define V_PEAK_AMP_CTL_SIDE0(x) ((x) << S_PEAK_AMP_CTL_SIDE0)
58684#define G_PEAK_AMP_CTL_SIDE0(x) (((x) >> S_PEAK_AMP_CTL_SIDE0) & M_PEAK_AMP_CTL_SIDE0)
58685
58686#define S_PEAK_AMP_CTL_SIDE1 9
58687#define M_PEAK_AMP_CTL_SIDE1 0x7U
58688#define V_PEAK_AMP_CTL_SIDE1(x) ((x) << S_PEAK_AMP_CTL_SIDE1)
58689#define G_PEAK_AMP_CTL_SIDE1(x) (((x) >> S_PEAK_AMP_CTL_SIDE1) & M_PEAK_AMP_CTL_SIDE1)
58690
58691#define S_SXMCVREF_0_3 4
58692#define M_SXMCVREF_0_3 0xfU
58693#define V_SXMCVREF_0_3(x) ((x) << S_SXMCVREF_0_3)
58694#define G_SXMCVREF_0_3(x) (((x) >> S_SXMCVREF_0_3) & M_SXMCVREF_0_3)
58695
58696#define S_SXPODVREF 3
58697#define V_SXPODVREF(x) ((x) << S_SXPODVREF)
58698#define F_SXPODVREF V_SXPODVREF(1U)
58699
58700#define S_DISABLE_TERMINATION 2
58701#define V_DISABLE_TERMINATION(x) ((x) << S_DISABLE_TERMINATION)
58702#define F_DISABLE_TERMINATION V_DISABLE_TERMINATION(1U)
58703
58704#define S_READ_CENTERING_MODE 0
58705#define M_READ_CENTERING_MODE 0x3U
58706#define V_READ_CENTERING_MODE(x) ((x) << S_READ_CENTERING_MODE)
58707#define G_READ_CENTERING_MODE(x) (((x) >> S_READ_CENTERING_MODE) & M_READ_CENTERING_MODE)
58708
58709#define A_MC_DDRPHY_DP18_SYSCLK_PR 0x4401c
58710
58711#define S_SYSCLK_PHASE_ALIGN_RESET 6
58712#define V_SYSCLK_PHASE_ALIGN_RESET(x) ((x) << S_SYSCLK_PHASE_ALIGN_RESET)
58713#define F_SYSCLK_PHASE_ALIGN_RESET V_SYSCLK_PHASE_ALIGN_RESET(1U)
58714
58715#define A_MC_DDRPHY_DP18_DFT_DIG_EYE 0x44020
58716
58717#define S_DIGITAL_EYE_EN 15
58718#define V_DIGITAL_EYE_EN(x) ((x) << S_DIGITAL_EYE_EN)
58719#define F_DIGITAL_EYE_EN V_DIGITAL_EYE_EN(1U)
58720
58721#define S_BUMP 14
58722#define V_BUMP(x) ((x) << S_BUMP)
58723#define F_BUMP V_BUMP(1U)
58724
58725#define S_TRIG_PERIOD 13
58726#define V_TRIG_PERIOD(x) ((x) << S_TRIG_PERIOD)
58727#define F_TRIG_PERIOD V_TRIG_PERIOD(1U)
58728
58729#define S_CNTL_POL 12
58730#define V_CNTL_POL(x) ((x) << S_CNTL_POL)
58731#define F_CNTL_POL V_CNTL_POL(1U)
58732
58733#define S_CNTL_SRC 8
58734#define V_CNTL_SRC(x) ((x) << S_CNTL_SRC)
58735#define F_CNTL_SRC V_CNTL_SRC(1U)
58736
58737#define S_DIGITAL_EYE_VALUE 0
58738#define M_DIGITAL_EYE_VALUE 0xffU
58739#define V_DIGITAL_EYE_VALUE(x) ((x) << S_DIGITAL_EYE_VALUE)
58740#define G_DIGITAL_EYE_VALUE(x) (((x) >> S_DIGITAL_EYE_VALUE) & M_DIGITAL_EYE_VALUE)
58741
58742#define A_MC_DDRPHY_DP18_DQS_RD_PHASE_SELECT_RANK_PAIR 0x44024
58743
58744#define S_DQSCLK_SELECT0 14
58745#define M_DQSCLK_SELECT0 0x3U
58746#define V_DQSCLK_SELECT0(x) ((x) << S_DQSCLK_SELECT0)
58747#define G_DQSCLK_SELECT0(x) (((x) >> S_DQSCLK_SELECT0) & M_DQSCLK_SELECT0)
58748
58749#define S_RDCLK_SELECT0 12
58750#define M_RDCLK_SELECT0 0x3U
58751#define V_RDCLK_SELECT0(x) ((x) << S_RDCLK_SELECT0)
58752#define G_RDCLK_SELECT0(x) (((x) >> S_RDCLK_SELECT0) & M_RDCLK_SELECT0)
58753
58754#define S_DQSCLK_SELECT1 10
58755#define M_DQSCLK_SELECT1 0x3U
58756#define V_DQSCLK_SELECT1(x) ((x) << S_DQSCLK_SELECT1)
58757#define G_DQSCLK_SELECT1(x) (((x) >> S_DQSCLK_SELECT1) & M_DQSCLK_SELECT1)
58758
58759#define S_RDCLK_SELECT1 8
58760#define M_RDCLK_SELECT1 0x3U
58761#define V_RDCLK_SELECT1(x) ((x) << S_RDCLK_SELECT1)
58762#define G_RDCLK_SELECT1(x) (((x) >> S_RDCLK_SELECT1) & M_RDCLK_SELECT1)
58763
58764#define S_DQSCLK_SELECT2 6
58765#define M_DQSCLK_SELECT2 0x3U
58766#define V_DQSCLK_SELECT2(x) ((x) << S_DQSCLK_SELECT2)
58767#define G_DQSCLK_SELECT2(x) (((x) >> S_DQSCLK_SELECT2) & M_DQSCLK_SELECT2)
58768
58769#define S_RDCLK_SELECT2 4
58770#define M_RDCLK_SELECT2 0x3U
58771#define V_RDCLK_SELECT2(x) ((x) << S_RDCLK_SELECT2)
58772#define G_RDCLK_SELECT2(x) (((x) >> S_RDCLK_SELECT2) & M_RDCLK_SELECT2)
58773
58774#define S_DQSCLK_SELECT3 2
58775#define M_DQSCLK_SELECT3 0x3U
58776#define V_DQSCLK_SELECT3(x) ((x) << S_DQSCLK_SELECT3)
58777#define G_DQSCLK_SELECT3(x) (((x) >> S_DQSCLK_SELECT3) & M_DQSCLK_SELECT3)
58778
58779#define S_RDCLK_SELECT3 0
58780#define M_RDCLK_SELECT3 0x3U
58781#define V_RDCLK_SELECT3(x) ((x) << S_RDCLK_SELECT3)
58782#define G_RDCLK_SELECT3(x) (((x) >> S_RDCLK_SELECT3) & M_RDCLK_SELECT3)
58783
58784#define A_MC_DDRPHY_DP18_DRIFT_LIMITS 0x44028
58785
58786#define S_MIN_RD_EYE_SIZE 8
58787#define M_MIN_RD_EYE_SIZE 0x3fU
58788#define V_MIN_RD_EYE_SIZE(x) ((x) << S_MIN_RD_EYE_SIZE)
58789#define G_MIN_RD_EYE_SIZE(x) (((x) >> S_MIN_RD_EYE_SIZE) & M_MIN_RD_EYE_SIZE)
58790
58791#define S_MAX_DQS_DRIFT 0
58792#define M_MAX_DQS_DRIFT 0x3fU
58793#define V_MAX_DQS_DRIFT(x) ((x) << S_MAX_DQS_DRIFT)
58794#define G_MAX_DQS_DRIFT(x) (((x) >> S_MAX_DQS_DRIFT) & M_MAX_DQS_DRIFT)
58795
58796#define A_MC_DDRPHY_DP18_DEBUG_SEL 0x4402c
58797
58798#define S_HS_PROBE_A_SEL 11
58799#define M_HS_PROBE_A_SEL 0x1fU
58800#define V_HS_PROBE_A_SEL(x) ((x) << S_HS_PROBE_A_SEL)
58801#define G_HS_PROBE_A_SEL(x) (((x) >> S_HS_PROBE_A_SEL) & M_HS_PROBE_A_SEL)
58802
58803#define S_HS_PROBE_B_SEL 6
58804#define M_HS_PROBE_B_SEL 0x1fU
58805#define V_HS_PROBE_B_SEL(x) ((x) << S_HS_PROBE_B_SEL)
58806#define G_HS_PROBE_B_SEL(x) (((x) >> S_HS_PROBE_B_SEL) & M_HS_PROBE_B_SEL)
58807
58808#define S_RD_DEBUG_SEL 3
58809#define M_RD_DEBUG_SEL 0x7U
58810#define V_RD_DEBUG_SEL(x) ((x) << S_RD_DEBUG_SEL)
58811#define G_RD_DEBUG_SEL(x) (((x) >> S_RD_DEBUG_SEL) & M_RD_DEBUG_SEL)
58812
58813#define S_WR_DEBUG_SEL 0
58814#define M_WR_DEBUG_SEL 0x7U
58815#define V_WR_DEBUG_SEL(x) ((x) << S_WR_DEBUG_SEL)
58816#define G_WR_DEBUG_SEL(x) (((x) >> S_WR_DEBUG_SEL) & M_WR_DEBUG_SEL)
58817
58818#define S_DP18_HS_PROBE_A_SEL 11
58819#define M_DP18_HS_PROBE_A_SEL 0x1fU
58820#define V_DP18_HS_PROBE_A_SEL(x) ((x) << S_DP18_HS_PROBE_A_SEL)
58821#define G_DP18_HS_PROBE_A_SEL(x) (((x) >> S_DP18_HS_PROBE_A_SEL) & M_DP18_HS_PROBE_A_SEL)
58822
58823#define S_DP18_HS_PROBE_B_SEL 6
58824#define M_DP18_HS_PROBE_B_SEL 0x1fU
58825#define V_DP18_HS_PROBE_B_SEL(x) ((x) << S_DP18_HS_PROBE_B_SEL)
58826#define G_DP18_HS_PROBE_B_SEL(x) (((x) >> S_DP18_HS_PROBE_B_SEL) & M_DP18_HS_PROBE_B_SEL)
58827
58828#define A_MC_DDRPHY_DP18_READ_DELAY_OFFSET0_RANK_PAIR 0x44030
58829
58830#define S_OFFSET_BITS1_7 8
58831#define M_OFFSET_BITS1_7 0x7fU
58832#define V_OFFSET_BITS1_7(x) ((x) << S_OFFSET_BITS1_7)
58833#define G_OFFSET_BITS1_7(x) (((x) >> S_OFFSET_BITS1_7) & M_OFFSET_BITS1_7)
58834
58835#define S_OFFSET_BITS9_15 0
58836#define M_OFFSET_BITS9_15 0x7fU
58837#define V_OFFSET_BITS9_15(x) ((x) << S_OFFSET_BITS9_15)
58838#define G_OFFSET_BITS9_15(x) (((x) >> S_OFFSET_BITS9_15) & M_OFFSET_BITS9_15)
58839
58840#define A_MC_DDRPHY_DP18_READ_DELAY_OFFSET1_RANK_PAIR 0x44034
58841#define A_MC_DDRPHY_DP18_RD_LVL_STATUS0 0x44038
58842
58843#define S_LEADING_EDGE_NOT_FOUND_0 0
58844#define M_LEADING_EDGE_NOT_FOUND_0 0xffffU
58845#define V_LEADING_EDGE_NOT_FOUND_0(x) ((x) << S_LEADING_EDGE_NOT_FOUND_0)
58846#define G_LEADING_EDGE_NOT_FOUND_0(x) (((x) >> S_LEADING_EDGE_NOT_FOUND_0) & M_LEADING_EDGE_NOT_FOUND_0)
58847
58848#define A_MC_DDRPHY_DP18_RD_LVL_STATUS1 0x4403c
58849
58850#define S_LEADING_EDGE_NOT_FOUND_1 8
58851#define M_LEADING_EDGE_NOT_FOUND_1 0xffU
58852#define V_LEADING_EDGE_NOT_FOUND_1(x) ((x) << S_LEADING_EDGE_NOT_FOUND_1)
58853#define G_LEADING_EDGE_NOT_FOUND_1(x) (((x) >> S_LEADING_EDGE_NOT_FOUND_1) & M_LEADING_EDGE_NOT_FOUND_1)
58854
58855#define A_MC_DDRPHY_DP18_RD_LVL_STATUS2 0x44040
58856
58857#define S_TRAILING_EDGE_NOT_FOUND 0
58858#define M_TRAILING_EDGE_NOT_FOUND 0xffffU
58859#define V_TRAILING_EDGE_NOT_FOUND(x) ((x) << S_TRAILING_EDGE_NOT_FOUND)
58860#define G_TRAILING_EDGE_NOT_FOUND(x) (((x) >> S_TRAILING_EDGE_NOT_FOUND) & M_TRAILING_EDGE_NOT_FOUND)
58861
58862#define A_MC_DDRPHY_DP18_RD_LVL_STATUS3 0x44044
58863
58864#define S_TRAILING_EDGE_NOT_FOUND_16_23 8
58865#define M_TRAILING_EDGE_NOT_FOUND_16_23 0xffU
58866#define V_TRAILING_EDGE_NOT_FOUND_16_23(x) ((x) << S_TRAILING_EDGE_NOT_FOUND_16_23)
58867#define G_TRAILING_EDGE_NOT_FOUND_16_23(x) (((x) >> S_TRAILING_EDGE_NOT_FOUND_16_23) & M_TRAILING_EDGE_NOT_FOUND_16_23)
58868
58869#define A_MC_DDRPHY_DP18_RD_DIA_CONFIG5 0x44048
58870
58871#define S_DYN_POWER_CNTL_EN 15
58872#define V_DYN_POWER_CNTL_EN(x) ((x) << S_DYN_POWER_CNTL_EN)
58873#define F_DYN_POWER_CNTL_EN V_DYN_POWER_CNTL_EN(1U)
58874
58875#define S_DYN_MCTERM_CNTL_EN 14
58876#define V_DYN_MCTERM_CNTL_EN(x) ((x) << S_DYN_MCTERM_CNTL_EN)
58877#define F_DYN_MCTERM_CNTL_EN V_DYN_MCTERM_CNTL_EN(1U)
58878
58879#define S_DYN_RX_GATE_CNTL_EN 13
58880#define V_DYN_RX_GATE_CNTL_EN(x) ((x) << S_DYN_RX_GATE_CNTL_EN)
58881#define F_DYN_RX_GATE_CNTL_EN V_DYN_RX_GATE_CNTL_EN(1U)
58882
58883#define S_CALGATE_ON 12
58884#define V_CALGATE_ON(x) ((x) << S_CALGATE_ON)
58885#define F_CALGATE_ON V_CALGATE_ON(1U)
58886
58887#define S_PER_RDCLK_UPDATE_DIS 11
58888#define V_PER_RDCLK_UPDATE_DIS(x) ((x) << S_PER_RDCLK_UPDATE_DIS)
58889#define F_PER_RDCLK_UPDATE_DIS V_PER_RDCLK_UPDATE_DIS(1U)
58890
58891#define S_DQS_ALIGN_BY_QUAD 4
58892#define V_DQS_ALIGN_BY_QUAD(x) ((x) << S_DQS_ALIGN_BY_QUAD)
58893#define F_DQS_ALIGN_BY_QUAD V_DQS_ALIGN_BY_QUAD(1U)
58894
58895#define A_MC_DDRPHY_DP18_DQS_GATE_DELAY_RP 0x4404c
58896
58897#define S_DQS_GATE_DELAY_N0 12
58898#define M_DQS_GATE_DELAY_N0 0x7U
58899#define V_DQS_GATE_DELAY_N0(x) ((x) << S_DQS_GATE_DELAY_N0)
58900#define G_DQS_GATE_DELAY_N0(x) (((x) >> S_DQS_GATE_DELAY_N0) & M_DQS_GATE_DELAY_N0)
58901
58902#define S_DQS_GATE_DELAY_N1 8
58903#define M_DQS_GATE_DELAY_N1 0x7U
58904#define V_DQS_GATE_DELAY_N1(x) ((x) << S_DQS_GATE_DELAY_N1)
58905#define G_DQS_GATE_DELAY_N1(x) (((x) >> S_DQS_GATE_DELAY_N1) & M_DQS_GATE_DELAY_N1)
58906
58907#define S_DQS_GATE_DELAY_N2 4
58908#define M_DQS_GATE_DELAY_N2 0x7U
58909#define V_DQS_GATE_DELAY_N2(x) ((x) << S_DQS_GATE_DELAY_N2)
58910#define G_DQS_GATE_DELAY_N2(x) (((x) >> S_DQS_GATE_DELAY_N2) & M_DQS_GATE_DELAY_N2)
58911
58912#define S_DQS_GATE_DELAY_N3 0
58913#define M_DQS_GATE_DELAY_N3 0x7U
58914#define V_DQS_GATE_DELAY_N3(x) ((x) << S_DQS_GATE_DELAY_N3)
58915#define G_DQS_GATE_DELAY_N3(x) (((x) >> S_DQS_GATE_DELAY_N3) & M_DQS_GATE_DELAY_N3)
58916
58917#define A_MC_DDRPHY_DP18_RD_STATUS0 0x44050
58918
58919#define S_NO_EYE_DETECTED 15
58920#define V_NO_EYE_DETECTED(x) ((x) << S_NO_EYE_DETECTED)
58921#define F_NO_EYE_DETECTED V_NO_EYE_DETECTED(1U)
58922
58923#define S_LEADING_EDGE_FOUND 14
58924#define V_LEADING_EDGE_FOUND(x) ((x) << S_LEADING_EDGE_FOUND)
58925#define F_LEADING_EDGE_FOUND V_LEADING_EDGE_FOUND(1U)
58926
58927#define S_TRAILING_EDGE_FOUND 13
58928#define V_TRAILING_EDGE_FOUND(x) ((x) << S_TRAILING_EDGE_FOUND)
58929#define F_TRAILING_EDGE_FOUND V_TRAILING_EDGE_FOUND(1U)
58930
58931#define S_INCOMPLETE_RD_CAL_N0 12
58932#define V_INCOMPLETE_RD_CAL_N0(x) ((x) << S_INCOMPLETE_RD_CAL_N0)
58933#define F_INCOMPLETE_RD_CAL_N0 V_INCOMPLETE_RD_CAL_N0(1U)
58934
58935#define S_INCOMPLETE_RD_CAL_N1 11
58936#define V_INCOMPLETE_RD_CAL_N1(x) ((x) << S_INCOMPLETE_RD_CAL_N1)
58937#define F_INCOMPLETE_RD_CAL_N1 V_INCOMPLETE_RD_CAL_N1(1U)
58938
58939#define S_INCOMPLETE_RD_CAL_N2 10
58940#define V_INCOMPLETE_RD_CAL_N2(x) ((x) << S_INCOMPLETE_RD_CAL_N2)
58941#define F_INCOMPLETE_RD_CAL_N2 V_INCOMPLETE_RD_CAL_N2(1U)
58942
58943#define S_INCOMPLETE_RD_CAL_N3 9
58944#define V_INCOMPLETE_RD_CAL_N3(x) ((x) << S_INCOMPLETE_RD_CAL_N3)
58945#define F_INCOMPLETE_RD_CAL_N3 V_INCOMPLETE_RD_CAL_N3(1U)
58946
58947#define S_COARSE_PATTERN_ERR_N0 8
58948#define V_COARSE_PATTERN_ERR_N0(x) ((x) << S_COARSE_PATTERN_ERR_N0)
58949#define F_COARSE_PATTERN_ERR_N0 V_COARSE_PATTERN_ERR_N0(1U)
58950
58951#define S_COARSE_PATTERN_ERR_N1 7
58952#define V_COARSE_PATTERN_ERR_N1(x) ((x) << S_COARSE_PATTERN_ERR_N1)
58953#define F_COARSE_PATTERN_ERR_N1 V_COARSE_PATTERN_ERR_N1(1U)
58954
58955#define S_COARSE_PATTERN_ERR_N2 6
58956#define V_COARSE_PATTERN_ERR_N2(x) ((x) << S_COARSE_PATTERN_ERR_N2)
58957#define F_COARSE_PATTERN_ERR_N2 V_COARSE_PATTERN_ERR_N2(1U)
58958
58959#define S_COARSE_PATTERN_ERR_N3 5
58960#define V_COARSE_PATTERN_ERR_N3(x) ((x) << S_COARSE_PATTERN_ERR_N3)
58961#define F_COARSE_PATTERN_ERR_N3 V_COARSE_PATTERN_ERR_N3(1U)
58962
58963#define S_EYE_CLIPPING 4
58964#define V_EYE_CLIPPING(x) ((x) << S_EYE_CLIPPING)
58965#define F_EYE_CLIPPING V_EYE_CLIPPING(1U)
58966
58967#define S_NO_DQS 3
58968#define V_NO_DQS(x) ((x) << S_NO_DQS)
58969#define F_NO_DQS V_NO_DQS(1U)
58970
58971#define S_NO_LOCK 2
58972#define V_NO_LOCK(x) ((x) << S_NO_LOCK)
58973#define F_NO_LOCK V_NO_LOCK(1U)
58974
58975#define S_DRIFT_ERROR 1
58976#define V_DRIFT_ERROR(x) ((x) << S_DRIFT_ERROR)
58977#define F_DRIFT_ERROR V_DRIFT_ERROR(1U)
58978
58979#define S_MIN_EYE 0
58980#define V_MIN_EYE(x) ((x) << S_MIN_EYE)
58981#define F_MIN_EYE V_MIN_EYE(1U)
58982
58983#define A_MC_DDRPHY_DP18_RD_ERROR_MASK0 0x44054
58984
58985#define S_NO_EYE_DETECTED_MASK 15
58986#define V_NO_EYE_DETECTED_MASK(x) ((x) << S_NO_EYE_DETECTED_MASK)
58987#define F_NO_EYE_DETECTED_MASK V_NO_EYE_DETECTED_MASK(1U)
58988
58989#define S_LEADING_EDGE_FOUND_MASK 14
58990#define V_LEADING_EDGE_FOUND_MASK(x) ((x) << S_LEADING_EDGE_FOUND_MASK)
58991#define F_LEADING_EDGE_FOUND_MASK V_LEADING_EDGE_FOUND_MASK(1U)
58992
58993#define S_TRAILING_EDGE_FOUND_MASK 13
58994#define V_TRAILING_EDGE_FOUND_MASK(x) ((x) << S_TRAILING_EDGE_FOUND_MASK)
58995#define F_TRAILING_EDGE_FOUND_MASK V_TRAILING_EDGE_FOUND_MASK(1U)
58996
58997#define S_INCOMPLETE_RD_CAL_N0_MASK 12
58998#define V_INCOMPLETE_RD_CAL_N0_MASK(x) ((x) << S_INCOMPLETE_RD_CAL_N0_MASK)
58999#define F_INCOMPLETE_RD_CAL_N0_MASK V_INCOMPLETE_RD_CAL_N0_MASK(1U)
59000
59001#define S_INCOMPLETE_RD_CAL_N1_MASK 11
59002#define V_INCOMPLETE_RD_CAL_N1_MASK(x) ((x) << S_INCOMPLETE_RD_CAL_N1_MASK)
59003#define F_INCOMPLETE_RD_CAL_N1_MASK V_INCOMPLETE_RD_CAL_N1_MASK(1U)
59004
59005#define S_INCOMPLETE_RD_CAL_N2_MASK 10
59006#define V_INCOMPLETE_RD_CAL_N2_MASK(x) ((x) << S_INCOMPLETE_RD_CAL_N2_MASK)
59007#define F_INCOMPLETE_RD_CAL_N2_MASK V_INCOMPLETE_RD_CAL_N2_MASK(1U)
59008
59009#define S_INCOMPLETE_RD_CAL_N3_MASK 9
59010#define V_INCOMPLETE_RD_CAL_N3_MASK(x) ((x) << S_INCOMPLETE_RD_CAL_N3_MASK)
59011#define F_INCOMPLETE_RD_CAL_N3_MASK V_INCOMPLETE_RD_CAL_N3_MASK(1U)
59012
59013#define S_COARSE_PATTERN_ERR_N0_MASK 8
59014#define V_COARSE_PATTERN_ERR_N0_MASK(x) ((x) << S_COARSE_PATTERN_ERR_N0_MASK)
59015#define F_COARSE_PATTERN_ERR_N0_MASK V_COARSE_PATTERN_ERR_N0_MASK(1U)
59016
59017#define S_COARSE_PATTERN_ERR_N1_MASK 7
59018#define V_COARSE_PATTERN_ERR_N1_MASK(x) ((x) << S_COARSE_PATTERN_ERR_N1_MASK)
59019#define F_COARSE_PATTERN_ERR_N1_MASK V_COARSE_PATTERN_ERR_N1_MASK(1U)
59020
59021#define S_COARSE_PATTERN_ERR_N2_MASK 6
59022#define V_COARSE_PATTERN_ERR_N2_MASK(x) ((x) << S_COARSE_PATTERN_ERR_N2_MASK)
59023#define F_COARSE_PATTERN_ERR_N2_MASK V_COARSE_PATTERN_ERR_N2_MASK(1U)
59024
59025#define S_COARSE_PATTERN_ERR_N3_MASK 5
59026#define V_COARSE_PATTERN_ERR_N3_MASK(x) ((x) << S_COARSE_PATTERN_ERR_N3_MASK)
59027#define F_COARSE_PATTERN_ERR_N3_MASK V_COARSE_PATTERN_ERR_N3_MASK(1U)
59028
59029#define S_EYE_CLIPPING_MASK 4
59030#define V_EYE_CLIPPING_MASK(x) ((x) << S_EYE_CLIPPING_MASK)
59031#define F_EYE_CLIPPING_MASK V_EYE_CLIPPING_MASK(1U)
59032
59033#define S_NO_DQS_MASK 3
59034#define V_NO_DQS_MASK(x) ((x) << S_NO_DQS_MASK)
59035#define F_NO_DQS_MASK V_NO_DQS_MASK(1U)
59036
59037#define S_NO_LOCK_MASK 2
59038#define V_NO_LOCK_MASK(x) ((x) << S_NO_LOCK_MASK)
59039#define F_NO_LOCK_MASK V_NO_LOCK_MASK(1U)
59040
59041#define S_DRIFT_ERROR_MASK 1
59042#define V_DRIFT_ERROR_MASK(x) ((x) << S_DRIFT_ERROR_MASK)
59043#define F_DRIFT_ERROR_MASK V_DRIFT_ERROR_MASK(1U)
59044
59045#define S_MIN_EYE_MASK 0
59046#define V_MIN_EYE_MASK(x) ((x) << S_MIN_EYE_MASK)
59047#define F_MIN_EYE_MASK V_MIN_EYE_MASK(1U)
59048
59049#define A_MC_DDRPHY_DP18_WRCLK_CNTL 0x44058
59050
59051#define S_PRBS_WAIT 14
59052#define M_PRBS_WAIT 0x3U
59053#define V_PRBS_WAIT(x) ((x) << S_PRBS_WAIT)
59054#define G_PRBS_WAIT(x) (((x) >> S_PRBS_WAIT) & M_PRBS_WAIT)
59055
59056#define S_PRBS_SYNC_EARLY 13
59057#define V_PRBS_SYNC_EARLY(x) ((x) << S_PRBS_SYNC_EARLY)
59058#define F_PRBS_SYNC_EARLY V_PRBS_SYNC_EARLY(1U)
59059
59060#define S_RD_DELAY_EARLY 12
59061#define V_RD_DELAY_EARLY(x) ((x) << S_RD_DELAY_EARLY)
59062#define F_RD_DELAY_EARLY V_RD_DELAY_EARLY(1U)
59063
59064#define S_SS_QUAD_CAL 10
59065#define V_SS_QUAD_CAL(x) ((x) << S_SS_QUAD_CAL)
59066#define F_SS_QUAD_CAL V_SS_QUAD_CAL(1U)
59067
59068#define S_SS_QUAD 8
59069#define M_SS_QUAD 0x3U
59070#define V_SS_QUAD(x) ((x) << S_SS_QUAD)
59071#define G_SS_QUAD(x) (((x) >> S_SS_QUAD) & M_SS_QUAD)
59072
59073#define S_SS_RD_DELAY 7
59074#define V_SS_RD_DELAY(x) ((x) << S_SS_RD_DELAY)
59075#define F_SS_RD_DELAY V_SS_RD_DELAY(1U)
59076
59077#define S_FORCE_HI_Z 6
59078#define V_FORCE_HI_Z(x) ((x) << S_FORCE_HI_Z)
59079#define F_FORCE_HI_Z V_FORCE_HI_Z(1U)
59080
59081#define A_MC_DDRPHY_DP18_WR_LVL_STATUS0 0x4405c
59082
59083#define S_CLK_LEVEL 14
59084#define M_CLK_LEVEL 0x3U
59085#define V_CLK_LEVEL(x) ((x) << S_CLK_LEVEL)
59086#define G_CLK_LEVEL(x) (((x) >> S_CLK_LEVEL) & M_CLK_LEVEL)
59087
59088#define S_FINE_STEPPING 13
59089#define V_FINE_STEPPING(x) ((x) << S_FINE_STEPPING)
59090#define F_FINE_STEPPING V_FINE_STEPPING(1U)
59091
59092#define S_DONE 12
59093#define V_DONE(x) ((x) << S_DONE)
59094#define F_DONE V_DONE(1U)
59095
59096#define S_WL_ERR_CLK16_ST 11
59097#define V_WL_ERR_CLK16_ST(x) ((x) << S_WL_ERR_CLK16_ST)
59098#define F_WL_ERR_CLK16_ST V_WL_ERR_CLK16_ST(1U)
59099
59100#define S_WL_ERR_CLK18_ST 10
59101#define V_WL_ERR_CLK18_ST(x) ((x) << S_WL_ERR_CLK18_ST)
59102#define F_WL_ERR_CLK18_ST V_WL_ERR_CLK18_ST(1U)
59103
59104#define S_WL_ERR_CLK20_ST 9
59105#define V_WL_ERR_CLK20_ST(x) ((x) << S_WL_ERR_CLK20_ST)
59106#define F_WL_ERR_CLK20_ST V_WL_ERR_CLK20_ST(1U)
59107
59108#define S_WL_ERR_CLK22_ST 8
59109#define V_WL_ERR_CLK22_ST(x) ((x) << S_WL_ERR_CLK22_ST)
59110#define F_WL_ERR_CLK22_ST V_WL_ERR_CLK22_ST(1U)
59111
59112#define S_ZERO_DETECTED 7
59113#define V_ZERO_DETECTED(x) ((x) << S_ZERO_DETECTED)
59114#define F_ZERO_DETECTED V_ZERO_DETECTED(1U)
59115
59116#define S_WR_LVL_DONE 12
59117#define V_WR_LVL_DONE(x) ((x) << S_WR_LVL_DONE)
59118#define F_WR_LVL_DONE V_WR_LVL_DONE(1U)
59119
59120#define A_MC_DDRPHY_DP18_WR_CNTR_STATUS0 0x44060
59121
59122#define S_BIT_CENTERED 11
59123#define M_BIT_CENTERED 0x1fU
59124#define V_BIT_CENTERED(x) ((x) << S_BIT_CENTERED)
59125#define G_BIT_CENTERED(x) (((x) >> S_BIT_CENTERED) & M_BIT_CENTERED)
59126
59127#define S_SMALL_STEP_LEFT 10
59128#define V_SMALL_STEP_LEFT(x) ((x) << S_SMALL_STEP_LEFT)
59129#define F_SMALL_STEP_LEFT V_SMALL_STEP_LEFT(1U)
59130
59131#define S_BIG_STEP_RIGHT 9
59132#define V_BIG_STEP_RIGHT(x) ((x) << S_BIG_STEP_RIGHT)
59133#define F_BIG_STEP_RIGHT V_BIG_STEP_RIGHT(1U)
59134
59135#define S_MATCH_STEP_RIGHT 8
59136#define V_MATCH_STEP_RIGHT(x) ((x) << S_MATCH_STEP_RIGHT)
59137#define F_MATCH_STEP_RIGHT V_MATCH_STEP_RIGHT(1U)
59138
59139#define S_JUMP_BACK_RIGHT 7
59140#define V_JUMP_BACK_RIGHT(x) ((x) << S_JUMP_BACK_RIGHT)
59141#define F_JUMP_BACK_RIGHT V_JUMP_BACK_RIGHT(1U)
59142
59143#define S_SMALL_STEP_RIGHT 6
59144#define V_SMALL_STEP_RIGHT(x) ((x) << S_SMALL_STEP_RIGHT)
59145#define F_SMALL_STEP_RIGHT V_SMALL_STEP_RIGHT(1U)
59146
59147#define S_DDONE 5
59148#define V_DDONE(x) ((x) << S_DDONE)
59149#define F_DDONE V_DDONE(1U)
59150
59151#define S_WR_CNTR_DONE 5
59152#define V_WR_CNTR_DONE(x) ((x) << S_WR_CNTR_DONE)
59153#define F_WR_CNTR_DONE V_WR_CNTR_DONE(1U)
59154
59155#define A_MC_DDRPHY_DP18_WR_CNTR_STATUS1 0x44064
59156
59157#define S_FW_LEFT_SIDE 5
59158#define M_FW_LEFT_SIDE 0x7ffU
59159#define V_FW_LEFT_SIDE(x) ((x) << S_FW_LEFT_SIDE)
59160#define G_FW_LEFT_SIDE(x) (((x) >> S_FW_LEFT_SIDE) & M_FW_LEFT_SIDE)
59161
59162#define A_MC_DDRPHY_DP18_WR_CNTR_STATUS2 0x44068
59163
59164#define S_FW_RIGHT_SIDE 5
59165#define M_FW_RIGHT_SIDE 0x7ffU
59166#define V_FW_RIGHT_SIDE(x) ((x) << S_FW_RIGHT_SIDE)
59167#define G_FW_RIGHT_SIDE(x) (((x) >> S_FW_RIGHT_SIDE) & M_FW_RIGHT_SIDE)
59168
59169#define A_MC_DDRPHY_DP18_WR_ERROR0 0x4406c
59170
59171#define S_WL_ERR_CLK16 15
59172#define V_WL_ERR_CLK16(x) ((x) << S_WL_ERR_CLK16)
59173#define F_WL_ERR_CLK16 V_WL_ERR_CLK16(1U)
59174
59175#define S_WL_ERR_CLK18 14
59176#define V_WL_ERR_CLK18(x) ((x) << S_WL_ERR_CLK18)
59177#define F_WL_ERR_CLK18 V_WL_ERR_CLK18(1U)
59178
59179#define S_WL_ERR_CLK20 13
59180#define V_WL_ERR_CLK20(x) ((x) << S_WL_ERR_CLK20)
59181#define F_WL_ERR_CLK20 V_WL_ERR_CLK20(1U)
59182
59183#define S_WL_ERR_CLK22 12
59184#define V_WL_ERR_CLK22(x) ((x) << S_WL_ERR_CLK22)
59185#define F_WL_ERR_CLK22 V_WL_ERR_CLK22(1U)
59186
59187#define S_VALID_NS_BIG_L 7
59188#define V_VALID_NS_BIG_L(x) ((x) << S_VALID_NS_BIG_L)
59189#define F_VALID_NS_BIG_L V_VALID_NS_BIG_L(1U)
59190
59191#define S_INVALID_NS_SMALL_L 6
59192#define V_INVALID_NS_SMALL_L(x) ((x) << S_INVALID_NS_SMALL_L)
59193#define F_INVALID_NS_SMALL_L V_INVALID_NS_SMALL_L(1U)
59194
59195#define S_VALID_NS_BIG_R 5
59196#define V_VALID_NS_BIG_R(x) ((x) << S_VALID_NS_BIG_R)
59197#define F_VALID_NS_BIG_R V_VALID_NS_BIG_R(1U)
59198
59199#define S_INVALID_NS_BIG_R 4
59200#define V_INVALID_NS_BIG_R(x) ((x) << S_INVALID_NS_BIG_R)
59201#define F_INVALID_NS_BIG_R V_INVALID_NS_BIG_R(1U)
59202
59203#define S_VALID_NS_JUMP_BACK 3
59204#define V_VALID_NS_JUMP_BACK(x) ((x) << S_VALID_NS_JUMP_BACK)
59205#define F_VALID_NS_JUMP_BACK V_VALID_NS_JUMP_BACK(1U)
59206
59207#define S_INVALID_NS_SMALL_R 2
59208#define V_INVALID_NS_SMALL_R(x) ((x) << S_INVALID_NS_SMALL_R)
59209#define F_INVALID_NS_SMALL_R V_INVALID_NS_SMALL_R(1U)
59210
59211#define S_OFFSET_ERR 1
59212#define V_OFFSET_ERR(x) ((x) << S_OFFSET_ERR)
59213#define F_OFFSET_ERR V_OFFSET_ERR(1U)
59214
59215#define A_MC_DDRPHY_DP18_WR_ERROR_MASK0 0x44070
59216
59217#define S_WL_ERR_CLK16_MASK 15
59218#define V_WL_ERR_CLK16_MASK(x) ((x) << S_WL_ERR_CLK16_MASK)
59219#define F_WL_ERR_CLK16_MASK V_WL_ERR_CLK16_MASK(1U)
59220
59221#define S_WL_ERR_CLK18_MASK 14
59222#define V_WL_ERR_CLK18_MASK(x) ((x) << S_WL_ERR_CLK18_MASK)
59223#define F_WL_ERR_CLK18_MASK V_WL_ERR_CLK18_MASK(1U)
59224
59225#define S_WL_ERR_CLK20_MASK 13
59226#define V_WL_ERR_CLK20_MASK(x) ((x) << S_WL_ERR_CLK20_MASK)
59227#define F_WL_ERR_CLK20_MASK V_WL_ERR_CLK20_MASK(1U)
59228
59229#define S_WR_ERR_CLK22_MASK 12
59230#define V_WR_ERR_CLK22_MASK(x) ((x) << S_WR_ERR_CLK22_MASK)
59231#define F_WR_ERR_CLK22_MASK V_WR_ERR_CLK22_MASK(1U)
59232
59233#define S_VALID_NS_BIG_L_MASK 7
59234#define V_VALID_NS_BIG_L_MASK(x) ((x) << S_VALID_NS_BIG_L_MASK)
59235#define F_VALID_NS_BIG_L_MASK V_VALID_NS_BIG_L_MASK(1U)
59236
59237#define S_INVALID_NS_SMALL_L_MASK 6
59238#define V_INVALID_NS_SMALL_L_MASK(x) ((x) << S_INVALID_NS_SMALL_L_MASK)
59239#define F_INVALID_NS_SMALL_L_MASK V_INVALID_NS_SMALL_L_MASK(1U)
59240
59241#define S_VALID_NS_BIG_R_MASK 5
59242#define V_VALID_NS_BIG_R_MASK(x) ((x) << S_VALID_NS_BIG_R_MASK)
59243#define F_VALID_NS_BIG_R_MASK V_VALID_NS_BIG_R_MASK(1U)
59244
59245#define S_INVALID_NS_BIG_R_MASK 4
59246#define V_INVALID_NS_BIG_R_MASK(x) ((x) << S_INVALID_NS_BIG_R_MASK)
59247#define F_INVALID_NS_BIG_R_MASK V_INVALID_NS_BIG_R_MASK(1U)
59248
59249#define S_VALID_NS_JUMP_BACK_MASK 3
59250#define V_VALID_NS_JUMP_BACK_MASK(x) ((x) << S_VALID_NS_JUMP_BACK_MASK)
59251#define F_VALID_NS_JUMP_BACK_MASK V_VALID_NS_JUMP_BACK_MASK(1U)
59252
59253#define S_INVALID_NS_SMALL_R_MASK 2
59254#define V_INVALID_NS_SMALL_R_MASK(x) ((x) << S_INVALID_NS_SMALL_R_MASK)
59255#define F_INVALID_NS_SMALL_R_MASK V_INVALID_NS_SMALL_R_MASK(1U)
59256
59257#define S_OFFSET_ERR_MASK 1
59258#define V_OFFSET_ERR_MASK(x) ((x) << S_OFFSET_ERR_MASK)
59259#define F_OFFSET_ERR_MASK V_OFFSET_ERR_MASK(1U)
59260
59261#define S_DQS_REC_LOW_POWER 11
59262#define V_DQS_REC_LOW_POWER(x) ((x) << S_DQS_REC_LOW_POWER)
59263#define F_DQS_REC_LOW_POWER V_DQS_REC_LOW_POWER(1U)
59264
59265#define S_DQ_REC_LOW_POWER 10
59266#define V_DQ_REC_LOW_POWER(x) ((x) << S_DQ_REC_LOW_POWER)
59267#define F_DQ_REC_LOW_POWER V_DQ_REC_LOW_POWER(1U)
59268
59269#define S_ADVANCE_PR_VALUE 0
59270#define V_ADVANCE_PR_VALUE(x) ((x) << S_ADVANCE_PR_VALUE)
59271#define F_ADVANCE_PR_VALUE V_ADVANCE_PR_VALUE(1U)
59272
59273#define A_MC_DDRPHY_DP18_DFT_WRAP_STATUS 0x44074
59274
59275#define S_CHECKER_RESET 14
59276#define V_CHECKER_RESET(x) ((x) << S_CHECKER_RESET)
59277#define F_CHECKER_RESET V_CHECKER_RESET(1U)
59278
59279#define S_DP18_DFT_SYNC 6
59280#define M_DP18_DFT_SYNC 0x3fU
59281#define V_DP18_DFT_SYNC(x) ((x) << S_DP18_DFT_SYNC)
59282#define G_DP18_DFT_SYNC(x) (((x) >> S_DP18_DFT_SYNC) & M_DP18_DFT_SYNC)
59283
59284#define S_ERROR 0
59285#define M_ERROR 0x3fU
59286#define V_ERROR(x) ((x) << S_ERROR)
59287#define G_ERROR(x) (((x) >> S_ERROR) & M_ERROR)
59288
59289#define S_CHECKER_ENABLE 15
59290#define V_CHECKER_ENABLE(x) ((x) << S_CHECKER_ENABLE)
59291#define F_CHECKER_ENABLE V_CHECKER_ENABLE(1U)
59292
59293#define S_DP18_DFT_ERROR 0
59294#define M_DP18_DFT_ERROR 0x3fU
59295#define V_DP18_DFT_ERROR(x) ((x) << S_DP18_DFT_ERROR)
59296#define G_DP18_DFT_ERROR(x) (((x) >> S_DP18_DFT_ERROR) & M_DP18_DFT_ERROR)
59297
59298#define A_MC_DDRPHY_DP18_RD_DIA_CONFIG0 0x44078
59299
59300#define S_SYSCLK_RDCLK_OFFSET 8
59301#define M_SYSCLK_RDCLK_OFFSET 0x7fU
59302#define V_SYSCLK_RDCLK_OFFSET(x) ((x) << S_SYSCLK_RDCLK_OFFSET)
59303#define G_SYSCLK_RDCLK_OFFSET(x) (((x) >> S_SYSCLK_RDCLK_OFFSET) & M_SYSCLK_RDCLK_OFFSET)
59304
59305#define S_SYSCLK_DQSCLK_OFFSET 0
59306#define M_SYSCLK_DQSCLK_OFFSET 0x7fU
59307#define V_SYSCLK_DQSCLK_OFFSET(x) ((x) << S_SYSCLK_DQSCLK_OFFSET)
59308#define G_SYSCLK_DQSCLK_OFFSET(x) (((x) >> S_SYSCLK_DQSCLK_OFFSET) & M_SYSCLK_DQSCLK_OFFSET)
59309
59310#define S_T6_SYSCLK_DQSCLK_OFFSET 8
59311#define M_T6_SYSCLK_DQSCLK_OFFSET 0x7fU
59312#define V_T6_SYSCLK_DQSCLK_OFFSET(x) ((x) << S_T6_SYSCLK_DQSCLK_OFFSET)
59313#define G_T6_SYSCLK_DQSCLK_OFFSET(x) (((x) >> S_T6_SYSCLK_DQSCLK_OFFSET) & M_T6_SYSCLK_DQSCLK_OFFSET)
59314
59315#define S_T6_SYSCLK_RDCLK_OFFSET 0
59316#define M_T6_SYSCLK_RDCLK_OFFSET 0x7fU
59317#define V_T6_SYSCLK_RDCLK_OFFSET(x) ((x) << S_T6_SYSCLK_RDCLK_OFFSET)
59318#define G_T6_SYSCLK_RDCLK_OFFSET(x) (((x) >> S_T6_SYSCLK_RDCLK_OFFSET) & M_T6_SYSCLK_RDCLK_OFFSET)
59319
59320#define A_MC_DDRPHY_DP18_WRCLK_AUX_CNTL 0x4407c
59321#define A_MC_DDRPHY_DP18_DQSCLK_PR0_RANK_PAIR 0x440c0
59322
59323#define S_DQSCLK_ROT_CLK_N0_N2 8
59324#define M_DQSCLK_ROT_CLK_N0_N2 0x7fU
59325#define V_DQSCLK_ROT_CLK_N0_N2(x) ((x) << S_DQSCLK_ROT_CLK_N0_N2)
59326#define G_DQSCLK_ROT_CLK_N0_N2(x) (((x) >> S_DQSCLK_ROT_CLK_N0_N2) & M_DQSCLK_ROT_CLK_N0_N2)
59327
59328#define S_DQSCLK_ROT_CLK_N1_N3 0
59329#define M_DQSCLK_ROT_CLK_N1_N3 0x7fU
59330#define V_DQSCLK_ROT_CLK_N1_N3(x) ((x) << S_DQSCLK_ROT_CLK_N1_N3)
59331#define G_DQSCLK_ROT_CLK_N1_N3(x) (((x) >> S_DQSCLK_ROT_CLK_N1_N3) & M_DQSCLK_ROT_CLK_N1_N3)
59332
59333#define A_MC_DDRPHY_DP18_DQSCLK_PR1_RANK_PAIR 0x440c4
59334#define A_MC_DDRPHY_DP18_PATTERN_POS_0 0x440c8
59335
59336#define S_MEMINTD00_POS 14
59337#define M_MEMINTD00_POS 0x3U
59338#define V_MEMINTD00_POS(x) ((x) << S_MEMINTD00_POS)
59339#define G_MEMINTD00_POS(x) (((x) >> S_MEMINTD00_POS) & M_MEMINTD00_POS)
59340
59341#define S_MEMINTD01_PO 12
59342#define M_MEMINTD01_PO 0x3U
59343#define V_MEMINTD01_PO(x) ((x) << S_MEMINTD01_PO)
59344#define G_MEMINTD01_PO(x) (((x) >> S_MEMINTD01_PO) & M_MEMINTD01_PO)
59345
59346#define S_MEMINTD02_POS 10
59347#define M_MEMINTD02_POS 0x3U
59348#define V_MEMINTD02_POS(x) ((x) << S_MEMINTD02_POS)
59349#define G_MEMINTD02_POS(x) (((x) >> S_MEMINTD02_POS) & M_MEMINTD02_POS)
59350
59351#define S_MEMINTD03_POS 8
59352#define M_MEMINTD03_POS 0x3U
59353#define V_MEMINTD03_POS(x) ((x) << S_MEMINTD03_POS)
59354#define G_MEMINTD03_POS(x) (((x) >> S_MEMINTD03_POS) & M_MEMINTD03_POS)
59355
59356#define S_MEMINTD04_POS 6
59357#define M_MEMINTD04_POS 0x3U
59358#define V_MEMINTD04_POS(x) ((x) << S_MEMINTD04_POS)
59359#define G_MEMINTD04_POS(x) (((x) >> S_MEMINTD04_POS) & M_MEMINTD04_POS)
59360
59361#define S_MEMINTD05_POS 4
59362#define M_MEMINTD05_POS 0x3U
59363#define V_MEMINTD05_POS(x) ((x) << S_MEMINTD05_POS)
59364#define G_MEMINTD05_POS(x) (((x) >> S_MEMINTD05_POS) & M_MEMINTD05_POS)
59365
59366#define S_MEMINTD06_POS 2
59367#define M_MEMINTD06_POS 0x3U
59368#define V_MEMINTD06_POS(x) ((x) << S_MEMINTD06_POS)
59369#define G_MEMINTD06_POS(x) (((x) >> S_MEMINTD06_POS) & M_MEMINTD06_POS)
59370
59371#define S_MEMINTD07_POS 0
59372#define M_MEMINTD07_POS 0x3U
59373#define V_MEMINTD07_POS(x) ((x) << S_MEMINTD07_POS)
59374#define G_MEMINTD07_POS(x) (((x) >> S_MEMINTD07_POS) & M_MEMINTD07_POS)
59375
59376#define A_MC_DDRPHY_DP18_PATTERN_POS_1 0x440cc
59377
59378#define S_MEMINTD08_POS 14
59379#define M_MEMINTD08_POS 0x3U
59380#define V_MEMINTD08_POS(x) ((x) << S_MEMINTD08_POS)
59381#define G_MEMINTD08_POS(x) (((x) >> S_MEMINTD08_POS) & M_MEMINTD08_POS)
59382
59383#define S_MEMINTD09_POS 12
59384#define M_MEMINTD09_POS 0x3U
59385#define V_MEMINTD09_POS(x) ((x) << S_MEMINTD09_POS)
59386#define G_MEMINTD09_POS(x) (((x) >> S_MEMINTD09_POS) & M_MEMINTD09_POS)
59387
59388#define S_MEMINTD10_POS 10
59389#define M_MEMINTD10_POS 0x3U
59390#define V_MEMINTD10_POS(x) ((x) << S_MEMINTD10_POS)
59391#define G_MEMINTD10_POS(x) (((x) >> S_MEMINTD10_POS) & M_MEMINTD10_POS)
59392
59393#define S_MEMINTD11_POS 8
59394#define M_MEMINTD11_POS 0x3U
59395#define V_MEMINTD11_POS(x) ((x) << S_MEMINTD11_POS)
59396#define G_MEMINTD11_POS(x) (((x) >> S_MEMINTD11_POS) & M_MEMINTD11_POS)
59397
59398#define S_MEMINTD12_POS 6
59399#define M_MEMINTD12_POS 0x3U
59400#define V_MEMINTD12_POS(x) ((x) << S_MEMINTD12_POS)
59401#define G_MEMINTD12_POS(x) (((x) >> S_MEMINTD12_POS) & M_MEMINTD12_POS)
59402
59403#define S_MEMINTD13_POS 4
59404#define M_MEMINTD13_POS 0x3U
59405#define V_MEMINTD13_POS(x) ((x) << S_MEMINTD13_POS)
59406#define G_MEMINTD13_POS(x) (((x) >> S_MEMINTD13_POS) & M_MEMINTD13_POS)
59407
59408#define S_MEMINTD14_POS 2
59409#define M_MEMINTD14_POS 0x3U
59410#define V_MEMINTD14_POS(x) ((x) << S_MEMINTD14_POS)
59411#define G_MEMINTD14_POS(x) (((x) >> S_MEMINTD14_POS) & M_MEMINTD14_POS)
59412
59413#define S_MEMINTD15_POS 0
59414#define M_MEMINTD15_POS 0x3U
59415#define V_MEMINTD15_POS(x) ((x) << S_MEMINTD15_POS)
59416#define G_MEMINTD15_POS(x) (((x) >> S_MEMINTD15_POS) & M_MEMINTD15_POS)
59417
59418#define A_MC_DDRPHY_DP18_PATTERN_POS_2 0x440d0
59419
59420#define S_MEMINTD16_POS 14
59421#define M_MEMINTD16_POS 0x3U
59422#define V_MEMINTD16_POS(x) ((x) << S_MEMINTD16_POS)
59423#define G_MEMINTD16_POS(x) (((x) >> S_MEMINTD16_POS) & M_MEMINTD16_POS)
59424
59425#define S_MEMINTD17_POS 12
59426#define M_MEMINTD17_POS 0x3U
59427#define V_MEMINTD17_POS(x) ((x) << S_MEMINTD17_POS)
59428#define G_MEMINTD17_POS(x) (((x) >> S_MEMINTD17_POS) & M_MEMINTD17_POS)
59429
59430#define S_MEMINTD18_POS 10
59431#define M_MEMINTD18_POS 0x3U
59432#define V_MEMINTD18_POS(x) ((x) << S_MEMINTD18_POS)
59433#define G_MEMINTD18_POS(x) (((x) >> S_MEMINTD18_POS) & M_MEMINTD18_POS)
59434
59435#define S_MEMINTD19_POS 8
59436#define M_MEMINTD19_POS 0x3U
59437#define V_MEMINTD19_POS(x) ((x) << S_MEMINTD19_POS)
59438#define G_MEMINTD19_POS(x) (((x) >> S_MEMINTD19_POS) & M_MEMINTD19_POS)
59439
59440#define S_MEMINTD20_POS 6
59441#define M_MEMINTD20_POS 0x3U
59442#define V_MEMINTD20_POS(x) ((x) << S_MEMINTD20_POS)
59443#define G_MEMINTD20_POS(x) (((x) >> S_MEMINTD20_POS) & M_MEMINTD20_POS)
59444
59445#define S_MEMINTD21_POS 4
59446#define M_MEMINTD21_POS 0x3U
59447#define V_MEMINTD21_POS(x) ((x) << S_MEMINTD21_POS)
59448#define G_MEMINTD21_POS(x) (((x) >> S_MEMINTD21_POS) & M_MEMINTD21_POS)
59449
59450#define S_MEMINTD22_POS 2
59451#define M_MEMINTD22_POS 0x3U
59452#define V_MEMINTD22_POS(x) ((x) << S_MEMINTD22_POS)
59453#define G_MEMINTD22_POS(x) (((x) >> S_MEMINTD22_POS) & M_MEMINTD22_POS)
59454
59455#define S_MEMINTD23_POS 0
59456#define M_MEMINTD23_POS 0x3U
59457#define V_MEMINTD23_POS(x) ((x) << S_MEMINTD23_POS)
59458#define G_MEMINTD23_POS(x) (((x) >> S_MEMINTD23_POS) & M_MEMINTD23_POS)
59459
59460#define A_MC_DDRPHY_DP18_RD_DIA_CONFIG1 0x440d4
59461
59462#define S_DQS_ALIGN_SM 11
59463#define M_DQS_ALIGN_SM 0x1fU
59464#define V_DQS_ALIGN_SM(x) ((x) << S_DQS_ALIGN_SM)
59465#define G_DQS_ALIGN_SM(x) (((x) >> S_DQS_ALIGN_SM) & M_DQS_ALIGN_SM)
59466
59467#define S_DQS_ALIGN_CNTR 7
59468#define M_DQS_ALIGN_CNTR 0xfU
59469#define V_DQS_ALIGN_CNTR(x) ((x) << S_DQS_ALIGN_CNTR)
59470#define G_DQS_ALIGN_CNTR(x) (((x) >> S_DQS_ALIGN_CNTR) & M_DQS_ALIGN_CNTR)
59471
59472#define S_ITERATION_CNTR 6
59473#define V_ITERATION_CNTR(x) ((x) << S_ITERATION_CNTR)
59474#define F_ITERATION_CNTR V_ITERATION_CNTR(1U)
59475
59476#define S_DQS_ALIGN_ITER_CNTR 0
59477#define M_DQS_ALIGN_ITER_CNTR 0x3fU
59478#define V_DQS_ALIGN_ITER_CNTR(x) ((x) << S_DQS_ALIGN_ITER_CNTR)
59479#define G_DQS_ALIGN_ITER_CNTR(x) (((x) >> S_DQS_ALIGN_ITER_CNTR) & M_DQS_ALIGN_ITER_CNTR)
59480
59481#define A_MC_DDRPHY_DP18_RD_DIA_CONFIG2 0x440d8
59482
59483#define S_CALIBRATE_BIT 13
59484#define M_CALIBRATE_BIT 0x7U
59485#define V_CALIBRATE_BIT(x) ((x) << S_CALIBRATE_BIT)
59486#define G_CALIBRATE_BIT(x) (((x) >> S_CALIBRATE_BIT) & M_CALIBRATE_BIT)
59487
59488#define S_DQS_ALIGN_QUAD 11
59489#define M_DQS_ALIGN_QUAD 0x3U
59490#define V_DQS_ALIGN_QUAD(x) ((x) << S_DQS_ALIGN_QUAD)
59491#define G_DQS_ALIGN_QUAD(x) (((x) >> S_DQS_ALIGN_QUAD) & M_DQS_ALIGN_QUAD)
59492
59493#define S_DQS_QUAD_CONFIG 8
59494#define M_DQS_QUAD_CONFIG 0x7U
59495#define V_DQS_QUAD_CONFIG(x) ((x) << S_DQS_QUAD_CONFIG)
59496#define G_DQS_QUAD_CONFIG(x) (((x) >> S_DQS_QUAD_CONFIG) & M_DQS_QUAD_CONFIG)
59497
59498#define S_OPERATE_MODE 4
59499#define M_OPERATE_MODE 0xfU
59500#define V_OPERATE_MODE(x) ((x) << S_OPERATE_MODE)
59501#define G_OPERATE_MODE(x) (((x) >> S_OPERATE_MODE) & M_OPERATE_MODE)
59502
59503#define S_EN_DQS_OFFSET 3
59504#define V_EN_DQS_OFFSET(x) ((x) << S_EN_DQS_OFFSET)
59505#define F_EN_DQS_OFFSET V_EN_DQS_OFFSET(1U)
59506
59507#define S_DQS_ALIGN_JITTER 2
59508#define V_DQS_ALIGN_JITTER(x) ((x) << S_DQS_ALIGN_JITTER)
59509#define F_DQS_ALIGN_JITTER V_DQS_ALIGN_JITTER(1U)
59510
59511#define S_DIS_CLK_GATE 1
59512#define V_DIS_CLK_GATE(x) ((x) << S_DIS_CLK_GATE)
59513#define F_DIS_CLK_GATE V_DIS_CLK_GATE(1U)
59514
59515#define S_MAX_DQS_ITER 0
59516#define V_MAX_DQS_ITER(x) ((x) << S_MAX_DQS_ITER)
59517#define F_MAX_DQS_ITER V_MAX_DQS_ITER(1U)
59518
59519#define A_MC_DDRPHY_DP18_DQSCLK_OFFSET 0x440dc
59520
59521#define S_DQS_OFFSET 8
59522#define M_DQS_OFFSET 0x7fU
59523#define V_DQS_OFFSET(x) ((x) << S_DQS_OFFSET)
59524#define G_DQS_OFFSET(x) (((x) >> S_DQS_OFFSET) & M_DQS_OFFSET)
59525
59526#define A_MC_DDRPHY_DP18_WR_DELAY_VALUE_0_RP 0x440e0
59527
59528#define S_WR_DELAY 6
59529#define M_WR_DELAY 0x3ffU
59530#define V_WR_DELAY(x) ((x) << S_WR_DELAY)
59531#define G_WR_DELAY(x) (((x) >> S_WR_DELAY) & M_WR_DELAY)
59532
59533#define A_MC_DDRPHY_DP18_WR_DELAY_VALUE_1_RP 0x440e4
59534#define A_MC_DDRPHY_DP18_WR_DELAY_VALUE_2_RP 0x440e8
59535#define A_MC_DDRPHY_DP18_WR_DELAY_VALUE_3_RP 0x440ec
59536#define A_MC_DDRPHY_DP18_WR_DELAY_VALUE_4_RP 0x440f0
59537#define A_MC_DDRPHY_DP18_WR_DELAY_VALUE_5_RP 0x440f4
59538#define A_MC_DDRPHY_DP18_WR_DELAY_VALUE_6_RP 0x440f8
59539#define A_MC_DDRPHY_DP18_WR_DELAY_VALUE_7_RP 0x440fc
59540#define A_MC_DDRPHY_DP18_WR_DELAY_VALUE_8_RP 0x44100
59541#define A_MC_DDRPHY_DP18_WR_DELAY_VALUE_9_RP 0x44104
59542#define A_MC_DDRPHY_DP18_WR_DELAY_VALUE_10_RP 0x44108
59543#define A_MC_DDRPHY_DP18_WR_DELAY_VALUE_11_RP 0x4410c
59544#define A_MC_DDRPHY_DP18_WR_DELAY_VALUE_12_RP 0x44110
59545#define A_MC_DDRPHY_DP18_WR_DELAY_VALUE_13_RP 0x44114
59546#define A_MC_DDRPHY_DP18_WR_DELAY_VALUE_14_RP 0x44118
59547#define A_MC_DDRPHY_DP18_WR_DELAY_VALUE_15_RP 0x4411c
59548#define A_MC_DDRPHY_DP18_WR_DELAY_VALUE_16_RP 0x44120
59549#define A_MC_DDRPHY_DP18_WR_DELAY_VALUE_17_RP 0x44124
59550#define A_MC_DDRPHY_DP18_WR_DELAY_VALUE_18_RP 0x44128
59551#define A_MC_DDRPHY_DP18_WR_DELAY_VALUE_19_RP 0x4412c
59552#define A_MC_DDRPHY_DP18_WR_DELAY_VALUE_20_RP 0x44130
59553#define A_MC_DDRPHY_DP18_WR_DELAY_VALUE_21_RP 0x44134
59554#define A_MC_DDRPHY_DP18_WR_DELAY_VALUE_22_RP 0x44138
59555#define A_MC_DDRPHY_DP18_WR_DELAY_VALUE_23_RP 0x4413c
59556#define A_MC_DDRPHY_DP18_READ_DELAY0_RANK_PAIR 0x44140
59557
59558#define S_RD_DELAY_BITS0_6 9
59559#define M_RD_DELAY_BITS0_6 0x7fU
59560#define V_RD_DELAY_BITS0_6(x) ((x) << S_RD_DELAY_BITS0_6)
59561#define G_RD_DELAY_BITS0_6(x) (((x) >> S_RD_DELAY_BITS0_6) & M_RD_DELAY_BITS0_6)
59562
59563#define S_RD_DELAY_BITS8_14 1
59564#define M_RD_DELAY_BITS8_14 0x7fU
59565#define V_RD_DELAY_BITS8_14(x) ((x) << S_RD_DELAY_BITS8_14)
59566#define G_RD_DELAY_BITS8_14(x) (((x) >> S_RD_DELAY_BITS8_14) & M_RD_DELAY_BITS8_14)
59567
59568#define A_MC_DDRPHY_DP18_READ_DELAY1_RANK_PAIR 0x44144
59569#define A_MC_DDRPHY_DP18_READ_DELAY2_RANK_PAIR 0x44148
59570#define A_MC_DDRPHY_DP18_READ_DELAY3_RANK_PAIR 0x4414c
59571#define A_MC_DDRPHY_DP18_READ_DELAY4_RANK_PAIR 0x44150
59572#define A_MC_DDRPHY_DP18_READ_DELAY5_RANK_PAIR 0x44154
59573#define A_MC_DDRPHY_DP18_READ_DELAY6_RANK_PAIR 0x44158
59574#define A_MC_DDRPHY_DP18_READ_DELAY7_RANK_PAIR 0x4415c
59575#define A_MC_DDRPHY_DP18_READ_DELAY8_RANK_PAIR 0x44160
59576#define A_MC_DDRPHY_DP18_READ_DELAY9_RANK_PAIR 0x44164
59577#define A_MC_DDRPHY_DP18_READ_DELAY10_RANK_PAIR 0x44168
59578#define A_MC_DDRPHY_DP18_READ_DELAY11_RANK_PAIR 0x4416c
59579#define A_MC_DDRPHY_DP18_INITIAL_DQS_ALIGN0_RANK_PAIR 0x44170
59580
59581#define S_INITIAL_DQS_ROT_N0_N2 8
59582#define M_INITIAL_DQS_ROT_N0_N2 0x7fU
59583#define V_INITIAL_DQS_ROT_N0_N2(x) ((x) << S_INITIAL_DQS_ROT_N0_N2)
59584#define G_INITIAL_DQS_ROT_N0_N2(x) (((x) >> S_INITIAL_DQS_ROT_N0_N2) & M_INITIAL_DQS_ROT_N0_N2)
59585
59586#define S_INITIAL_DQS_ROT_N1_N3 0
59587#define M_INITIAL_DQS_ROT_N1_N3 0x7fU
59588#define V_INITIAL_DQS_ROT_N1_N3(x) ((x) << S_INITIAL_DQS_ROT_N1_N3)
59589#define G_INITIAL_DQS_ROT_N1_N3(x) (((x) >> S_INITIAL_DQS_ROT_N1_N3) & M_INITIAL_DQS_ROT_N1_N3)
59590
59591#define A_MC_DDRPHY_DP18_INITIAL_DQS_ALIGN1_RANK_PAIR 0x44174
59592#define A_MC_DDRPHY_DP18_WRCLK_STATUS 0x44178
59593
59594#define S_WRCLK_CALIB_DONE 15
59595#define V_WRCLK_CALIB_DONE(x) ((x) << S_WRCLK_CALIB_DONE)
59596#define F_WRCLK_CALIB_DONE V_WRCLK_CALIB_DONE(1U)
59597
59598#define S_VALUE_UPDATED 14
59599#define V_VALUE_UPDATED(x) ((x) << S_VALUE_UPDATED)
59600#define F_VALUE_UPDATED V_VALUE_UPDATED(1U)
59601
59602#define S_FAIL_PASS_V 13
59603#define V_FAIL_PASS_V(x) ((x) << S_FAIL_PASS_V)
59604#define F_FAIL_PASS_V V_FAIL_PASS_V(1U)
59605
59606#define S_PASS_FAIL_V 12
59607#define V_PASS_FAIL_V(x) ((x) << S_PASS_FAIL_V)
59608#define F_PASS_FAIL_V V_PASS_FAIL_V(1U)
59609
59610#define S_FP_PF_EDGE_NF 11
59611#define V_FP_PF_EDGE_NF(x) ((x) << S_FP_PF_EDGE_NF)
59612#define F_FP_PF_EDGE_NF V_FP_PF_EDGE_NF(1U)
59613
59614#define S_NON_SYMETRIC 10
59615#define V_NON_SYMETRIC(x) ((x) << S_NON_SYMETRIC)
59616#define F_NON_SYMETRIC V_NON_SYMETRIC(1U)
59617
59618#define S_FULL_RANGE 8
59619#define V_FULL_RANGE(x) ((x) << S_FULL_RANGE)
59620#define F_FULL_RANGE V_FULL_RANGE(1U)
59621
59622#define S_QUAD3_EDGES 7
59623#define V_QUAD3_EDGES(x) ((x) << S_QUAD3_EDGES)
59624#define F_QUAD3_EDGES V_QUAD3_EDGES(1U)
59625
59626#define S_QUAD2_EDGES 6
59627#define V_QUAD2_EDGES(x) ((x) << S_QUAD2_EDGES)
59628#define F_QUAD2_EDGES V_QUAD2_EDGES(1U)
59629
59630#define S_QUAD1_EDGES 5
59631#define V_QUAD1_EDGES(x) ((x) << S_QUAD1_EDGES)
59632#define F_QUAD1_EDGES V_QUAD1_EDGES(1U)
59633
59634#define S_QUAD0_EDGES 4
59635#define V_QUAD0_EDGES(x) ((x) << S_QUAD0_EDGES)
59636#define F_QUAD0_EDGES V_QUAD0_EDGES(1U)
59637
59638#define S_QUAD3_CAVEAT 3
59639#define V_QUAD3_CAVEAT(x) ((x) << S_QUAD3_CAVEAT)
59640#define F_QUAD3_CAVEAT V_QUAD3_CAVEAT(1U)
59641
59642#define S_QUAD2_CAVEAT 2
59643#define V_QUAD2_CAVEAT(x) ((x) << S_QUAD2_CAVEAT)
59644#define F_QUAD2_CAVEAT V_QUAD2_CAVEAT(1U)
59645
59646#define S_QUAD1_CAVEAT 1
59647#define V_QUAD1_CAVEAT(x) ((x) << S_QUAD1_CAVEAT)
59648#define F_QUAD1_CAVEAT V_QUAD1_CAVEAT(1U)
59649
59650#define S_QUAD0_CAVEAT 0
59651#define V_QUAD0_CAVEAT(x) ((x) << S_QUAD0_CAVEAT)
59652#define F_QUAD0_CAVEAT V_QUAD0_CAVEAT(1U)
59653
59654#define A_MC_DDRPHY_DP18_WRCLK_EDGE 0x4417c
59655
59656#define S_FAIL_PASS_VALUE 8
59657#define M_FAIL_PASS_VALUE 0x7fU
59658#define V_FAIL_PASS_VALUE(x) ((x) << S_FAIL_PASS_VALUE)
59659#define G_FAIL_PASS_VALUE(x) (((x) >> S_FAIL_PASS_VALUE) & M_FAIL_PASS_VALUE)
59660
59661#define S_PASS_FAIL_VALUE 0
59662#define M_PASS_FAIL_VALUE 0xffU
59663#define V_PASS_FAIL_VALUE(x) ((x) << S_PASS_FAIL_VALUE)
59664#define G_PASS_FAIL_VALUE(x) (((x) >> S_PASS_FAIL_VALUE) & M_PASS_FAIL_VALUE)
59665
59666#define A_MC_DDRPHY_DP18_READ_EYE_SIZE0_RANK_PAIR 0x44180
59667
59668#define S_RD_EYE_SIZE_BITS2_7 8
59669#define M_RD_EYE_SIZE_BITS2_7 0x3fU
59670#define V_RD_EYE_SIZE_BITS2_7(x) ((x) << S_RD_EYE_SIZE_BITS2_7)
59671#define G_RD_EYE_SIZE_BITS2_7(x) (((x) >> S_RD_EYE_SIZE_BITS2_7) & M_RD_EYE_SIZE_BITS2_7)
59672
59673#define S_RD_EYE_SIZE_BITS10_15 0
59674#define M_RD_EYE_SIZE_BITS10_15 0x3fU
59675#define V_RD_EYE_SIZE_BITS10_15(x) ((x) << S_RD_EYE_SIZE_BITS10_15)
59676#define G_RD_EYE_SIZE_BITS10_15(x) (((x) >> S_RD_EYE_SIZE_BITS10_15) & M_RD_EYE_SIZE_BITS10_15)
59677
59678#define A_MC_DDRPHY_DP18_READ_EYE_SIZE1_RANK_PAIR 0x44184
59679#define A_MC_DDRPHY_DP18_READ_EYE_SIZE2_RANK_PAIR 0x44188
59680#define A_MC_DDRPHY_DP18_READ_EYE_SIZE3_RANK_PAIR 0x4418c
59681#define A_MC_DDRPHY_DP18_READ_EYE_SIZE4_RANK_PAIR 0x44190
59682#define A_MC_DDRPHY_DP18_READ_EYE_SIZE5_RANK_PAIR 0x44194
59683#define A_MC_DDRPHY_DP18_READ_EYE_SIZE6_RANK_PAIR 0x44198
59684#define A_MC_DDRPHY_DP18_READ_EYE_SIZE7_RANK_PAIR 0x4419c
59685#define A_MC_DDRPHY_DP18_READ_EYE_SIZE8_RANK_PAIR 0x441a0
59686#define A_MC_DDRPHY_DP18_READ_EYE_SIZE9_RANK_PAIR 0x441a4
59687#define A_MC_DDRPHY_DP18_READ_EYE_SIZE10_RANK_PAIR 0x441a8
59688#define A_MC_DDRPHY_DP18_READ_EYE_SIZE11_RANK_PAIR 0x441ac
59689#define A_MC_DDRPHY_DP18_RD_DIA_CONFIG3 0x441b4
59690
59691#define S_DESIRED_EDGE_CNTR_TARGET_HIGH 8
59692#define M_DESIRED_EDGE_CNTR_TARGET_HIGH 0xffU
59693#define V_DESIRED_EDGE_CNTR_TARGET_HIGH(x) ((x) << S_DESIRED_EDGE_CNTR_TARGET_HIGH)
59694#define G_DESIRED_EDGE_CNTR_TARGET_HIGH(x) (((x) >> S_DESIRED_EDGE_CNTR_TARGET_HIGH) & M_DESIRED_EDGE_CNTR_TARGET_HIGH)
59695
59696#define S_DESIRED_EDGE_CNTR_TARGET_LOW 0
59697#define M_DESIRED_EDGE_CNTR_TARGET_LOW 0xffU
59698#define V_DESIRED_EDGE_CNTR_TARGET_LOW(x) ((x) << S_DESIRED_EDGE_CNTR_TARGET_LOW)
59699#define G_DESIRED_EDGE_CNTR_TARGET_LOW(x) (((x) >> S_DESIRED_EDGE_CNTR_TARGET_LOW) & M_DESIRED_EDGE_CNTR_TARGET_LOW)
59700
59701#define A_MC_DDRPHY_DP18_RD_DIA_CONFIG4 0x441b8
59702
59703#define S_APPROACH_ALIGNMENT 15
59704#define V_APPROACH_ALIGNMENT(x) ((x) << S_APPROACH_ALIGNMENT)
59705#define F_APPROACH_ALIGNMENT V_APPROACH_ALIGNMENT(1U)
59706
59707#define A_MC_DDRPHY_DP18_DELAY_LINE_PWR_CTL 0x441bc
59708
59709#define S_QUAD0_PWR_CTL 12
59710#define M_QUAD0_PWR_CTL 0xfU
59711#define V_QUAD0_PWR_CTL(x) ((x) << S_QUAD0_PWR_CTL)
59712#define G_QUAD0_PWR_CTL(x) (((x) >> S_QUAD0_PWR_CTL) & M_QUAD0_PWR_CTL)
59713
59714#define S_QUAD1_PWR_CTL 8
59715#define M_QUAD1_PWR_CTL 0xfU
59716#define V_QUAD1_PWR_CTL(x) ((x) << S_QUAD1_PWR_CTL)
59717#define G_QUAD1_PWR_CTL(x) (((x) >> S_QUAD1_PWR_CTL) & M_QUAD1_PWR_CTL)
59718
59719#define S_QUAD2_PWR_CTL 4
59720#define M_QUAD2_PWR_CTL 0xfU
59721#define V_QUAD2_PWR_CTL(x) ((x) << S_QUAD2_PWR_CTL)
59722#define G_QUAD2_PWR_CTL(x) (((x) >> S_QUAD2_PWR_CTL) & M_QUAD2_PWR_CTL)
59723
59724#define S_QUAD3_PWR_CTL 0
59725#define M_QUAD3_PWR_CTL 0xfU
59726#define V_QUAD3_PWR_CTL(x) ((x) << S_QUAD3_PWR_CTL)
59727#define G_QUAD3_PWR_CTL(x) (((x) >> S_QUAD3_PWR_CTL) & M_QUAD3_PWR_CTL)
59728
59729#define A_MC_DDRPHY_DP18_READ_TIMING_REFERENCE0 0x441c0
59730
59731#define S_REFERENCE_BITS1_7 8
59732#define M_REFERENCE_BITS1_7 0x7fU
59733#define V_REFERENCE_BITS1_7(x) ((x) << S_REFERENCE_BITS1_7)
59734#define G_REFERENCE_BITS1_7(x) (((x) >> S_REFERENCE_BITS1_7) & M_REFERENCE_BITS1_7)
59735
59736#define S_REFERENCE_BITS9_15 0
59737#define M_REFERENCE_BITS9_15 0x7fU
59738#define V_REFERENCE_BITS9_15(x) ((x) << S_REFERENCE_BITS9_15)
59739#define G_REFERENCE_BITS9_15(x) (((x) >> S_REFERENCE_BITS9_15) & M_REFERENCE_BITS9_15)
59740
59741#define A_MC_DDRPHY_DP18_READ_TIMING_REFERENCE1 0x441c4
59742#define A_MC_DDRPHY_DP18_READ_DQS_TIMING_REFERENCE 0x441c8
59743
59744#define S_REFERENCE 8
59745#define M_REFERENCE 0x7fU
59746#define V_REFERENCE(x) ((x) << S_REFERENCE)
59747#define G_REFERENCE(x) (((x) >> S_REFERENCE) & M_REFERENCE)
59748
59749#define A_MC_DDRPHY_DP18_SYSCLK_PR_VALUE 0x441cc
59750#define A_MC_DDRPHY_DP18_WRCLK_PR 0x441d0
59751#define A_MC_DDRPHY_DP18_IO_TX_CONFIG0 0x441d4
59752
59753#define S_INTERP_SIG_SLEW 12
59754#define M_INTERP_SIG_SLEW 0xfU
59755#define V_INTERP_SIG_SLEW(x) ((x) << S_INTERP_SIG_SLEW)
59756#define G_INTERP_SIG_SLEW(x) (((x) >> S_INTERP_SIG_SLEW) & M_INTERP_SIG_SLEW)
59757
59758#define S_POST_CURSOR 8
59759#define M_POST_CURSOR 0xfU
59760#define V_POST_CURSOR(x) ((x) << S_POST_CURSOR)
59761#define G_POST_CURSOR(x) (((x) >> S_POST_CURSOR) & M_POST_CURSOR)
59762
59763#define S_SLEW_CTL 4
59764#define M_SLEW_CTL 0xfU
59765#define V_SLEW_CTL(x) ((x) << S_SLEW_CTL)
59766#define G_SLEW_CTL(x) (((x) >> S_SLEW_CTL) & M_SLEW_CTL)
59767
59768#define A_MC_DDRPHY_DP18_PLL_CONFIG0 0x441d8
59769#define A_MC_DDRPHY_DP18_PLL_CONFIG1 0x441dc
59770
59771#define S_CE0DLTVCCA 7
59772#define V_CE0DLTVCCA(x) ((x) << S_CE0DLTVCCA)
59773#define F_CE0DLTVCCA V_CE0DLTVCCA(1U)
59774
59775#define S_CE0DLTVCCD1 4
59776#define V_CE0DLTVCCD1(x) ((x) << S_CE0DLTVCCD1)
59777#define F_CE0DLTVCCD1 V_CE0DLTVCCD1(1U)
59778
59779#define S_CE0DLTVCCD2 3
59780#define V_CE0DLTVCCD2(x) ((x) << S_CE0DLTVCCD2)
59781#define F_CE0DLTVCCD2 V_CE0DLTVCCD2(1U)
59782
59783#define S_S0INSDLYTAP 2
59784#define V_S0INSDLYTAP(x) ((x) << S_S0INSDLYTAP)
59785#define F_S0INSDLYTAP V_S0INSDLYTAP(1U)
59786
59787#define S_S1INSDLYTAP 1
59788#define V_S1INSDLYTAP(x) ((x) << S_S1INSDLYTAP)
59789#define F_S1INSDLYTAP V_S1INSDLYTAP(1U)
59790
59791#define A_MC_DDRPHY_DP18_IO_TX_NFET_SLICE 0x441e0
59792
59793#define S_EN_SLICE_N_WR 8
59794#define M_EN_SLICE_N_WR 0xffU
59795#define V_EN_SLICE_N_WR(x) ((x) << S_EN_SLICE_N_WR)
59796#define G_EN_SLICE_N_WR(x) (((x) >> S_EN_SLICE_N_WR) & M_EN_SLICE_N_WR)
59797
59798#define A_MC_DDRPHY_DP18_IO_TX_PFET_SLICE 0x441e4
59799#define A_MC_DDRPHY_DP18_IO_TX_NFET_TERM 0x441e8
59800
59801#define S_EN_TERM_N_WR 8
59802#define M_EN_TERM_N_WR 0xffU
59803#define V_EN_TERM_N_WR(x) ((x) << S_EN_TERM_N_WR)
59804#define G_EN_TERM_N_WR(x) (((x) >> S_EN_TERM_N_WR) & M_EN_TERM_N_WR)
59805
59806#define S_EN_TERM_N_WR_FFE 4
59807#define M_EN_TERM_N_WR_FFE 0xfU
59808#define V_EN_TERM_N_WR_FFE(x) ((x) << S_EN_TERM_N_WR_FFE)
59809#define G_EN_TERM_N_WR_FFE(x) (((x) >> S_EN_TERM_N_WR_FFE) & M_EN_TERM_N_WR_FFE)
59810
59811#define A_MC_DDRPHY_DP18_IO_TX_PFET_TERM 0x441ec
59812
59813#define S_EN_TERM_P_WR 8
59814#define M_EN_TERM_P_WR 0xffU
59815#define V_EN_TERM_P_WR(x) ((x) << S_EN_TERM_P_WR)
59816#define G_EN_TERM_P_WR(x) (((x) >> S_EN_TERM_P_WR) & M_EN_TERM_P_WR)
59817
59818#define S_EN_TERM_P_WR_FFE 4
59819#define M_EN_TERM_P_WR_FFE 0xfU
59820#define V_EN_TERM_P_WR_FFE(x) ((x) << S_EN_TERM_P_WR_FFE)
59821#define G_EN_TERM_P_WR_FFE(x) (((x) >> S_EN_TERM_P_WR_FFE) & M_EN_TERM_P_WR_FFE)
59822
59823#define A_MC_DDRPHY_DP18_DATA_BIT_DISABLE0_RP 0x441f0
59824
59825#define S_DATA_BIT_DISABLE_0_15 0
59826#define M_DATA_BIT_DISABLE_0_15 0xffffU
59827#define V_DATA_BIT_DISABLE_0_15(x) ((x) << S_DATA_BIT_DISABLE_0_15)
59828#define G_DATA_BIT_DISABLE_0_15(x) (((x) >> S_DATA_BIT_DISABLE_0_15) & M_DATA_BIT_DISABLE_0_15)
59829
59830#define A_MC_DDRPHY_DP18_DATA_BIT_DISABLE1_RP 0x441f4
59831
59832#define S_DATA_BIT_DISABLE_16_23 8
59833#define M_DATA_BIT_DISABLE_16_23 0xffU
59834#define V_DATA_BIT_DISABLE_16_23(x) ((x) << S_DATA_BIT_DISABLE_16_23)
59835#define G_DATA_BIT_DISABLE_16_23(x) (((x) >> S_DATA_BIT_DISABLE_16_23) & M_DATA_BIT_DISABLE_16_23)
59836
59837#define A_MC_DDRPHY_DP18_DQ_WR_OFFSET_RP 0x441f8
59838
59839#define S_DQ_WR_OFFSET_N0 12
59840#define M_DQ_WR_OFFSET_N0 0xfU
59841#define V_DQ_WR_OFFSET_N0(x) ((x) << S_DQ_WR_OFFSET_N0)
59842#define G_DQ_WR_OFFSET_N0(x) (((x) >> S_DQ_WR_OFFSET_N0) & M_DQ_WR_OFFSET_N0)
59843
59844#define S_DQ_WR_OFFSET_N1 8
59845#define M_DQ_WR_OFFSET_N1 0xfU
59846#define V_DQ_WR_OFFSET_N1(x) ((x) << S_DQ_WR_OFFSET_N1)
59847#define G_DQ_WR_OFFSET_N1(x) (((x) >> S_DQ_WR_OFFSET_N1) & M_DQ_WR_OFFSET_N1)
59848
59849#define S_DQ_WR_OFFSET_N2 4
59850#define M_DQ_WR_OFFSET_N2 0xfU
59851#define V_DQ_WR_OFFSET_N2(x) ((x) << S_DQ_WR_OFFSET_N2)
59852#define G_DQ_WR_OFFSET_N2(x) (((x) >> S_DQ_WR_OFFSET_N2) & M_DQ_WR_OFFSET_N2)
59853
59854#define S_DQ_WR_OFFSET_N3 0
59855#define M_DQ_WR_OFFSET_N3 0xfU
59856#define V_DQ_WR_OFFSET_N3(x) ((x) << S_DQ_WR_OFFSET_N3)
59857#define G_DQ_WR_OFFSET_N3(x) (((x) >> S_DQ_WR_OFFSET_N3) & M_DQ_WR_OFFSET_N3)
59858
59859#define A_MC_DDRPHY_DP18_POWERDOWN_1 0x441fc
59860
59861#define S_EYEDAC_PD 13
59862#define V_EYEDAC_PD(x) ((x) << S_EYEDAC_PD)
59863#define F_EYEDAC_PD V_EYEDAC_PD(1U)
59864
59865#define S_ANALOG_OUTPUT_STAB 9
59866#define V_ANALOG_OUTPUT_STAB(x) ((x) << S_ANALOG_OUTPUT_STAB)
59867#define F_ANALOG_OUTPUT_STAB V_ANALOG_OUTPUT_STAB(1U)
59868
59869#define S_DP18_RX_PD 2
59870#define M_DP18_RX_PD 0x3U
59871#define V_DP18_RX_PD(x) ((x) << S_DP18_RX_PD)
59872#define G_DP18_RX_PD(x) (((x) >> S_DP18_RX_PD) & M_DP18_RX_PD)
59873
59874#define S_DELAY_LINE_CTL_OVERRIDE 4
59875#define V_DELAY_LINE_CTL_OVERRIDE(x) ((x) << S_DELAY_LINE_CTL_OVERRIDE)
59876#define F_DELAY_LINE_CTL_OVERRIDE V_DELAY_LINE_CTL_OVERRIDE(1U)
59877
59878#define S_VCC_REG_PD 0
59879#define V_VCC_REG_PD(x) ((x) << S_VCC_REG_PD)
59880#define F_VCC_REG_PD V_VCC_REG_PD(1U)
59881
59882#define A_MC_ADR_DDRPHY_ADR_BIT_ENABLE 0x45000
59883
59884#define S_BIT_ENABLE_0_11 4
59885#define M_BIT_ENABLE_0_11 0xfffU
59886#define V_BIT_ENABLE_0_11(x) ((x) << S_BIT_ENABLE_0_11)
59887#define G_BIT_ENABLE_0_11(x) (((x) >> S_BIT_ENABLE_0_11) & M_BIT_ENABLE_0_11)
59888
59889#define S_BIT_ENABLE_12_15 0
59890#define M_BIT_ENABLE_12_15 0xfU
59891#define V_BIT_ENABLE_12_15(x) ((x) << S_BIT_ENABLE_12_15)
59892#define G_BIT_ENABLE_12_15(x) (((x) >> S_BIT_ENABLE_12_15) & M_BIT_ENABLE_12_15)
59893
59894#define A_MC_ADR_DDRPHY_ADR_DIFFPAIR_ENABLE 0x45004
59895
59896#define S_DI_ADR0_ADR1 15
59897#define V_DI_ADR0_ADR1(x) ((x) << S_DI_ADR0_ADR1)
59898#define F_DI_ADR0_ADR1 V_DI_ADR0_ADR1(1U)
59899
59900#define S_DI_ADR2_ADR3 14
59901#define V_DI_ADR2_ADR3(x) ((x) << S_DI_ADR2_ADR3)
59902#define F_DI_ADR2_ADR3 V_DI_ADR2_ADR3(1U)
59903
59904#define S_DI_ADR4_ADR5 13
59905#define V_DI_ADR4_ADR5(x) ((x) << S_DI_ADR4_ADR5)
59906#define F_DI_ADR4_ADR5 V_DI_ADR4_ADR5(1U)
59907
59908#define S_DI_ADR6_ADR7 12
59909#define V_DI_ADR6_ADR7(x) ((x) << S_DI_ADR6_ADR7)
59910#define F_DI_ADR6_ADR7 V_DI_ADR6_ADR7(1U)
59911
59912#define S_DI_ADR8_ADR9 11
59913#define V_DI_ADR8_ADR9(x) ((x) << S_DI_ADR8_ADR9)
59914#define F_DI_ADR8_ADR9 V_DI_ADR8_ADR9(1U)
59915
59916#define S_DI_ADR10_ADR11 10
59917#define V_DI_ADR10_ADR11(x) ((x) << S_DI_ADR10_ADR11)
59918#define F_DI_ADR10_ADR11 V_DI_ADR10_ADR11(1U)
59919
59920#define S_DI_ADR12_ADR13 9
59921#define V_DI_ADR12_ADR13(x) ((x) << S_DI_ADR12_ADR13)
59922#define F_DI_ADR12_ADR13 V_DI_ADR12_ADR13(1U)
59923
59924#define S_DI_ADR14_ADR15 8
59925#define V_DI_ADR14_ADR15(x) ((x) << S_DI_ADR14_ADR15)
59926#define F_DI_ADR14_ADR15 V_DI_ADR14_ADR15(1U)
59927
59928#define A_MC_ADR_DDRPHY_ADR_DELAY0 0x45010
59929
59930#define S_ADR_DELAY_BITS1_7 8
59931#define M_ADR_DELAY_BITS1_7 0x7fU
59932#define V_ADR_DELAY_BITS1_7(x) ((x) << S_ADR_DELAY_BITS1_7)
59933#define G_ADR_DELAY_BITS1_7(x) (((x) >> S_ADR_DELAY_BITS1_7) & M_ADR_DELAY_BITS1_7)
59934
59935#define S_ADR_DELAY_BITS9_15 0
59936#define M_ADR_DELAY_BITS9_15 0x7fU
59937#define V_ADR_DELAY_BITS9_15(x) ((x) << S_ADR_DELAY_BITS9_15)
59938#define G_ADR_DELAY_BITS9_15(x) (((x) >> S_ADR_DELAY_BITS9_15) & M_ADR_DELAY_BITS9_15)
59939
59940#define A_MC_ADR_DDRPHY_ADR_DELAY1 0x45014
59941#define A_MC_ADR_DDRPHY_ADR_DELAY2 0x45018
59942#define A_MC_ADR_DDRPHY_ADR_DELAY3 0x4501c
59943#define A_MC_ADR_DDRPHY_ADR_DELAY4 0x45020
59944#define A_MC_ADR_DDRPHY_ADR_DELAY5 0x45024
59945#define A_MC_ADR_DDRPHY_ADR_DELAY6 0x45028
59946#define A_MC_ADR_DDRPHY_ADR_DELAY7 0x4502c
59947#define A_MC_ADR_DDRPHY_ADR_DFT_WRAP_STATUS_CONTROL 0x45030
59948
59949#define S_ADR_TEST_LANE_PAIR_FAIL 8
59950#define M_ADR_TEST_LANE_PAIR_FAIL 0xffU
59951#define V_ADR_TEST_LANE_PAIR_FAIL(x) ((x) << S_ADR_TEST_LANE_PAIR_FAIL)
59952#define G_ADR_TEST_LANE_PAIR_FAIL(x) (((x) >> S_ADR_TEST_LANE_PAIR_FAIL) & M_ADR_TEST_LANE_PAIR_FAIL)
59953
59954#define S_ADR_TEST_DATA_EN 7
59955#define V_ADR_TEST_DATA_EN(x) ((x) << S_ADR_TEST_DATA_EN)
59956#define F_ADR_TEST_DATA_EN V_ADR_TEST_DATA_EN(1U)
59957
59958#define S_DADR_TEST_MODE 5
59959#define M_DADR_TEST_MODE 0x3U
59960#define V_DADR_TEST_MODE(x) ((x) << S_DADR_TEST_MODE)
59961#define G_DADR_TEST_MODE(x) (((x) >> S_DADR_TEST_MODE) & M_DADR_TEST_MODE)
59962
59963#define S_ADR_TEST_4TO1_MODE 4
59964#define V_ADR_TEST_4TO1_MODE(x) ((x) << S_ADR_TEST_4TO1_MODE)
59965#define F_ADR_TEST_4TO1_MODE V_ADR_TEST_4TO1_MODE(1U)
59966
59967#define S_ADR_TEST_RESET 3
59968#define V_ADR_TEST_RESET(x) ((x) << S_ADR_TEST_RESET)
59969#define F_ADR_TEST_RESET V_ADR_TEST_RESET(1U)
59970
59971#define S_ADR_TEST_GEN_EN 2
59972#define V_ADR_TEST_GEN_EN(x) ((x) << S_ADR_TEST_GEN_EN)
59973#define F_ADR_TEST_GEN_EN V_ADR_TEST_GEN_EN(1U)
59974
59975#define S_ADR_TEST_CLEAR_ERROR 1
59976#define V_ADR_TEST_CLEAR_ERROR(x) ((x) << S_ADR_TEST_CLEAR_ERROR)
59977#define F_ADR_TEST_CLEAR_ERROR V_ADR_TEST_CLEAR_ERROR(1U)
59978
59979#define S_ADR_TEST_CHECK_EN 0
59980#define V_ADR_TEST_CHECK_EN(x) ((x) << S_ADR_TEST_CHECK_EN)
59981#define F_ADR_TEST_CHECK_EN V_ADR_TEST_CHECK_EN(1U)
59982
59983#define A_MC_ADR_DDRPHY_ADR_IO_NFET_SLICE_EN0 0x45040
59984
59985#define S_EN_SLICE_N_WR_0 8
59986#define M_EN_SLICE_N_WR_0 0xffU
59987#define V_EN_SLICE_N_WR_0(x) ((x) << S_EN_SLICE_N_WR_0)
59988#define G_EN_SLICE_N_WR_0(x) (((x) >> S_EN_SLICE_N_WR_0) & M_EN_SLICE_N_WR_0)
59989
59990#define S_EN_SLICE_N_WR_FFE 4
59991#define M_EN_SLICE_N_WR_FFE 0xfU
59992#define V_EN_SLICE_N_WR_FFE(x) ((x) << S_EN_SLICE_N_WR_FFE)
59993#define G_EN_SLICE_N_WR_FFE(x) (((x) >> S_EN_SLICE_N_WR_FFE) & M_EN_SLICE_N_WR_FFE)
59994
59995#define A_MC_ADR_DDRPHY_ADR_IO_NFET_SLICE_EN1 0x45044
59996
59997#define S_EN_SLICE_N_WR_1 8
59998#define M_EN_SLICE_N_WR_1 0xffU
59999#define V_EN_SLICE_N_WR_1(x) ((x) << S_EN_SLICE_N_WR_1)
60000#define G_EN_SLICE_N_WR_1(x) (((x) >> S_EN_SLICE_N_WR_1) & M_EN_SLICE_N_WR_1)
60001
60002#define A_MC_ADR_DDRPHY_ADR_IO_NFET_SLICE_EN2 0x45048
60003
60004#define S_EN_SLICE_N_WR_2 8
60005#define M_EN_SLICE_N_WR_2 0xffU
60006#define V_EN_SLICE_N_WR_2(x) ((x) << S_EN_SLICE_N_WR_2)
60007#define G_EN_SLICE_N_WR_2(x) (((x) >> S_EN_SLICE_N_WR_2) & M_EN_SLICE_N_WR_2)
60008
60009#define A_MC_ADR_DDRPHY_ADR_IO_NFET_SLICE_EN3 0x4504c
60010
60011#define S_EN_SLICE_N_WR_3 8
60012#define M_EN_SLICE_N_WR_3 0xffU
60013#define V_EN_SLICE_N_WR_3(x) ((x) << S_EN_SLICE_N_WR_3)
60014#define G_EN_SLICE_N_WR_3(x) (((x) >> S_EN_SLICE_N_WR_3) & M_EN_SLICE_N_WR_3)
60015
60016#define A_MC_ADR_DDRPHY_ADR_IO_PFET_SLICE_EN0 0x45050
60017
60018#define S_EN_SLICE_P_WR 8
60019#define M_EN_SLICE_P_WR 0xffU
60020#define V_EN_SLICE_P_WR(x) ((x) << S_EN_SLICE_P_WR)
60021#define G_EN_SLICE_P_WR(x) (((x) >> S_EN_SLICE_P_WR) & M_EN_SLICE_P_WR)
60022
60023#define S_EN_SLICE_P_WR_FFE 4
60024#define M_EN_SLICE_P_WR_FFE 0xfU
60025#define V_EN_SLICE_P_WR_FFE(x) ((x) << S_EN_SLICE_P_WR_FFE)
60026#define G_EN_SLICE_P_WR_FFE(x) (((x) >> S_EN_SLICE_P_WR_FFE) & M_EN_SLICE_P_WR_FFE)
60027
60028#define A_MC_ADR_DDRPHY_ADR_IO_PFET_SLICE_EN1 0x45054
60029#define A_MC_ADR_DDRPHY_ADR_IO_PFET_SLICE_EN2 0x45058
60030#define A_MC_ADR_DDRPHY_ADR_IO_PFET_SLICE_EN3 0x4505c
60031#define A_MC_ADR_DDRPHY_ADR_IO_POST_CURSOR_VALUE 0x45060
60032
60033#define S_POST_CURSOR0 12
60034#define M_POST_CURSOR0 0xfU
60035#define V_POST_CURSOR0(x) ((x) << S_POST_CURSOR0)
60036#define G_POST_CURSOR0(x) (((x) >> S_POST_CURSOR0) & M_POST_CURSOR0)
60037
60038#define S_POST_CURSOR1 8
60039#define M_POST_CURSOR1 0xfU
60040#define V_POST_CURSOR1(x) ((x) << S_POST_CURSOR1)
60041#define G_POST_CURSOR1(x) (((x) >> S_POST_CURSOR1) & M_POST_CURSOR1)
60042
60043#define S_POST_CURSOR2 4
60044#define M_POST_CURSOR2 0xfU
60045#define V_POST_CURSOR2(x) ((x) << S_POST_CURSOR2)
60046#define G_POST_CURSOR2(x) (((x) >> S_POST_CURSOR2) & M_POST_CURSOR2)
60047
60048#define S_POST_CURSOR3 0
60049#define M_POST_CURSOR3 0xfU
60050#define V_POST_CURSOR3(x) ((x) << S_POST_CURSOR3)
60051#define G_POST_CURSOR3(x) (((x) >> S_POST_CURSOR3) & M_POST_CURSOR3)
60052
60053#define A_MC_ADR_DDRPHY_ADR_IO_SLEW_CTL_VALUE 0x45068
60054
60055#define S_SLEW_CTL0 12
60056#define M_SLEW_CTL0 0xfU
60057#define V_SLEW_CTL0(x) ((x) << S_SLEW_CTL0)
60058#define G_SLEW_CTL0(x) (((x) >> S_SLEW_CTL0) & M_SLEW_CTL0)
60059
60060#define S_SLEW_CTL1 8
60061#define M_SLEW_CTL1 0xfU
60062#define V_SLEW_CTL1(x) ((x) << S_SLEW_CTL1)
60063#define G_SLEW_CTL1(x) (((x) >> S_SLEW_CTL1) & M_SLEW_CTL1)
60064
60065#define S_SLEW_CTL2 4
60066#define M_SLEW_CTL2 0xfU
60067#define V_SLEW_CTL2(x) ((x) << S_SLEW_CTL2)
60068#define G_SLEW_CTL2(x) (((x) >> S_SLEW_CTL2) & M_SLEW_CTL2)
60069
60070#define S_SLEW_CTL3 0
60071#define M_SLEW_CTL3 0xfU
60072#define V_SLEW_CTL3(x) ((x) << S_SLEW_CTL3)
60073#define G_SLEW_CTL3(x) (((x) >> S_SLEW_CTL3) & M_SLEW_CTL3)
60074
60075#define A_MC_ADR_DDRPHY_ADR_IO_FET_SLICE_EN_MAP0 0x45080
60076
60077#define S_SLICE_SEL_REG_BITS0_1 14
60078#define M_SLICE_SEL_REG_BITS0_1 0x3U
60079#define V_SLICE_SEL_REG_BITS0_1(x) ((x) << S_SLICE_SEL_REG_BITS0_1)
60080#define G_SLICE_SEL_REG_BITS0_1(x) (((x) >> S_SLICE_SEL_REG_BITS0_1) & M_SLICE_SEL_REG_BITS0_1)
60081
60082#define S_SLICE_SEL_REG_BITS2_3 12
60083#define M_SLICE_SEL_REG_BITS2_3 0x3U
60084#define V_SLICE_SEL_REG_BITS2_3(x) ((x) << S_SLICE_SEL_REG_BITS2_3)
60085#define G_SLICE_SEL_REG_BITS2_3(x) (((x) >> S_SLICE_SEL_REG_BITS2_3) & M_SLICE_SEL_REG_BITS2_3)
60086
60087#define S_SLICE_SEL_REG_BITS4_5 10
60088#define M_SLICE_SEL_REG_BITS4_5 0x3U
60089#define V_SLICE_SEL_REG_BITS4_5(x) ((x) << S_SLICE_SEL_REG_BITS4_5)
60090#define G_SLICE_SEL_REG_BITS4_5(x) (((x) >> S_SLICE_SEL_REG_BITS4_5) & M_SLICE_SEL_REG_BITS4_5)
60091
60092#define S_SLICE_SEL_REG_BITS6_7 8
60093#define M_SLICE_SEL_REG_BITS6_7 0x3U
60094#define V_SLICE_SEL_REG_BITS6_7(x) ((x) << S_SLICE_SEL_REG_BITS6_7)
60095#define G_SLICE_SEL_REG_BITS6_7(x) (((x) >> S_SLICE_SEL_REG_BITS6_7) & M_SLICE_SEL_REG_BITS6_7)
60096
60097#define S_SLICE_SEL_REG_BITS8_9 6
60098#define M_SLICE_SEL_REG_BITS8_9 0x3U
60099#define V_SLICE_SEL_REG_BITS8_9(x) ((x) << S_SLICE_SEL_REG_BITS8_9)
60100#define G_SLICE_SEL_REG_BITS8_9(x) (((x) >> S_SLICE_SEL_REG_BITS8_9) & M_SLICE_SEL_REG_BITS8_9)
60101
60102#define S_SLICE_SEL_REG_BITS10_11 4
60103#define M_SLICE_SEL_REG_BITS10_11 0x3U
60104#define V_SLICE_SEL_REG_BITS10_11(x) ((x) << S_SLICE_SEL_REG_BITS10_11)
60105#define G_SLICE_SEL_REG_BITS10_11(x) (((x) >> S_SLICE_SEL_REG_BITS10_11) & M_SLICE_SEL_REG_BITS10_11)
60106
60107#define S_SLICE_SEL_REG_BITS12_13 2
60108#define M_SLICE_SEL_REG_BITS12_13 0x3U
60109#define V_SLICE_SEL_REG_BITS12_13(x) ((x) << S_SLICE_SEL_REG_BITS12_13)
60110#define G_SLICE_SEL_REG_BITS12_13(x) (((x) >> S_SLICE_SEL_REG_BITS12_13) & M_SLICE_SEL_REG_BITS12_13)
60111
60112#define S_SLICE_SEL_REG_BITS14_15 0
60113#define M_SLICE_SEL_REG_BITS14_15 0x3U
60114#define V_SLICE_SEL_REG_BITS14_15(x) ((x) << S_SLICE_SEL_REG_BITS14_15)
60115#define G_SLICE_SEL_REG_BITS14_15(x) (((x) >> S_SLICE_SEL_REG_BITS14_15) & M_SLICE_SEL_REG_BITS14_15)
60116
60117#define A_MC_ADR_DDRPHY_ADR_IO_FET_SLICE_EN_MAP1 0x45084
60118#define A_MC_ADR_DDRPHY_ADR_IO_POST_CURSOR_VALUE_MAP0 0x450a0
60119
60120#define S_POST_CUR_SEL_BITS0_1 14
60121#define M_POST_CUR_SEL_BITS0_1 0x3U
60122#define V_POST_CUR_SEL_BITS0_1(x) ((x) << S_POST_CUR_SEL_BITS0_1)
60123#define G_POST_CUR_SEL_BITS0_1(x) (((x) >> S_POST_CUR_SEL_BITS0_1) & M_POST_CUR_SEL_BITS0_1)
60124
60125#define S_POST_CUR_SEL_BITS2_3 12
60126#define M_POST_CUR_SEL_BITS2_3 0x3U
60127#define V_POST_CUR_SEL_BITS2_3(x) ((x) << S_POST_CUR_SEL_BITS2_3)
60128#define G_POST_CUR_SEL_BITS2_3(x) (((x) >> S_POST_CUR_SEL_BITS2_3) & M_POST_CUR_SEL_BITS2_3)
60129
60130#define S_POST_CUR_SEL_BITS4_5 10
60131#define M_POST_CUR_SEL_BITS4_5 0x3U
60132#define V_POST_CUR_SEL_BITS4_5(x) ((x) << S_POST_CUR_SEL_BITS4_5)
60133#define G_POST_CUR_SEL_BITS4_5(x) (((x) >> S_POST_CUR_SEL_BITS4_5) & M_POST_CUR_SEL_BITS4_5)
60134
60135#define S_POST_CUR_SEL_BITS6_7 8
60136#define M_POST_CUR_SEL_BITS6_7 0x3U
60137#define V_POST_CUR_SEL_BITS6_7(x) ((x) << S_POST_CUR_SEL_BITS6_7)
60138#define G_POST_CUR_SEL_BITS6_7(x) (((x) >> S_POST_CUR_SEL_BITS6_7) & M_POST_CUR_SEL_BITS6_7)
60139
60140#define S_POST_CUR_SEL_BITS8_9 6
60141#define M_POST_CUR_SEL_BITS8_9 0x3U
60142#define V_POST_CUR_SEL_BITS8_9(x) ((x) << S_POST_CUR_SEL_BITS8_9)
60143#define G_POST_CUR_SEL_BITS8_9(x) (((x) >> S_POST_CUR_SEL_BITS8_9) & M_POST_CUR_SEL_BITS8_9)
60144
60145#define S_POST_CUR_SEL_BITS10_11 4
60146#define M_POST_CUR_SEL_BITS10_11 0x3U
60147#define V_POST_CUR_SEL_BITS10_11(x) ((x) << S_POST_CUR_SEL_BITS10_11)
60148#define G_POST_CUR_SEL_BITS10_11(x) (((x) >> S_POST_CUR_SEL_BITS10_11) & M_POST_CUR_SEL_BITS10_11)
60149
60150#define S_POST_CUR_SEL_BITS12_13 2
60151#define M_POST_CUR_SEL_BITS12_13 0x3U
60152#define V_POST_CUR_SEL_BITS12_13(x) ((x) << S_POST_CUR_SEL_BITS12_13)
60153#define G_POST_CUR_SEL_BITS12_13(x) (((x) >> S_POST_CUR_SEL_BITS12_13) & M_POST_CUR_SEL_BITS12_13)
60154
60155#define S_POST_CUR_SEL_BITS14_15 0
60156#define M_POST_CUR_SEL_BITS14_15 0x3U
60157#define V_POST_CUR_SEL_BITS14_15(x) ((x) << S_POST_CUR_SEL_BITS14_15)
60158#define G_POST_CUR_SEL_BITS14_15(x) (((x) >> S_POST_CUR_SEL_BITS14_15) & M_POST_CUR_SEL_BITS14_15)
60159
60160#define A_MC_ADR_DDRPHY_ADR_IO_POST_CURSOR_VALUE_MAP1 0x450a4
60161#define A_MC_ADR_DDRPHY_ADR_IO_SLEW_CTL_VALUE_MAP0 0x450a8
60162
60163#define S_SLEW_CTL_SEL_BITS0_1 14
60164#define M_SLEW_CTL_SEL_BITS0_1 0x3U
60165#define V_SLEW_CTL_SEL_BITS0_1(x) ((x) << S_SLEW_CTL_SEL_BITS0_1)
60166#define G_SLEW_CTL_SEL_BITS0_1(x) (((x) >> S_SLEW_CTL_SEL_BITS0_1) & M_SLEW_CTL_SEL_BITS0_1)
60167
60168#define S_SLEW_CTL_SEL_BITS2_3 12
60169#define M_SLEW_CTL_SEL_BITS2_3 0x3U
60170#define V_SLEW_CTL_SEL_BITS2_3(x) ((x) << S_SLEW_CTL_SEL_BITS2_3)
60171#define G_SLEW_CTL_SEL_BITS2_3(x) (((x) >> S_SLEW_CTL_SEL_BITS2_3) & M_SLEW_CTL_SEL_BITS2_3)
60172
60173#define S_SLEW_CTL_SEL_BITS4_5 10
60174#define M_SLEW_CTL_SEL_BITS4_5 0x3U
60175#define V_SLEW_CTL_SEL_BITS4_5(x) ((x) << S_SLEW_CTL_SEL_BITS4_5)
60176#define G_SLEW_CTL_SEL_BITS4_5(x) (((x) >> S_SLEW_CTL_SEL_BITS4_5) & M_SLEW_CTL_SEL_BITS4_5)
60177
60178#define S_SLEW_CTL_SEL_BITS6_7 8
60179#define M_SLEW_CTL_SEL_BITS6_7 0x3U
60180#define V_SLEW_CTL_SEL_BITS6_7(x) ((x) << S_SLEW_CTL_SEL_BITS6_7)
60181#define G_SLEW_CTL_SEL_BITS6_7(x) (((x) >> S_SLEW_CTL_SEL_BITS6_7) & M_SLEW_CTL_SEL_BITS6_7)
60182
60183#define S_SLEW_CTL_SEL_BITS8_9 6
60184#define M_SLEW_CTL_SEL_BITS8_9 0x3U
60185#define V_SLEW_CTL_SEL_BITS8_9(x) ((x) << S_SLEW_CTL_SEL_BITS8_9)
60186#define G_SLEW_CTL_SEL_BITS8_9(x) (((x) >> S_SLEW_CTL_SEL_BITS8_9) & M_SLEW_CTL_SEL_BITS8_9)
60187
60188#define S_SLEW_CTL_SEL_BITS10_11 4
60189#define M_SLEW_CTL_SEL_BITS10_11 0x3U
60190#define V_SLEW_CTL_SEL_BITS10_11(x) ((x) << S_SLEW_CTL_SEL_BITS10_11)
60191#define G_SLEW_CTL_SEL_BITS10_11(x) (((x) >> S_SLEW_CTL_SEL_BITS10_11) & M_SLEW_CTL_SEL_BITS10_11)
60192
60193#define S_SLEW_CTL_SEL_BITS12_13 2
60194#define M_SLEW_CTL_SEL_BITS12_13 0x3U
60195#define V_SLEW_CTL_SEL_BITS12_13(x) ((x) << S_SLEW_CTL_SEL_BITS12_13)
60196#define G_SLEW_CTL_SEL_BITS12_13(x) (((x) >> S_SLEW_CTL_SEL_BITS12_13) & M_SLEW_CTL_SEL_BITS12_13)
60197
60198#define S_SLEW_CTL_SEL_BITS14_15 0
60199#define M_SLEW_CTL_SEL_BITS14_15 0x3U
60200#define V_SLEW_CTL_SEL_BITS14_15(x) ((x) << S_SLEW_CTL_SEL_BITS14_15)
60201#define G_SLEW_CTL_SEL_BITS14_15(x) (((x) >> S_SLEW_CTL_SEL_BITS14_15) & M_SLEW_CTL_SEL_BITS14_15)
60202
60203#define A_MC_ADR_DDRPHY_ADR_IO_SLEW_CTL_VALUE_MAP1 0x450ac
60204#define A_MC_ADR_DDRPHY_ADR_POWERDOWN_2 0x450b0
60205
60206#define S_ADR_LANE_0_11_PD 4
60207#define M_ADR_LANE_0_11_PD 0xfffU
60208#define V_ADR_LANE_0_11_PD(x) ((x) << S_ADR_LANE_0_11_PD)
60209#define G_ADR_LANE_0_11_PD(x) (((x) >> S_ADR_LANE_0_11_PD) & M_ADR_LANE_0_11_PD)
60210
60211#define S_ADR_LANE_12_15_PD 0
60212#define M_ADR_LANE_12_15_PD 0xfU
60213#define V_ADR_LANE_12_15_PD(x) ((x) << S_ADR_LANE_12_15_PD)
60214#define G_ADR_LANE_12_15_PD(x) (((x) >> S_ADR_LANE_12_15_PD) & M_ADR_LANE_12_15_PD)
60215
60216#define A_T6_MC_ADR_DDRPHY_ADR_BIT_ENABLE 0x45800
60217#define A_T6_MC_ADR_DDRPHY_ADR_DIFFPAIR_ENABLE 0x45804
60218#define A_T6_MC_ADR_DDRPHY_ADR_DELAY0 0x45810
60219#define A_T6_MC_ADR_DDRPHY_ADR_DELAY1 0x45814
60220#define A_T6_MC_ADR_DDRPHY_ADR_DELAY2 0x45818
60221#define A_T6_MC_ADR_DDRPHY_ADR_DELAY3 0x4581c
60222#define A_T6_MC_ADR_DDRPHY_ADR_DELAY4 0x45820
60223#define A_T6_MC_ADR_DDRPHY_ADR_DELAY5 0x45824
60224#define A_T6_MC_ADR_DDRPHY_ADR_DELAY6 0x45828
60225#define A_T6_MC_ADR_DDRPHY_ADR_DELAY7 0x4582c
60226#define A_T6_MC_ADR_DDRPHY_ADR_DFT_WRAP_STATUS_CONTROL 0x45830
60227
60228#define S_ADR_TEST_MODE 5
60229#define M_ADR_TEST_MODE 0x3U
60230#define V_ADR_TEST_MODE(x) ((x) << S_ADR_TEST_MODE)
60231#define G_ADR_TEST_MODE(x) (((x) >> S_ADR_TEST_MODE) & M_ADR_TEST_MODE)
60232
60233#define A_T6_MC_ADR_DDRPHY_ADR_IO_NFET_SLICE_EN0 0x45840
60234#define A_T6_MC_ADR_DDRPHY_ADR_IO_NFET_SLICE_EN1 0x45844
60235#define A_T6_MC_ADR_DDRPHY_ADR_IO_NFET_SLICE_EN2 0x45848
60236#define A_T6_MC_ADR_DDRPHY_ADR_IO_NFET_SLICE_EN3 0x4584c
60237#define A_T6_MC_ADR_DDRPHY_ADR_IO_PFET_SLICE_EN0 0x45850
60238#define A_T6_MC_ADR_DDRPHY_ADR_IO_PFET_SLICE_EN1 0x45854
60239#define A_T6_MC_ADR_DDRPHY_ADR_IO_PFET_SLICE_EN2 0x45858
60240#define A_T6_MC_ADR_DDRPHY_ADR_IO_PFET_SLICE_EN3 0x4585c
60241#define A_T6_MC_ADR_DDRPHY_ADR_IO_POST_CURSOR_VALUE 0x45860
60242#define A_T6_MC_ADR_DDRPHY_ADR_IO_SLEW_CTL_VALUE 0x45868
60243#define A_T6_MC_ADR_DDRPHY_ADR_IO_FET_SLICE_EN_MAP0 0x45880
60244#define A_T6_MC_ADR_DDRPHY_ADR_IO_FET_SLICE_EN_MAP1 0x45884
60245#define A_T6_MC_ADR_DDRPHY_ADR_IO_POST_CURSOR_VALUE_MAP0 0x458a0
60246#define A_T6_MC_ADR_DDRPHY_ADR_IO_POST_CURSOR_VALUE_MAP1 0x458a4
60247#define A_T6_MC_ADR_DDRPHY_ADR_IO_SLEW_CTL_VALUE_MAP0 0x458a8
60248#define A_T6_MC_ADR_DDRPHY_ADR_IO_SLEW_CTL_VALUE_MAP1 0x458ac
60249#define A_T6_MC_ADR_DDRPHY_ADR_POWERDOWN_2 0x458b0
60250#define A_MC_DDRPHY_ADR_PLL_VREG_CONFIG_0 0x460c0
60251
60252#define S_PLL_TUNE_0_2 13
60253#define M_PLL_TUNE_0_2 0x7U
60254#define V_PLL_TUNE_0_2(x) ((x) << S_PLL_TUNE_0_2)
60255#define G_PLL_TUNE_0_2(x) (((x) >> S_PLL_TUNE_0_2) & M_PLL_TUNE_0_2)
60256
60257#define S_PLL_TUNECP_0_2 10
60258#define M_PLL_TUNECP_0_2 0x7U
60259#define V_PLL_TUNECP_0_2(x) ((x) << S_PLL_TUNECP_0_2)
60260#define G_PLL_TUNECP_0_2(x) (((x) >> S_PLL_TUNECP_0_2) & M_PLL_TUNECP_0_2)
60261
60262#define S_PLL_TUNEF_0_5 4
60263#define M_PLL_TUNEF_0_5 0x3fU
60264#define V_PLL_TUNEF_0_5(x) ((x) << S_PLL_TUNEF_0_5)
60265#define G_PLL_TUNEF_0_5(x) (((x) >> S_PLL_TUNEF_0_5) & M_PLL_TUNEF_0_5)
60266
60267#define S_PLL_TUNEVCO_0_1 2
60268#define M_PLL_TUNEVCO_0_1 0x3U
60269#define V_PLL_TUNEVCO_0_1(x) ((x) << S_PLL_TUNEVCO_0_1)
60270#define G_PLL_TUNEVCO_0_1(x) (((x) >> S_PLL_TUNEVCO_0_1) & M_PLL_TUNEVCO_0_1)
60271
60272#define S_PLL_PLLXTR_0_1 0
60273#define M_PLL_PLLXTR_0_1 0x3U
60274#define V_PLL_PLLXTR_0_1(x) ((x) << S_PLL_PLLXTR_0_1)
60275#define G_PLL_PLLXTR_0_1(x) (((x) >> S_PLL_PLLXTR_0_1) & M_PLL_PLLXTR_0_1)
60276
60277#define A_MC_DDRPHY_AD32S_PLL_VREG_CONFIG_0 0x460c0
60278#define A_MC_DDRPHY_ADR_PLL_VREG_CONFIG_1 0x460c4
60279
60280#define S_PLL_TUNETDIV_0_2 13
60281#define M_PLL_TUNETDIV_0_2 0x7U
60282#define V_PLL_TUNETDIV_0_2(x) ((x) << S_PLL_TUNETDIV_0_2)
60283#define G_PLL_TUNETDIV_0_2(x) (((x) >> S_PLL_TUNETDIV_0_2) & M_PLL_TUNETDIV_0_2)
60284
60285#define S_PLL_TUNEMDIV_0_1 11
60286#define M_PLL_TUNEMDIV_0_1 0x3U
60287#define V_PLL_TUNEMDIV_0_1(x) ((x) << S_PLL_TUNEMDIV_0_1)
60288#define G_PLL_TUNEMDIV_0_1(x) (((x) >> S_PLL_TUNEMDIV_0_1) & M_PLL_TUNEMDIV_0_1)
60289
60290#define S_PLL_TUNEATST 10
60291#define V_PLL_TUNEATST(x) ((x) << S_PLL_TUNEATST)
60292#define F_PLL_TUNEATST V_PLL_TUNEATST(1U)
60293
60294#define S_VREG_RANGE_0_1 8
60295#define M_VREG_RANGE_0_1 0x3U
60296#define V_VREG_RANGE_0_1(x) ((x) << S_VREG_RANGE_0_1)
60297#define G_VREG_RANGE_0_1(x) (((x) >> S_VREG_RANGE_0_1) & M_VREG_RANGE_0_1)
60298
60299#define S_VREG_VREGSPARE 7
60300#define V_VREG_VREGSPARE(x) ((x) << S_VREG_VREGSPARE)
60301#define F_VREG_VREGSPARE V_VREG_VREGSPARE(1U)
60302
60303#define S_VREG_VCCTUNE_0_1 5
60304#define M_VREG_VCCTUNE_0_1 0x3U
60305#define V_VREG_VCCTUNE_0_1(x) ((x) << S_VREG_VCCTUNE_0_1)
60306#define G_VREG_VCCTUNE_0_1(x) (((x) >> S_VREG_VCCTUNE_0_1) & M_VREG_VCCTUNE_0_1)
60307
60308#define S_INTERP_SIG_SLEW_0_3 1
60309#define M_INTERP_SIG_SLEW_0_3 0xfU
60310#define V_INTERP_SIG_SLEW_0_3(x) ((x) << S_INTERP_SIG_SLEW_0_3)
60311#define G_INTERP_SIG_SLEW_0_3(x) (((x) >> S_INTERP_SIG_SLEW_0_3) & M_INTERP_SIG_SLEW_0_3)
60312
60313#define S_ANALOG_WRAPON 0
60314#define V_ANALOG_WRAPON(x) ((x) << S_ANALOG_WRAPON)
60315#define F_ANALOG_WRAPON V_ANALOG_WRAPON(1U)
60316
60317#define A_MC_DDRPHY_AD32S_PLL_VREG_CONFIG_1 0x460c4
60318#define A_MC_DDRPHY_ADR_SYSCLK_CNTL_PR 0x460c8
60319
60320#define S_SYSCLK_ENABLE 15
60321#define V_SYSCLK_ENABLE(x) ((x) << S_SYSCLK_ENABLE)
60322#define F_SYSCLK_ENABLE V_SYSCLK_ENABLE(1U)
60323
60324#define S_SYSCLK_ROT_OVERRIDE 8
60325#define M_SYSCLK_ROT_OVERRIDE 0x7fU
60326#define V_SYSCLK_ROT_OVERRIDE(x) ((x) << S_SYSCLK_ROT_OVERRIDE)
60327#define G_SYSCLK_ROT_OVERRIDE(x) (((x) >> S_SYSCLK_ROT_OVERRIDE) & M_SYSCLK_ROT_OVERRIDE)
60328
60329#define S_SYSCLK_ROT_OVERRIDE_EN 7
60330#define V_SYSCLK_ROT_OVERRIDE_EN(x) ((x) << S_SYSCLK_ROT_OVERRIDE_EN)
60331#define F_SYSCLK_ROT_OVERRIDE_EN V_SYSCLK_ROT_OVERRIDE_EN(1U)
60332
60333#define S_SYSCLK_PHASE_ALIGN_RESE 6
60334#define V_SYSCLK_PHASE_ALIGN_RESE(x) ((x) << S_SYSCLK_PHASE_ALIGN_RESE)
60335#define F_SYSCLK_PHASE_ALIGN_RESE V_SYSCLK_PHASE_ALIGN_RESE(1U)
60336
60337#define S_SYSCLK_PHASE_CNTL_EN 5
60338#define V_SYSCLK_PHASE_CNTL_EN(x) ((x) << S_SYSCLK_PHASE_CNTL_EN)
60339#define F_SYSCLK_PHASE_CNTL_EN V_SYSCLK_PHASE_CNTL_EN(1U)
60340
60341#define S_SYSCLK_PHASE_DEFAULT_EN 4
60342#define V_SYSCLK_PHASE_DEFAULT_EN(x) ((x) << S_SYSCLK_PHASE_DEFAULT_EN)
60343#define F_SYSCLK_PHASE_DEFAULT_EN V_SYSCLK_PHASE_DEFAULT_EN(1U)
60344
60345#define S_SYSCLK_POS_EDGE_ALIGN 3
60346#define V_SYSCLK_POS_EDGE_ALIGN(x) ((x) << S_SYSCLK_POS_EDGE_ALIGN)
60347#define F_SYSCLK_POS_EDGE_ALIGN V_SYSCLK_POS_EDGE_ALIGN(1U)
60348
60349#define S_CONTINUOUS_UPDATE 2
60350#define V_CONTINUOUS_UPDATE(x) ((x) << S_CONTINUOUS_UPDATE)
60351#define F_CONTINUOUS_UPDATE V_CONTINUOUS_UPDATE(1U)
60352
60353#define S_CE0DLTVCC 0
60354#define M_CE0DLTVCC 0x3U
60355#define V_CE0DLTVCC(x) ((x) << S_CE0DLTVCC)
60356#define G_CE0DLTVCC(x) (((x) >> S_CE0DLTVCC) & M_CE0DLTVCC)
60357
60358#define A_MC_DDRPHY_AD32S_SYSCLK_CNTL_PR 0x460c8
60359#define A_MC_DDRPHY_ADR_MCCLK_WRCLK_PR_STATIC_OFFSET 0x460cc
60360
60361#define S_TSYS_WRCLK 8
60362#define M_TSYS_WRCLK 0x7fU
60363#define V_TSYS_WRCLK(x) ((x) << S_TSYS_WRCLK)
60364#define G_TSYS_WRCLK(x) (((x) >> S_TSYS_WRCLK) & M_TSYS_WRCLK)
60365
60366#define A_MC_DDRPHY_AD32S_MCCLK_WRCLK_PR_STATIC_OFFSET 0x460cc
60367#define A_MC_DDRPHY_ADR_SYSCLK_PR_VALUE_RO 0x460d0
60368
60369#define S_SLEW_LATE_SAMPLE 15
60370#define V_SLEW_LATE_SAMPLE(x) ((x) << S_SLEW_LATE_SAMPLE)
60371#define F_SLEW_LATE_SAMPLE V_SLEW_LATE_SAMPLE(1U)
60372
60373#define S_SYSCLK_ROT 8
60374#define M_SYSCLK_ROT 0x7fU
60375#define V_SYSCLK_ROT(x) ((x) << S_SYSCLK_ROT)
60376#define G_SYSCLK_ROT(x) (((x) >> S_SYSCLK_ROT) & M_SYSCLK_ROT)
60377
60378#define S_BB_LOCK 7
60379#define V_BB_LOCK(x) ((x) << S_BB_LOCK)
60380#define F_BB_LOCK V_BB_LOCK(1U)
60381
60382#define S_SLEW_EARLY_SAMPLE 6
60383#define V_SLEW_EARLY_SAMPLE(x) ((x) << S_SLEW_EARLY_SAMPLE)
60384#define F_SLEW_EARLY_SAMPLE V_SLEW_EARLY_SAMPLE(1U)
60385
60386#define S_SLEW_DONE_STATUS 4
60387#define M_SLEW_DONE_STATUS 0x3U
60388#define V_SLEW_DONE_STATUS(x) ((x) << S_SLEW_DONE_STATUS)
60389#define G_SLEW_DONE_STATUS(x) (((x) >> S_SLEW_DONE_STATUS) & M_SLEW_DONE_STATUS)
60390
60391#define S_SLEW_CNTL 0
60392#define M_SLEW_CNTL 0xfU
60393#define V_SLEW_CNTL(x) ((x) << S_SLEW_CNTL)
60394#define G_SLEW_CNTL(x) (((x) >> S_SLEW_CNTL) & M_SLEW_CNTL)
60395
60396#define A_MC_DDRPHY_AD32S_SYSCLK_PR_VALUE_RO 0x460d0
60397#define A_MC_DDRPHY_ADR_GMTEST_ATEST_CNTL 0x460d4
60398
60399#define S_FLUSH 15
60400#define V_FLUSH(x) ((x) << S_FLUSH)
60401#define F_FLUSH V_FLUSH(1U)
60402
60403#define S_GIANT_MUX_TEST_EN 14
60404#define V_GIANT_MUX_TEST_EN(x) ((x) << S_GIANT_MUX_TEST_EN)
60405#define F_GIANT_MUX_TEST_EN V_GIANT_MUX_TEST_EN(1U)
60406
60407#define S_GIANT_MUX_TEST_VAL 13
60408#define V_GIANT_MUX_TEST_VAL(x) ((x) << S_GIANT_MUX_TEST_VAL)
60409#define F_GIANT_MUX_TEST_VAL V_GIANT_MUX_TEST_VAL(1U)
60410
60411#define S_HS_PROBE_A_SEL_ 8
60412#define M_HS_PROBE_A_SEL_ 0xfU
60413#define V_HS_PROBE_A_SEL_(x) ((x) << S_HS_PROBE_A_SEL_)
60414#define G_HS_PROBE_A_SEL_(x) (((x) >> S_HS_PROBE_A_SEL_) & M_HS_PROBE_A_SEL_)
60415
60416#define S_HS_PROBE_B_SEL_ 4
60417#define M_HS_PROBE_B_SEL_ 0xfU
60418#define V_HS_PROBE_B_SEL_(x) ((x) << S_HS_PROBE_B_SEL_)
60419#define G_HS_PROBE_B_SEL_(x) (((x) >> S_HS_PROBE_B_SEL_) & M_HS_PROBE_B_SEL_)
60420
60421#define S_ATEST1CTL0 3
60422#define V_ATEST1CTL0(x) ((x) << S_ATEST1CTL0)
60423#define F_ATEST1CTL0 V_ATEST1CTL0(1U)
60424
60425#define S_ATEST1CTL1 2
60426#define V_ATEST1CTL1(x) ((x) << S_ATEST1CTL1)
60427#define F_ATEST1CTL1 V_ATEST1CTL1(1U)
60428
60429#define S_ATEST1CTL2 1
60430#define V_ATEST1CTL2(x) ((x) << S_ATEST1CTL2)
60431#define F_ATEST1CTL2 V_ATEST1CTL2(1U)
60432
60433#define S_ATEST1CTL3 0
60434#define V_ATEST1CTL3(x) ((x) << S_ATEST1CTL3)
60435#define F_ATEST1CTL3 V_ATEST1CTL3(1U)
60436
60437#define A_MC_DDRPHY_AD32S_OUTPUT_FORCE_ATEST_CNTL 0x460d4
60438
60439#define S_FORCE_EN 14
60440#define V_FORCE_EN(x) ((x) << S_FORCE_EN)
60441#define F_FORCE_EN V_FORCE_EN(1U)
60442
60443#define S_AD32S_HS_PROBE_A_SEL 8
60444#define M_AD32S_HS_PROBE_A_SEL 0xfU
60445#define V_AD32S_HS_PROBE_A_SEL(x) ((x) << S_AD32S_HS_PROBE_A_SEL)
60446#define G_AD32S_HS_PROBE_A_SEL(x) (((x) >> S_AD32S_HS_PROBE_A_SEL) & M_AD32S_HS_PROBE_A_SEL)
60447
60448#define S_AD32S_HS_PROBE_B_SEL 4
60449#define M_AD32S_HS_PROBE_B_SEL 0xfU
60450#define V_AD32S_HS_PROBE_B_SEL(x) ((x) << S_AD32S_HS_PROBE_B_SEL)
60451#define G_AD32S_HS_PROBE_B_SEL(x) (((x) >> S_AD32S_HS_PROBE_B_SEL) & M_AD32S_HS_PROBE_B_SEL)
60452
60453#define A_MC_DDRPHY_ADR_GIANT_MUX_RESULTS_A0 0x460d8
60454
60455#define S_GIANT_MUX_TEST_RESULTS 0
60456#define M_GIANT_MUX_TEST_RESULTS 0xffffU
60457#define V_GIANT_MUX_TEST_RESULTS(x) ((x) << S_GIANT_MUX_TEST_RESULTS)
60458#define G_GIANT_MUX_TEST_RESULTS(x) (((x) >> S_GIANT_MUX_TEST_RESULTS) & M_GIANT_MUX_TEST_RESULTS)
60459
60460#define A_MC_DDRPHY_AD32S_OUTPUT_DRIVER_FORCE_VALUE0 0x460d8
60461
60462#define S_OUTPUT_DRIVER_FORCE_VALUE 0
60463#define M_OUTPUT_DRIVER_FORCE_VALUE 0xffffU
60464#define V_OUTPUT_DRIVER_FORCE_VALUE(x) ((x) << S_OUTPUT_DRIVER_FORCE_VALUE)
60465#define G_OUTPUT_DRIVER_FORCE_VALUE(x) (((x) >> S_OUTPUT_DRIVER_FORCE_VALUE) & M_OUTPUT_DRIVER_FORCE_VALUE)
60466
60467#define A_MC_DDRPHY_ADR_GIANT_MUX_RESULTS_A1 0x460dc
60468#define A_MC_DDRPHY_AD32S_OUTPUT_DRIVER_FORCE_VALUE1 0x460dc
60469#define A_MC_DDRPHY_ADR_POWERDOWN_1 0x460e0
60470
60471#define S_MASTER_PD_CNTL 15
60472#define V_MASTER_PD_CNTL(x) ((x) << S_MASTER_PD_CNTL)
60473#define F_MASTER_PD_CNTL V_MASTER_PD_CNTL(1U)
60474
60475#define S_ANALOG_INPUT_STAB2 14
60476#define V_ANALOG_INPUT_STAB2(x) ((x) << S_ANALOG_INPUT_STAB2)
60477#define F_ANALOG_INPUT_STAB2 V_ANALOG_INPUT_STAB2(1U)
60478
60479#define S_ANALOG_INPUT_STAB1 8
60480#define V_ANALOG_INPUT_STAB1(x) ((x) << S_ANALOG_INPUT_STAB1)
60481#define F_ANALOG_INPUT_STAB1 V_ANALOG_INPUT_STAB1(1U)
60482
60483#define S_SYSCLK_CLK_GATE 6
60484#define M_SYSCLK_CLK_GATE 0x3U
60485#define V_SYSCLK_CLK_GATE(x) ((x) << S_SYSCLK_CLK_GATE)
60486#define G_SYSCLK_CLK_GATE(x) (((x) >> S_SYSCLK_CLK_GATE) & M_SYSCLK_CLK_GATE)
60487
60488#define S_WR_FIFO_STAB 5
60489#define V_WR_FIFO_STAB(x) ((x) << S_WR_FIFO_STAB)
60490#define F_WR_FIFO_STAB V_WR_FIFO_STAB(1U)
60491
60492#define S_ADR_RX_PD 4
60493#define V_ADR_RX_PD(x) ((x) << S_ADR_RX_PD)
60494#define F_ADR_RX_PD V_ADR_RX_PD(1U)
60495
60496#define S_TX_TRISTATE_CNTL 1
60497#define V_TX_TRISTATE_CNTL(x) ((x) << S_TX_TRISTATE_CNTL)
60498#define F_TX_TRISTATE_CNTL V_TX_TRISTATE_CNTL(1U)
60499
60500#define S_DVCC_REG_PD 0
60501#define V_DVCC_REG_PD(x) ((x) << S_DVCC_REG_PD)
60502#define F_DVCC_REG_PD V_DVCC_REG_PD(1U)
60503
60504#define A_MC_DDRPHY_AD32S_POWERDOWN_1 0x460e0
60505#define A_MC_DDRPHY_ADR_SLEW_CAL_CNTL 0x460e4
60506
60507#define S_SLEW_CAL_ENABLE 15
60508#define V_SLEW_CAL_ENABLE(x) ((x) << S_SLEW_CAL_ENABLE)
60509#define F_SLEW_CAL_ENABLE V_SLEW_CAL_ENABLE(1U)
60510
60511#define S_SLEW_CAL_START 14
60512#define V_SLEW_CAL_START(x) ((x) << S_SLEW_CAL_START)
60513#define F_SLEW_CAL_START V_SLEW_CAL_START(1U)
60514
60515#define S_SLEW_CAL_OVERRIDE_EN 12
60516#define V_SLEW_CAL_OVERRIDE_EN(x) ((x) << S_SLEW_CAL_OVERRIDE_EN)
60517#define F_SLEW_CAL_OVERRIDE_EN V_SLEW_CAL_OVERRIDE_EN(1U)
60518
60519#define S_SLEW_CAL_OVERRIDE 8
60520#define M_SLEW_CAL_OVERRIDE 0xfU
60521#define V_SLEW_CAL_OVERRIDE(x) ((x) << S_SLEW_CAL_OVERRIDE)
60522#define G_SLEW_CAL_OVERRIDE(x) (((x) >> S_SLEW_CAL_OVERRIDE) & M_SLEW_CAL_OVERRIDE)
60523
60524#define S_SLEW_TARGET_PR_OFFSET 0
60525#define M_SLEW_TARGET_PR_OFFSET 0x1fU
60526#define V_SLEW_TARGET_PR_OFFSET(x) ((x) << S_SLEW_TARGET_PR_OFFSET)
60527#define G_SLEW_TARGET_PR_OFFSET(x) (((x) >> S_SLEW_TARGET_PR_OFFSET) & M_SLEW_TARGET_PR_OFFSET)
60528
60529#define A_MC_DDRPHY_AD32S_SLEW_CAL_CNTL 0x460e4
60530#define A_MC_DDRPHY_PC_DP18_PLL_LOCK_STATUS 0x47000
60531
60532#define S_DP18_PLL_LOCK 1
60533#define M_DP18_PLL_LOCK 0x7fffU
60534#define V_DP18_PLL_LOCK(x) ((x) << S_DP18_PLL_LOCK)
60535#define G_DP18_PLL_LOCK(x) (((x) >> S_DP18_PLL_LOCK) & M_DP18_PLL_LOCK)
60536
60537#define A_MC_DDRPHY_PC_AD32S_PLL_LOCK_STATUS 0x47004
60538
60539#define S_AD32S_PLL_LOCK 14
60540#define M_AD32S_PLL_LOCK 0x3U
60541#define V_AD32S_PLL_LOCK(x) ((x) << S_AD32S_PLL_LOCK)
60542#define G_AD32S_PLL_LOCK(x) (((x) >> S_AD32S_PLL_LOCK) & M_AD32S_PLL_LOCK)
60543
60544#define A_MC_DDRPHY_PC_RANK_PAIR0 0x47008
60545
60546#define S_RANK_PAIR0_PRI 13
60547#define M_RANK_PAIR0_PRI 0x7U
60548#define V_RANK_PAIR0_PRI(x) ((x) << S_RANK_PAIR0_PRI)
60549#define G_RANK_PAIR0_PRI(x) (((x) >> S_RANK_PAIR0_PRI) & M_RANK_PAIR0_PRI)
60550
60551#define S_RANK_PAIR0_PRI_V 12
60552#define V_RANK_PAIR0_PRI_V(x) ((x) << S_RANK_PAIR0_PRI_V)
60553#define F_RANK_PAIR0_PRI_V V_RANK_PAIR0_PRI_V(1U)
60554
60555#define S_RANK_PAIR0_SEC 9
60556#define M_RANK_PAIR0_SEC 0x7U
60557#define V_RANK_PAIR0_SEC(x) ((x) << S_RANK_PAIR0_SEC)
60558#define G_RANK_PAIR0_SEC(x) (((x) >> S_RANK_PAIR0_SEC) & M_RANK_PAIR0_SEC)
60559
60560#define S_RANK_PAIR0_SEC_V 8
60561#define V_RANK_PAIR0_SEC_V(x) ((x) << S_RANK_PAIR0_SEC_V)
60562#define F_RANK_PAIR0_SEC_V V_RANK_PAIR0_SEC_V(1U)
60563
60564#define S_RANK_PAIR1_PRI 5
60565#define M_RANK_PAIR1_PRI 0x7U
60566#define V_RANK_PAIR1_PRI(x) ((x) << S_RANK_PAIR1_PRI)
60567#define G_RANK_PAIR1_PRI(x) (((x) >> S_RANK_PAIR1_PRI) & M_RANK_PAIR1_PRI)
60568
60569#define S_RANK_PAIR1_PRI_V 4
60570#define V_RANK_PAIR1_PRI_V(x) ((x) << S_RANK_PAIR1_PRI_V)
60571#define F_RANK_PAIR1_PRI_V V_RANK_PAIR1_PRI_V(1U)
60572
60573#define S_RANK_PAIR1_SEC 1
60574#define M_RANK_PAIR1_SEC 0x7U
60575#define V_RANK_PAIR1_SEC(x) ((x) << S_RANK_PAIR1_SEC)
60576#define G_RANK_PAIR1_SEC(x) (((x) >> S_RANK_PAIR1_SEC) & M_RANK_PAIR1_SEC)
60577
60578#define S_RANK_PAIR1_SEC_V 0
60579#define V_RANK_PAIR1_SEC_V(x) ((x) << S_RANK_PAIR1_SEC_V)
60580#define F_RANK_PAIR1_SEC_V V_RANK_PAIR1_SEC_V(1U)
60581
60582#define A_MC_DDRPHY_PC_RANK_PAIR1 0x4700c
60583
60584#define S_RANK_PAIR2_PRI 13
60585#define M_RANK_PAIR2_PRI 0x7U
60586#define V_RANK_PAIR2_PRI(x) ((x) << S_RANK_PAIR2_PRI)
60587#define G_RANK_PAIR2_PRI(x) (((x) >> S_RANK_PAIR2_PRI) & M_RANK_PAIR2_PRI)
60588
60589#define S_RANK_PAIR2_PRI_V 12
60590#define V_RANK_PAIR2_PRI_V(x) ((x) << S_RANK_PAIR2_PRI_V)
60591#define F_RANK_PAIR2_PRI_V V_RANK_PAIR2_PRI_V(1U)
60592
60593#define S_RANK_PAIR2_SEC 9
60594#define M_RANK_PAIR2_SEC 0x7U
60595#define V_RANK_PAIR2_SEC(x) ((x) << S_RANK_PAIR2_SEC)
60596#define G_RANK_PAIR2_SEC(x) (((x) >> S_RANK_PAIR2_SEC) & M_RANK_PAIR2_SEC)
60597
60598#define S_RANK_PAIR2_SEC_V 8
60599#define V_RANK_PAIR2_SEC_V(x) ((x) << S_RANK_PAIR2_SEC_V)
60600#define F_RANK_PAIR2_SEC_V V_RANK_PAIR2_SEC_V(1U)
60601
60602#define S_RANK_PAIR3_PRI 5
60603#define M_RANK_PAIR3_PRI 0x7U
60604#define V_RANK_PAIR3_PRI(x) ((x) << S_RANK_PAIR3_PRI)
60605#define G_RANK_PAIR3_PRI(x) (((x) >> S_RANK_PAIR3_PRI) & M_RANK_PAIR3_PRI)
60606
60607#define S_RANK_PAIR3_PRI_V 4
60608#define V_RANK_PAIR3_PRI_V(x) ((x) << S_RANK_PAIR3_PRI_V)
60609#define F_RANK_PAIR3_PRI_V V_RANK_PAIR3_PRI_V(1U)
60610
60611#define S_RANK_PAIR3_SEC 1
60612#define M_RANK_PAIR3_SEC 0x7U
60613#define V_RANK_PAIR3_SEC(x) ((x) << S_RANK_PAIR3_SEC)
60614#define G_RANK_PAIR3_SEC(x) (((x) >> S_RANK_PAIR3_SEC) & M_RANK_PAIR3_SEC)
60615
60616#define S_RANK_PAIR3_SEC_V 0
60617#define V_RANK_PAIR3_SEC_V(x) ((x) << S_RANK_PAIR3_SEC_V)
60618#define F_RANK_PAIR3_SEC_V V_RANK_PAIR3_SEC_V(1U)
60619
60620#define A_MC_DDRPHY_PC_BASE_CNTR0 0x47010
60621
60622#define S_PERIODIC_BASE_CNTR0 0
60623#define M_PERIODIC_BASE_CNTR0 0xffffU
60624#define V_PERIODIC_BASE_CNTR0(x) ((x) << S_PERIODIC_BASE_CNTR0)
60625#define G_PERIODIC_BASE_CNTR0(x) (((x) >> S_PERIODIC_BASE_CNTR0) & M_PERIODIC_BASE_CNTR0)
60626
60627#define A_MC_DDRPHY_PC_RELOAD_VALUE0 0x47014
60628
60629#define S_PERIODIC_CAL_REQ_EN 15
60630#define V_PERIODIC_CAL_REQ_EN(x) ((x) << S_PERIODIC_CAL_REQ_EN)
60631#define F_PERIODIC_CAL_REQ_EN V_PERIODIC_CAL_REQ_EN(1U)
60632
60633#define S_PERIODIC_RELOAD_VALUE0 0
60634#define M_PERIODIC_RELOAD_VALUE0 0x7fffU
60635#define V_PERIODIC_RELOAD_VALUE0(x) ((x) << S_PERIODIC_RELOAD_VALUE0)
60636#define G_PERIODIC_RELOAD_VALUE0(x) (((x) >> S_PERIODIC_RELOAD_VALUE0) & M_PERIODIC_RELOAD_VALUE0)
60637
60638#define A_MC_DDRPHY_PC_BASE_CNTR1 0x47018
60639
60640#define S_PERIODIC_BASE_CNTR1 0
60641#define M_PERIODIC_BASE_CNTR1 0xffffU
60642#define V_PERIODIC_BASE_CNTR1(x) ((x) << S_PERIODIC_BASE_CNTR1)
60643#define G_PERIODIC_BASE_CNTR1(x) (((x) >> S_PERIODIC_BASE_CNTR1) & M_PERIODIC_BASE_CNTR1)
60644
60645#define A_MC_DDRPHY_PC_CAL_TIMER 0x4701c
60646
60647#define S_PERIODIC_CAL_TIMER 0
60648#define M_PERIODIC_CAL_TIMER 0xffffU
60649#define V_PERIODIC_CAL_TIMER(x) ((x) << S_PERIODIC_CAL_TIMER)
60650#define G_PERIODIC_CAL_TIMER(x) (((x) >> S_PERIODIC_CAL_TIMER) & M_PERIODIC_CAL_TIMER)
60651
60652#define A_MC_DDRPHY_PC_CAL_TIMER_RELOAD_VALUE 0x47020
60653
60654#define S_PERIODIC_TIMER_RELOAD_VALUE 0
60655#define M_PERIODIC_TIMER_RELOAD_VALUE 0xffffU
60656#define V_PERIODIC_TIMER_RELOAD_VALUE(x) ((x) << S_PERIODIC_TIMER_RELOAD_VALUE)
60657#define G_PERIODIC_TIMER_RELOAD_VALUE(x) (((x) >> S_PERIODIC_TIMER_RELOAD_VALUE) & M_PERIODIC_TIMER_RELOAD_VALUE)
60658
60659#define A_MC_DDRPHY_PC_ZCAL_TIMER 0x47024
60660
60661#define S_PERIODIC_ZCAL_TIMER 0
60662#define M_PERIODIC_ZCAL_TIMER 0xffffU
60663#define V_PERIODIC_ZCAL_TIMER(x) ((x) << S_PERIODIC_ZCAL_TIMER)
60664#define G_PERIODIC_ZCAL_TIMER(x) (((x) >> S_PERIODIC_ZCAL_TIMER) & M_PERIODIC_ZCAL_TIMER)
60665
60666#define A_MC_DDRPHY_PC_ZCAL_TIMER_RELOAD_VALUE 0x47028
60667#define A_MC_DDRPHY_PC_PER_CAL_CONFIG 0x4702c
60668
60669#define S_PER_ENA_RANK_PAIR 12
60670#define M_PER_ENA_RANK_PAIR 0xfU
60671#define V_PER_ENA_RANK_PAIR(x) ((x) << S_PER_ENA_RANK_PAIR)
60672#define G_PER_ENA_RANK_PAIR(x) (((x) >> S_PER_ENA_RANK_PAIR) & M_PER_ENA_RANK_PAIR)
60673
60674#define S_PER_ENA_ZCAL 11
60675#define V_PER_ENA_ZCAL(x) ((x) << S_PER_ENA_ZCAL)
60676#define F_PER_ENA_ZCAL V_PER_ENA_ZCAL(1U)
60677
60678#define S_PER_ENA_SYSCLK_ALIGN 10
60679#define V_PER_ENA_SYSCLK_ALIGN(x) ((x) << S_PER_ENA_SYSCLK_ALIGN)
60680#define F_PER_ENA_SYSCLK_ALIGN V_PER_ENA_SYSCLK_ALIGN(1U)
60681
60682#define S_ENA_PER_READ_CTR 9
60683#define V_ENA_PER_READ_CTR(x) ((x) << S_ENA_PER_READ_CTR)
60684#define F_ENA_PER_READ_CTR V_ENA_PER_READ_CTR(1U)
60685
60686#define S_ENA_PER_RDCLK_ALIGN 8
60687#define V_ENA_PER_RDCLK_ALIGN(x) ((x) << S_ENA_PER_RDCLK_ALIGN)
60688#define F_ENA_PER_RDCLK_ALIGN V_ENA_PER_RDCLK_ALIGN(1U)
60689
60690#define S_ENA_PER_DQS_ALIGN 7
60691#define V_ENA_PER_DQS_ALIGN(x) ((x) << S_ENA_PER_DQS_ALIGN)
60692#define F_ENA_PER_DQS_ALIGN V_ENA_PER_DQS_ALIGN(1U)
60693
60694#define S_PER_NEXT_RANK_PAIR 5
60695#define M_PER_NEXT_RANK_PAIR 0x3U
60696#define V_PER_NEXT_RANK_PAIR(x) ((x) << S_PER_NEXT_RANK_PAIR)
60697#define G_PER_NEXT_RANK_PAIR(x) (((x) >> S_PER_NEXT_RANK_PAIR) & M_PER_NEXT_RANK_PAIR)
60698
60699#define S_FAST_SIM_PER_CNTR 4
60700#define V_FAST_SIM_PER_CNTR(x) ((x) << S_FAST_SIM_PER_CNTR)
60701#define F_FAST_SIM_PER_CNTR V_FAST_SIM_PER_CNTR(1U)
60702
60703#define S_START_INIT_CAL 3
60704#define V_START_INIT_CAL(x) ((x) << S_START_INIT_CAL)
60705#define F_START_INIT_CAL V_START_INIT_CAL(1U)
60706
60707#define S_START_PER_CAL 2
60708#define V_START_PER_CAL(x) ((x) << S_START_PER_CAL)
60709#define F_START_PER_CAL V_START_PER_CAL(1U)
60710
60711#define S_ABORT_ON_ERR_EN 1
60712#define V_ABORT_ON_ERR_EN(x) ((x) << S_ABORT_ON_ERR_EN)
60713#define F_ABORT_ON_ERR_EN V_ABORT_ON_ERR_EN(1U)
60714
60715#define S_ENA_PER_RD_CTR 9
60716#define V_ENA_PER_RD_CTR(x) ((x) << S_ENA_PER_RD_CTR)
60717#define F_ENA_PER_RD_CTR V_ENA_PER_RD_CTR(1U)
60718
60719#define A_MC_DDRPHY_PC_CONFIG0 0x47030
60720
60721#define S_PROTOCOL_DDR 12
60722#define M_PROTOCOL_DDR 0xfU
60723#define V_PROTOCOL_DDR(x) ((x) << S_PROTOCOL_DDR)
60724#define G_PROTOCOL_DDR(x) (((x) >> S_PROTOCOL_DDR) & M_PROTOCOL_DDR)
60725
60726#define S_DATA_MUX4_1MODE 11
60727#define V_DATA_MUX4_1MODE(x) ((x) << S_DATA_MUX4_1MODE)
60728#define F_DATA_MUX4_1MODE V_DATA_MUX4_1MODE(1U)
60729
60730#define S_DDR4_CMD_SIG_REDUCTION 9
60731#define V_DDR4_CMD_SIG_REDUCTION(x) ((x) << S_DDR4_CMD_SIG_REDUCTION)
60732#define F_DDR4_CMD_SIG_REDUCTION V_DDR4_CMD_SIG_REDUCTION(1U)
60733
60734#define S_SYSCLK_2X_MEMINTCLKO 8
60735#define V_SYSCLK_2X_MEMINTCLKO(x) ((x) << S_SYSCLK_2X_MEMINTCLKO)
60736#define F_SYSCLK_2X_MEMINTCLKO V_SYSCLK_2X_MEMINTCLKO(1U)
60737
60738#define S_RANK_OVERRIDE 7
60739#define V_RANK_OVERRIDE(x) ((x) << S_RANK_OVERRIDE)
60740#define F_RANK_OVERRIDE V_RANK_OVERRIDE(1U)
60741
60742#define S_RANK_OVERRIDE_VALUE 4
60743#define M_RANK_OVERRIDE_VALUE 0x7U
60744#define V_RANK_OVERRIDE_VALUE(x) ((x) << S_RANK_OVERRIDE_VALUE)
60745#define G_RANK_OVERRIDE_VALUE(x) (((x) >> S_RANK_OVERRIDE_VALUE) & M_RANK_OVERRIDE_VALUE)
60746
60747#define S_LOW_LATENCY 3
60748#define V_LOW_LATENCY(x) ((x) << S_LOW_LATENCY)
60749#define F_LOW_LATENCY V_LOW_LATENCY(1U)
60750
60751#define S_DDR4_BANK_REFRESH 2
60752#define V_DDR4_BANK_REFRESH(x) ((x) << S_DDR4_BANK_REFRESH)
60753#define F_DDR4_BANK_REFRESH V_DDR4_BANK_REFRESH(1U)
60754
60755#define S_DDR4_VLEVEL_BANK_GROUP 1
60756#define V_DDR4_VLEVEL_BANK_GROUP(x) ((x) << S_DDR4_VLEVEL_BANK_GROUP)
60757#define F_DDR4_VLEVEL_BANK_GROUP V_DDR4_VLEVEL_BANK_GROUP(1U)
60758
60759#define S_DDRPHY_PROTOCOL 12
60760#define M_DDRPHY_PROTOCOL 0xfU
60761#define V_DDRPHY_PROTOCOL(x) ((x) << S_DDRPHY_PROTOCOL)
60762#define G_DDRPHY_PROTOCOL(x) (((x) >> S_DDRPHY_PROTOCOL) & M_DDRPHY_PROTOCOL)
60763
60764#define S_SPAM_EN 10
60765#define V_SPAM_EN(x) ((x) << S_SPAM_EN)
60766#define F_SPAM_EN V_SPAM_EN(1U)
60767
60768#define S_DDR4_IPW_LOOP_DIS 2
60769#define V_DDR4_IPW_LOOP_DIS(x) ((x) << S_DDR4_IPW_LOOP_DIS)
60770#define F_DDR4_IPW_LOOP_DIS V_DDR4_IPW_LOOP_DIS(1U)
60771
60772#define A_MC_DDRPHY_PC_CONFIG1 0x47034
60773
60774#define S_WRITE_LATENCY_OFFSET 12
60775#define M_WRITE_LATENCY_OFFSET 0xfU
60776#define V_WRITE_LATENCY_OFFSET(x) ((x) << S_WRITE_LATENCY_OFFSET)
60777#define G_WRITE_LATENCY_OFFSET(x) (((x) >> S_WRITE_LATENCY_OFFSET) & M_WRITE_LATENCY_OFFSET)
60778
60779#define S_READ_LATENCY_OFFSET 8
60780#define M_READ_LATENCY_OFFSET 0xfU
60781#define V_READ_LATENCY_OFFSET(x) ((x) << S_READ_LATENCY_OFFSET)
60782#define G_READ_LATENCY_OFFSET(x) (((x) >> S_READ_LATENCY_OFFSET) & M_READ_LATENCY_OFFSET)
60783
60784#define S_MEMCTL_CIC_FAST 7
60785#define V_MEMCTL_CIC_FAST(x) ((x) << S_MEMCTL_CIC_FAST)
60786#define F_MEMCTL_CIC_FAST V_MEMCTL_CIC_FAST(1U)
60787
60788#define S_MEMCTL_CTRN_IGNORE 6
60789#define V_MEMCTL_CTRN_IGNORE(x) ((x) << S_MEMCTL_CTRN_IGNORE)
60790#define F_MEMCTL_CTRN_IGNORE V_MEMCTL_CTRN_IGNORE(1U)
60791
60792#define S_DISABLE_MEMCTL_CAL 5
60793#define V_DISABLE_MEMCTL_CAL(x) ((x) << S_DISABLE_MEMCTL_CAL)
60794#define F_DISABLE_MEMCTL_CAL V_DISABLE_MEMCTL_CAL(1U)
60795
60796#define S_MEMCTL_CIS_IGNORE 6
60797#define V_MEMCTL_CIS_IGNORE(x) ((x) << S_MEMCTL_CIS_IGNORE)
60798#define F_MEMCTL_CIS_IGNORE V_MEMCTL_CIS_IGNORE(1U)
60799
60800#define S_MEMORY_TYPE 2
60801#define M_MEMORY_TYPE 0x7U
60802#define V_MEMORY_TYPE(x) ((x) << S_MEMORY_TYPE)
60803#define G_MEMORY_TYPE(x) (((x) >> S_MEMORY_TYPE) & M_MEMORY_TYPE)
60804
60805#define S_DDR4_PDA_MODE 1
60806#define V_DDR4_PDA_MODE(x) ((x) << S_DDR4_PDA_MODE)
60807#define F_DDR4_PDA_MODE V_DDR4_PDA_MODE(1U)
60808
60809#define A_MC_DDRPHY_PC_RESETS 0x47038
60810
60811#define S_PLL_RESET 15
60812#define V_PLL_RESET(x) ((x) << S_PLL_RESET)
60813#define F_PLL_RESET V_PLL_RESET(1U)
60814
60815#define S_SYSCLK_RESET 14
60816#define V_SYSCLK_RESET(x) ((x) << S_SYSCLK_RESET)
60817#define F_SYSCLK_RESET V_SYSCLK_RESET(1U)
60818
60819#define A_MC_DDRPHY_PC_PER_ZCAL_CONFIG 0x4703c
60820
60821#define S_PER_ZCAL_ENA_RANK 8
60822#define M_PER_ZCAL_ENA_RANK 0xffU
60823#define V_PER_ZCAL_ENA_RANK(x) ((x) << S_PER_ZCAL_ENA_RANK)
60824#define G_PER_ZCAL_ENA_RANK(x) (((x) >> S_PER_ZCAL_ENA_RANK) & M_PER_ZCAL_ENA_RANK)
60825
60826#define S_PER_ZCAL_NEXT_RANK 5
60827#define M_PER_ZCAL_NEXT_RANK 0x7U
60828#define V_PER_ZCAL_NEXT_RANK(x) ((x) << S_PER_ZCAL_NEXT_RANK)
60829#define G_PER_ZCAL_NEXT_RANK(x) (((x) >> S_PER_ZCAL_NEXT_RANK) & M_PER_ZCAL_NEXT_RANK)
60830
60831#define S_START_PER_ZCAL 4
60832#define V_START_PER_ZCAL(x) ((x) << S_START_PER_ZCAL)
60833#define F_START_PER_ZCAL V_START_PER_ZCAL(1U)
60834
60835#define A_MC_DDRPHY_PC_RANK_GROUP 0x47044
60836
60837#define S_ADDR_MIRROR_RP0_PRI 15
60838#define V_ADDR_MIRROR_RP0_PRI(x) ((x) << S_ADDR_MIRROR_RP0_PRI)
60839#define F_ADDR_MIRROR_RP0_PRI V_ADDR_MIRROR_RP0_PRI(1U)
60840
60841#define S_ADDR_MIRROR_RP0_SEC 14
60842#define V_ADDR_MIRROR_RP0_SEC(x) ((x) << S_ADDR_MIRROR_RP0_SEC)
60843#define F_ADDR_MIRROR_RP0_SEC V_ADDR_MIRROR_RP0_SEC(1U)
60844
60845#define S_ADDR_MIRROR_RP1_PRI 13
60846#define V_ADDR_MIRROR_RP1_PRI(x) ((x) << S_ADDR_MIRROR_RP1_PRI)
60847#define F_ADDR_MIRROR_RP1_PRI V_ADDR_MIRROR_RP1_PRI(1U)
60848
60849#define S_ADDR_MIRROR_RP1_SEC 12
60850#define V_ADDR_MIRROR_RP1_SEC(x) ((x) << S_ADDR_MIRROR_RP1_SEC)
60851#define F_ADDR_MIRROR_RP1_SEC V_ADDR_MIRROR_RP1_SEC(1U)
60852
60853#define S_ADDR_MIRROR_RP2_PRI 11
60854#define V_ADDR_MIRROR_RP2_PRI(x) ((x) << S_ADDR_MIRROR_RP2_PRI)
60855#define F_ADDR_MIRROR_RP2_PRI V_ADDR_MIRROR_RP2_PRI(1U)
60856
60857#define S_ADDR_MIRROR_RP2_SEC 10
60858#define V_ADDR_MIRROR_RP2_SEC(x) ((x) << S_ADDR_MIRROR_RP2_SEC)
60859#define F_ADDR_MIRROR_RP2_SEC V_ADDR_MIRROR_RP2_SEC(1U)
60860
60861#define S_ADDR_MIRROR_RP3_PRI 9
60862#define V_ADDR_MIRROR_RP3_PRI(x) ((x) << S_ADDR_MIRROR_RP3_PRI)
60863#define F_ADDR_MIRROR_RP3_PRI V_ADDR_MIRROR_RP3_PRI(1U)
60864
60865#define S_ADDR_MIRROR_RP3_SEC 8
60866#define V_ADDR_MIRROR_RP3_SEC(x) ((x) << S_ADDR_MIRROR_RP3_SEC)
60867#define F_ADDR_MIRROR_RP3_SEC V_ADDR_MIRROR_RP3_SEC(1U)
60868
60869#define S_RANK_GROUPING 6
60870#define M_RANK_GROUPING 0x3U
60871#define V_RANK_GROUPING(x) ((x) << S_RANK_GROUPING)
60872#define G_RANK_GROUPING(x) (((x) >> S_RANK_GROUPING) & M_RANK_GROUPING)
60873
60874#define S_ADDR_MIRROR_A3_A4 5
60875#define V_ADDR_MIRROR_A3_A4(x) ((x) << S_ADDR_MIRROR_A3_A4)
60876#define F_ADDR_MIRROR_A3_A4 V_ADDR_MIRROR_A3_A4(1U)
60877
60878#define S_ADDR_MIRROR_A5_A6 4
60879#define V_ADDR_MIRROR_A5_A6(x) ((x) << S_ADDR_MIRROR_A5_A6)
60880#define F_ADDR_MIRROR_A5_A6 V_ADDR_MIRROR_A5_A6(1U)
60881
60882#define S_ADDR_MIRROR_A7_A8 3
60883#define V_ADDR_MIRROR_A7_A8(x) ((x) << S_ADDR_MIRROR_A7_A8)
60884#define F_ADDR_MIRROR_A7_A8 V_ADDR_MIRROR_A7_A8(1U)
60885
60886#define S_ADDR_MIRROR_A11_A13 2
60887#define V_ADDR_MIRROR_A11_A13(x) ((x) << S_ADDR_MIRROR_A11_A13)
60888#define F_ADDR_MIRROR_A11_A13 V_ADDR_MIRROR_A11_A13(1U)
60889
60890#define S_ADDR_MIRROR_BA0_BA1 1
60891#define V_ADDR_MIRROR_BA0_BA1(x) ((x) << S_ADDR_MIRROR_BA0_BA1)
60892#define F_ADDR_MIRROR_BA0_BA1 V_ADDR_MIRROR_BA0_BA1(1U)
60893
60894#define S_ADDR_MIRROR_BG0_BG1 0
60895#define V_ADDR_MIRROR_BG0_BG1(x) ((x) << S_ADDR_MIRROR_BG0_BG1)
60896#define F_ADDR_MIRROR_BG0_BG1 V_ADDR_MIRROR_BG0_BG1(1U)
60897
60898#define A_MC_DDRPHY_PC_ERROR_STATUS0 0x47048
60899
60900#define S_RC_ERROR 15
60901#define V_RC_ERROR(x) ((x) << S_RC_ERROR)
60902#define F_RC_ERROR V_RC_ERROR(1U)
60903
60904#define S_WC_ERROR 14
60905#define V_WC_ERROR(x) ((x) << S_WC_ERROR)
60906#define F_WC_ERROR V_WC_ERROR(1U)
60907
60908#define S_SEQ_ERROR 13
60909#define V_SEQ_ERROR(x) ((x) << S_SEQ_ERROR)
60910#define F_SEQ_ERROR V_SEQ_ERROR(1U)
60911
60912#define S_CC_ERROR 12
60913#define V_CC_ERROR(x) ((x) << S_CC_ERROR)
60914#define F_CC_ERROR V_CC_ERROR(1U)
60915
60916#define S_APB_ERROR 11
60917#define V_APB_ERROR(x) ((x) << S_APB_ERROR)
60918#define F_APB_ERROR V_APB_ERROR(1U)
60919
60920#define S_PC_ERROR 10
60921#define V_PC_ERROR(x) ((x) << S_PC_ERROR)
60922#define F_PC_ERROR V_PC_ERROR(1U)
60923
60924#define A_MC_DDRPHY_PC_ERROR_MASK0 0x4704c
60925
60926#define S_RC_ERROR_MASK 15
60927#define V_RC_ERROR_MASK(x) ((x) << S_RC_ERROR_MASK)
60928#define F_RC_ERROR_MASK V_RC_ERROR_MASK(1U)
60929
60930#define S_WC_ERROR_MASK 14
60931#define V_WC_ERROR_MASK(x) ((x) << S_WC_ERROR_MASK)
60932#define F_WC_ERROR_MASK V_WC_ERROR_MASK(1U)
60933
60934#define S_SEQ_ERROR_MASK 13
60935#define V_SEQ_ERROR_MASK(x) ((x) << S_SEQ_ERROR_MASK)
60936#define F_SEQ_ERROR_MASK V_SEQ_ERROR_MASK(1U)
60937
60938#define S_CC_ERROR_MASK 12
60939#define V_CC_ERROR_MASK(x) ((x) << S_CC_ERROR_MASK)
60940#define F_CC_ERROR_MASK V_CC_ERROR_MASK(1U)
60941
60942#define S_APB_ERROR_MASK 11
60943#define V_APB_ERROR_MASK(x) ((x) << S_APB_ERROR_MASK)
60944#define F_APB_ERROR_MASK V_APB_ERROR_MASK(1U)
60945
60946#define S_PC_ERROR_MASK 10
60947#define V_PC_ERROR_MASK(x) ((x) << S_PC_ERROR_MASK)
60948#define F_PC_ERROR_MASK V_PC_ERROR_MASK(1U)
60949
60950#define A_MC_DDRPHY_PC_IO_PVT_FET_CONTROL 0x47050
60951
60952#define S_PVTP 11
60953#define M_PVTP 0x1fU
60954#define V_PVTP(x) ((x) << S_PVTP)
60955#define G_PVTP(x) (((x) >> S_PVTP) & M_PVTP)
60956
60957#define S_PVTN 6
60958#define M_PVTN 0x1fU
60959#define V_PVTN(x) ((x) << S_PVTN)
60960#define G_PVTN(x) (((x) >> S_PVTN) & M_PVTN)
60961
60962#define S_PVT_OVERRIDE 5
60963#define V_PVT_OVERRIDE(x) ((x) << S_PVT_OVERRIDE)
60964#define F_PVT_OVERRIDE V_PVT_OVERRIDE(1U)
60965
60966#define S_ENABLE_ZCAL 4
60967#define V_ENABLE_ZCAL(x) ((x) << S_ENABLE_ZCAL)
60968#define F_ENABLE_ZCAL V_ENABLE_ZCAL(1U)
60969
60970#define A_MC_DDRPHY_PC_VREF_DRV_CONTROL 0x47054
60971
60972#define S_VREFDQ0DSGN 15
60973#define V_VREFDQ0DSGN(x) ((x) << S_VREFDQ0DSGN)
60974#define F_VREFDQ0DSGN V_VREFDQ0DSGN(1U)
60975
60976#define S_VREFDQ0D 11
60977#define M_VREFDQ0D 0xfU
60978#define V_VREFDQ0D(x) ((x) << S_VREFDQ0D)
60979#define G_VREFDQ0D(x) (((x) >> S_VREFDQ0D) & M_VREFDQ0D)
60980
60981#define S_VREFDQ1DSGN 10
60982#define V_VREFDQ1DSGN(x) ((x) << S_VREFDQ1DSGN)
60983#define F_VREFDQ1DSGN V_VREFDQ1DSGN(1U)
60984
60985#define S_VREFDQ1D 6
60986#define M_VREFDQ1D 0xfU
60987#define V_VREFDQ1D(x) ((x) << S_VREFDQ1D)
60988#define G_VREFDQ1D(x) (((x) >> S_VREFDQ1D) & M_VREFDQ1D)
60989
60990#define S_EN_ANALOG_PD 3
60991#define V_EN_ANALOG_PD(x) ((x) << S_EN_ANALOG_PD)
60992#define F_EN_ANALOG_PD V_EN_ANALOG_PD(1U)
60993
60994#define S_ANALOG_PD_DLY 2
60995#define V_ANALOG_PD_DLY(x) ((x) << S_ANALOG_PD_DLY)
60996#define F_ANALOG_PD_DLY V_ANALOG_PD_DLY(1U)
60997
60998#define S_ANALOG_PD_DIV 0
60999#define M_ANALOG_PD_DIV 0x3U
61000#define V_ANALOG_PD_DIV(x) ((x) << S_ANALOG_PD_DIV)
61001#define G_ANALOG_PD_DIV(x) (((x) >> S_ANALOG_PD_DIV) & M_ANALOG_PD_DIV)
61002
61003#define A_MC_DDRPHY_PC_INIT_CAL_CONFIG0 0x47058
61004
61005#define S_ENA_WR_LEVEL 15
61006#define V_ENA_WR_LEVEL(x) ((x) << S_ENA_WR_LEVEL)
61007#define F_ENA_WR_LEVEL V_ENA_WR_LEVEL(1U)
61008
61009#define S_ENA_INITIAL_PAT_WR 14
61010#define V_ENA_INITIAL_PAT_WR(x) ((x) << S_ENA_INITIAL_PAT_WR)
61011#define F_ENA_INITIAL_PAT_WR V_ENA_INITIAL_PAT_WR(1U)
61012
61013#define S_ENA_DQS_ALIGN 13
61014#define V_ENA_DQS_ALIGN(x) ((x) << S_ENA_DQS_ALIGN)
61015#define F_ENA_DQS_ALIGN V_ENA_DQS_ALIGN(1U)
61016
61017#define S_ENA_RDCLK_ALIGN 12
61018#define V_ENA_RDCLK_ALIGN(x) ((x) << S_ENA_RDCLK_ALIGN)
61019#define F_ENA_RDCLK_ALIGN V_ENA_RDCLK_ALIGN(1U)
61020
61021#define S_ENA_READ_CTR 11
61022#define V_ENA_READ_CTR(x) ((x) << S_ENA_READ_CTR)
61023#define F_ENA_READ_CTR V_ENA_READ_CTR(1U)
61024
61025#define S_ENA_WRITE_CTR 10
61026#define V_ENA_WRITE_CTR(x) ((x) << S_ENA_WRITE_CTR)
61027#define F_ENA_WRITE_CTR V_ENA_WRITE_CTR(1U)
61028
61029#define S_ENA_INITIAL_COARSE_WR 9
61030#define V_ENA_INITIAL_COARSE_WR(x) ((x) << S_ENA_INITIAL_COARSE_WR)
61031#define F_ENA_INITIAL_COARSE_WR V_ENA_INITIAL_COARSE_WR(1U)
61032
61033#define S_ENA_COARSE_RD 8
61034#define V_ENA_COARSE_RD(x) ((x) << S_ENA_COARSE_RD)
61035#define F_ENA_COARSE_RD V_ENA_COARSE_RD(1U)
61036
61037#define S_ENA_CUSTOM_RD 7
61038#define V_ENA_CUSTOM_RD(x) ((x) << S_ENA_CUSTOM_RD)
61039#define F_ENA_CUSTOM_RD V_ENA_CUSTOM_RD(1U)
61040
61041#define S_ENA_CUSTOM_WR 6
61042#define V_ENA_CUSTOM_WR(x) ((x) << S_ENA_CUSTOM_WR)
61043#define F_ENA_CUSTOM_WR V_ENA_CUSTOM_WR(1U)
61044
61045#define S_ABORT_ON_CAL_ERROR 5
61046#define V_ABORT_ON_CAL_ERROR(x) ((x) << S_ABORT_ON_CAL_ERROR)
61047#define F_ABORT_ON_CAL_ERROR V_ABORT_ON_CAL_ERROR(1U)
61048
61049#define S_ENA_DIGITAL_EYE 4
61050#define V_ENA_DIGITAL_EYE(x) ((x) << S_ENA_DIGITAL_EYE)
61051#define F_ENA_DIGITAL_EYE V_ENA_DIGITAL_EYE(1U)
61052
61053#define S_ENA_RANK_PAIR 0
61054#define M_ENA_RANK_PAIR 0xfU
61055#define V_ENA_RANK_PAIR(x) ((x) << S_ENA_RANK_PAIR)
61056#define G_ENA_RANK_PAIR(x) (((x) >> S_ENA_RANK_PAIR) & M_ENA_RANK_PAIR)
61057
61058#define A_MC_DDRPHY_PC_INIT_CAL_CONFIG1 0x4705c
61059
61060#define S_REFRESH_COUNT 12
61061#define M_REFRESH_COUNT 0xfU
61062#define V_REFRESH_COUNT(x) ((x) << S_REFRESH_COUNT)
61063#define G_REFRESH_COUNT(x) (((x) >> S_REFRESH_COUNT) & M_REFRESH_COUNT)
61064
61065#define S_REFRESH_CONTROL 10
61066#define M_REFRESH_CONTROL 0x3U
61067#define V_REFRESH_CONTROL(x) ((x) << S_REFRESH_CONTROL)
61068#define G_REFRESH_CONTROL(x) (((x) >> S_REFRESH_CONTROL) & M_REFRESH_CONTROL)
61069
61070#define S_REFRESH_ALL_RANKS 9
61071#define V_REFRESH_ALL_RANKS(x) ((x) << S_REFRESH_ALL_RANKS)
61072#define F_REFRESH_ALL_RANKS V_REFRESH_ALL_RANKS(1U)
61073
61074#define S_REFRESH_INTERVAL 0
61075#define M_REFRESH_INTERVAL 0x7fU
61076#define V_REFRESH_INTERVAL(x) ((x) << S_REFRESH_INTERVAL)
61077#define G_REFRESH_INTERVAL(x) (((x) >> S_REFRESH_INTERVAL) & M_REFRESH_INTERVAL)
61078
61079#define A_MC_DDRPHY_PC_INIT_CAL_ERROR 0x47060
61080
61081#define S_ERROR_WR_LEVEL 15
61082#define V_ERROR_WR_LEVEL(x) ((x) << S_ERROR_WR_LEVEL)
61083#define F_ERROR_WR_LEVEL V_ERROR_WR_LEVEL(1U)
61084
61085#define S_ERROR_INITIAL_PAT_WRITE 14
61086#define V_ERROR_INITIAL_PAT_WRITE(x) ((x) << S_ERROR_INITIAL_PAT_WRITE)
61087#define F_ERROR_INITIAL_PAT_WRITE V_ERROR_INITIAL_PAT_WRITE(1U)
61088
61089#define S_ERROR_DQS_ALIGN 13
61090#define V_ERROR_DQS_ALIGN(x) ((x) << S_ERROR_DQS_ALIGN)
61091#define F_ERROR_DQS_ALIGN V_ERROR_DQS_ALIGN(1U)
61092
61093#define S_ERROR_RDCLK_ALIGN 12
61094#define V_ERROR_RDCLK_ALIGN(x) ((x) << S_ERROR_RDCLK_ALIGN)
61095#define F_ERROR_RDCLK_ALIGN V_ERROR_RDCLK_ALIGN(1U)
61096
61097#define S_ERROR_READ_CTR 11
61098#define V_ERROR_READ_CTR(x) ((x) << S_ERROR_READ_CTR)
61099#define F_ERROR_READ_CTR V_ERROR_READ_CTR(1U)
61100
61101#define S_ERROR_WRITE_CTR 10
61102#define V_ERROR_WRITE_CTR(x) ((x) << S_ERROR_WRITE_CTR)
61103#define F_ERROR_WRITE_CTR V_ERROR_WRITE_CTR(1U)
61104
61105#define S_ERROR_INITIAL_COARSE_WR 9
61106#define V_ERROR_INITIAL_COARSE_WR(x) ((x) << S_ERROR_INITIAL_COARSE_WR)
61107#define F_ERROR_INITIAL_COARSE_WR V_ERROR_INITIAL_COARSE_WR(1U)
61108
61109#define S_ERROR_COARSE_RD 8
61110#define V_ERROR_COARSE_RD(x) ((x) << S_ERROR_COARSE_RD)
61111#define F_ERROR_COARSE_RD V_ERROR_COARSE_RD(1U)
61112
61113#define S_ERROR_CUSTOM_RD 7
61114#define V_ERROR_CUSTOM_RD(x) ((x) << S_ERROR_CUSTOM_RD)
61115#define F_ERROR_CUSTOM_RD V_ERROR_CUSTOM_RD(1U)
61116
61117#define S_ERROR_CUSTOM_WR 6
61118#define V_ERROR_CUSTOM_WR(x) ((x) << S_ERROR_CUSTOM_WR)
61119#define F_ERROR_CUSTOM_WR V_ERROR_CUSTOM_WR(1U)
61120
61121#define S_ERROR_DIGITAL_EYE 5
61122#define V_ERROR_DIGITAL_EYE(x) ((x) << S_ERROR_DIGITAL_EYE)
61123#define F_ERROR_DIGITAL_EYE V_ERROR_DIGITAL_EYE(1U)
61124
61125#define S_ERROR_RANK_PAIR 0
61126#define M_ERROR_RANK_PAIR 0xfU
61127#define V_ERROR_RANK_PAIR(x) ((x) << S_ERROR_RANK_PAIR)
61128#define G_ERROR_RANK_PAIR(x) (((x) >> S_ERROR_RANK_PAIR) & M_ERROR_RANK_PAIR)
61129
61130#define A_MC_DDRPHY_PC_INIT_CAL_STATUS 0x47064
61131
61132#define S_INIT_CAL_COMPLETE 12
61133#define M_INIT_CAL_COMPLETE 0xfU
61134#define V_INIT_CAL_COMPLETE(x) ((x) << S_INIT_CAL_COMPLETE)
61135#define G_INIT_CAL_COMPLETE(x) (((x) >> S_INIT_CAL_COMPLETE) & M_INIT_CAL_COMPLETE)
61136
61137#define S_PER_CAL_ABORT 6
61138#define V_PER_CAL_ABORT(x) ((x) << S_PER_CAL_ABORT)
61139#define F_PER_CAL_ABORT V_PER_CAL_ABORT(1U)
61140
61141#define A_MC_DDRPHY_PC_INIT_CAL_MASK 0x47068
61142
61143#define S_ERROR_WR_LEVEL_MASK 15
61144#define V_ERROR_WR_LEVEL_MASK(x) ((x) << S_ERROR_WR_LEVEL_MASK)
61145#define F_ERROR_WR_LEVEL_MASK V_ERROR_WR_LEVEL_MASK(1U)
61146
61147#define S_ERROR_INITIAL_PAT_WRITE_MASK 14
61148#define V_ERROR_INITIAL_PAT_WRITE_MASK(x) ((x) << S_ERROR_INITIAL_PAT_WRITE_MASK)
61149#define F_ERROR_INITIAL_PAT_WRITE_MASK V_ERROR_INITIAL_PAT_WRITE_MASK(1U)
61150
61151#define S_ERROR_DQS_ALIGN_MASK 13
61152#define V_ERROR_DQS_ALIGN_MASK(x) ((x) << S_ERROR_DQS_ALIGN_MASK)
61153#define F_ERROR_DQS_ALIGN_MASK V_ERROR_DQS_ALIGN_MASK(1U)
61154
61155#define S_ERROR_RDCLK_ALIGN_MASK 12
61156#define V_ERROR_RDCLK_ALIGN_MASK(x) ((x) << S_ERROR_RDCLK_ALIGN_MASK)
61157#define F_ERROR_RDCLK_ALIGN_MASK V_ERROR_RDCLK_ALIGN_MASK(1U)
61158
61159#define S_ERROR_READ_CTR_MASK 11
61160#define V_ERROR_READ_CTR_MASK(x) ((x) << S_ERROR_READ_CTR_MASK)
61161#define F_ERROR_READ_CTR_MASK V_ERROR_READ_CTR_MASK(1U)
61162
61163#define S_ERROR_WRITE_CTR_MASK 10
61164#define V_ERROR_WRITE_CTR_MASK(x) ((x) << S_ERROR_WRITE_CTR_MASK)
61165#define F_ERROR_WRITE_CTR_MASK V_ERROR_WRITE_CTR_MASK(1U)
61166
61167#define S_ERROR_INITIAL_COARSE_WR_MASK 9
61168#define V_ERROR_INITIAL_COARSE_WR_MASK(x) ((x) << S_ERROR_INITIAL_COARSE_WR_MASK)
61169#define F_ERROR_INITIAL_COARSE_WR_MASK V_ERROR_INITIAL_COARSE_WR_MASK(1U)
61170
61171#define S_ERROR_COARSE_RD_MASK 8
61172#define V_ERROR_COARSE_RD_MASK(x) ((x) << S_ERROR_COARSE_RD_MASK)
61173#define F_ERROR_COARSE_RD_MASK V_ERROR_COARSE_RD_MASK(1U)
61174
61175#define S_ERROR_CUSTOM_RD_MASK 7
61176#define V_ERROR_CUSTOM_RD_MASK(x) ((x) << S_ERROR_CUSTOM_RD_MASK)
61177#define F_ERROR_CUSTOM_RD_MASK V_ERROR_CUSTOM_RD_MASK(1U)
61178
61179#define S_ERROR_CUSTOM_WR_MASK 6
61180#define V_ERROR_CUSTOM_WR_MASK(x) ((x) << S_ERROR_CUSTOM_WR_MASK)
61181#define F_ERROR_CUSTOM_WR_MASK V_ERROR_CUSTOM_WR_MASK(1U)
61182
61183#define S_ERROR_DIGITAL_EYE_MASK 5
61184#define V_ERROR_DIGITAL_EYE_MASK(x) ((x) << S_ERROR_DIGITAL_EYE_MASK)
61185#define F_ERROR_DIGITAL_EYE_MASK V_ERROR_DIGITAL_EYE_MASK(1U)
61186
61187#define A_MC_DDRPHY_PC_IO_PVT_FET_STATUS 0x4706c
61188#define A_MC_DDRPHY_PC_MR0_PRI_RP 0x47070
61189
61190#define S_MODEREGISTER0VALUE 0
61191#define M_MODEREGISTER0VALUE 0xffffU
61192#define V_MODEREGISTER0VALUE(x) ((x) << S_MODEREGISTER0VALUE)
61193#define G_MODEREGISTER0VALUE(x) (((x) >> S_MODEREGISTER0VALUE) & M_MODEREGISTER0VALUE)
61194
61195#define A_MC_DDRPHY_PC_MR1_PRI_RP 0x47074
61196
61197#define S_MODEREGISTER1VALUE 0
61198#define M_MODEREGISTER1VALUE 0xffffU
61199#define V_MODEREGISTER1VALUE(x) ((x) << S_MODEREGISTER1VALUE)
61200#define G_MODEREGISTER1VALUE(x) (((x) >> S_MODEREGISTER1VALUE) & M_MODEREGISTER1VALUE)
61201
61202#define A_MC_DDRPHY_PC_MR2_PRI_RP 0x47078
61203
61204#define S_MODEREGISTER2VALUE 0
61205#define M_MODEREGISTER2VALUE 0xffffU
61206#define V_MODEREGISTER2VALUE(x) ((x) << S_MODEREGISTER2VALUE)
61207#define G_MODEREGISTER2VALUE(x) (((x) >> S_MODEREGISTER2VALUE) & M_MODEREGISTER2VALUE)
61208
61209#define A_MC_DDRPHY_PC_MR3_PRI_RP 0x4707c
61210
61211#define S_MODEREGISTER3VALUE 0
61212#define M_MODEREGISTER3VALUE 0xffffU
61213#define V_MODEREGISTER3VALUE(x) ((x) << S_MODEREGISTER3VALUE)
61214#define G_MODEREGISTER3VALUE(x) (((x) >> S_MODEREGISTER3VALUE) & M_MODEREGISTER3VALUE)
61215
61216#define A_MC_DDRPHY_PC_MR0_SEC_RP 0x47080
61217#define A_MC_DDRPHY_PC_MR1_SEC_RP 0x47084
61218#define A_MC_DDRPHY_PC_MR2_SEC_RP 0x47088
61219#define A_MC_DDRPHY_PC_MR3_SEC_RP 0x4708c
61220
61221#define S_MODE_REGISTER_3_VALUE 0
61222#define M_MODE_REGISTER_3_VALUE 0xffffU
61223#define V_MODE_REGISTER_3_VALUE(x) ((x) << S_MODE_REGISTER_3_VALUE)
61224#define G_MODE_REGISTER_3_VALUE(x) (((x) >> S_MODE_REGISTER_3_VALUE) & M_MODE_REGISTER_3_VALUE)
61225
61226#define A_MC_DDRPHY_SEQ_RD_WR_DATA0 0x47200
61227
61228#define S_DRD_WR_DATA_REG 0
61229#define M_DRD_WR_DATA_REG 0xffffU
61230#define V_DRD_WR_DATA_REG(x) ((x) << S_DRD_WR_DATA_REG)
61231#define G_DRD_WR_DATA_REG(x) (((x) >> S_DRD_WR_DATA_REG) & M_DRD_WR_DATA_REG)
61232
61233#define A_MC_DDRPHY_SEQ_RD_WR_DATA1 0x47204
61234#define A_MC_DDRPHY_SEQ_CONFIG0 0x47208
61235
61236#define S_MPR_PATTERN_BIT 15
61237#define V_MPR_PATTERN_BIT(x) ((x) << S_MPR_PATTERN_BIT)
61238#define F_MPR_PATTERN_BIT V_MPR_PATTERN_BIT(1U)
61239
61240#define S_TWO_CYCLE_ADDR_EN 14
61241#define V_TWO_CYCLE_ADDR_EN(x) ((x) << S_TWO_CYCLE_ADDR_EN)
61242#define F_TWO_CYCLE_ADDR_EN V_TWO_CYCLE_ADDR_EN(1U)
61243
61244#define S_MR_MASK_EN 10
61245#define M_MR_MASK_EN 0xfU
61246#define V_MR_MASK_EN(x) ((x) << S_MR_MASK_EN)
61247#define G_MR_MASK_EN(x) (((x) >> S_MR_MASK_EN) & M_MR_MASK_EN)
61248
61249#define S_PARITY_DLY 9
61250#define V_PARITY_DLY(x) ((x) << S_PARITY_DLY)
61251#define F_PARITY_DLY V_PARITY_DLY(1U)
61252
61253#define S_FORCE_RESERVED 7
61254#define V_FORCE_RESERVED(x) ((x) << S_FORCE_RESERVED)
61255#define F_FORCE_RESERVED V_FORCE_RESERVED(1U)
61256
61257#define S_HALT_ROTATION 6
61258#define V_HALT_ROTATION(x) ((x) << S_HALT_ROTATION)
61259#define F_HALT_ROTATION V_HALT_ROTATION(1U)
61260
61261#define S_FORCE_MPR 5
61262#define V_FORCE_MPR(x) ((x) << S_FORCE_MPR)
61263#define F_FORCE_MPR V_FORCE_MPR(1U)
61264
61265#define S_IPW_SIDEAB_SEL 2
61266#define V_IPW_SIDEAB_SEL(x) ((x) << S_IPW_SIDEAB_SEL)
61267#define F_IPW_SIDEAB_SEL V_IPW_SIDEAB_SEL(1U)
61268
61269#define S_PARITY_A17_MASK 1
61270#define V_PARITY_A17_MASK(x) ((x) << S_PARITY_A17_MASK)
61271#define F_PARITY_A17_MASK V_PARITY_A17_MASK(1U)
61272
61273#define S_X16_DEVICE 0
61274#define V_X16_DEVICE(x) ((x) << S_X16_DEVICE)
61275#define F_X16_DEVICE V_X16_DEVICE(1U)
61276
61277#define A_MC_DDRPHY_SEQ_RESERVED_ADDR0 0x4720c
61278#define A_MC_DDRPHY_SEQ_RESERVED_ADDR1 0x47210
61279#define A_MC_DDRPHY_SEQ_RESERVED_ADDR2 0x47214
61280#define A_MC_DDRPHY_SEQ_RESERVED_ADDR3 0x47218
61281#define A_MC_DDRPHY_SEQ_RESERVED_ADDR4 0x4721c
61282#define A_MC_DDRPHY_SEQ_ERROR_STATUS0 0x47220
61283
61284#define S_MULTIPLE_REQ_ERROR 15
61285#define V_MULTIPLE_REQ_ERROR(x) ((x) << S_MULTIPLE_REQ_ERROR)
61286#define F_MULTIPLE_REQ_ERROR V_MULTIPLE_REQ_ERROR(1U)
61287
61288#define S_INVALID_REQTYPE_ERRO 14
61289#define V_INVALID_REQTYPE_ERRO(x) ((x) << S_INVALID_REQTYPE_ERRO)
61290#define F_INVALID_REQTYPE_ERRO V_INVALID_REQTYPE_ERRO(1U)
61291
61292#define S_EARLY_REQ_ERROR 13
61293#define V_EARLY_REQ_ERROR(x) ((x) << S_EARLY_REQ_ERROR)
61294#define F_EARLY_REQ_ERROR V_EARLY_REQ_ERROR(1U)
61295
61296#define S_MULTIPLE_REQ_SOURCE 10
61297#define M_MULTIPLE_REQ_SOURCE 0x7U
61298#define V_MULTIPLE_REQ_SOURCE(x) ((x) << S_MULTIPLE_REQ_SOURCE)
61299#define G_MULTIPLE_REQ_SOURCE(x) (((x) >> S_MULTIPLE_REQ_SOURCE) & M_MULTIPLE_REQ_SOURCE)
61300
61301#define S_INVALID_REQTYPE 6
61302#define M_INVALID_REQTYPE 0xfU
61303#define V_INVALID_REQTYPE(x) ((x) << S_INVALID_REQTYPE)
61304#define G_INVALID_REQTYPE(x) (((x) >> S_INVALID_REQTYPE) & M_INVALID_REQTYPE)
61305
61306#define S_INVALID_REQ_SOURCE 3
61307#define M_INVALID_REQ_SOURCE 0x7U
61308#define V_INVALID_REQ_SOURCE(x) ((x) << S_INVALID_REQ_SOURCE)
61309#define G_INVALID_REQ_SOURCE(x) (((x) >> S_INVALID_REQ_SOURCE) & M_INVALID_REQ_SOURCE)
61310
61311#define S_EARLY_REQ_SOURCE 0
61312#define M_EARLY_REQ_SOURCE 0x7U
61313#define V_EARLY_REQ_SOURCE(x) ((x) << S_EARLY_REQ_SOURCE)
61314#define G_EARLY_REQ_SOURCE(x) (((x) >> S_EARLY_REQ_SOURCE) & M_EARLY_REQ_SOURCE)
61315
61316#define A_MC_DDRPHY_SEQ_ERROR_MASK0 0x47224
61317
61318#define S_MULT_REQ_ERR_MASK 15
61319#define V_MULT_REQ_ERR_MASK(x) ((x) << S_MULT_REQ_ERR_MASK)
61320#define F_MULT_REQ_ERR_MASK V_MULT_REQ_ERR_MASK(1U)
61321
61322#define S_INVALID_REQTYPE_ERR_MASK 14
61323#define V_INVALID_REQTYPE_ERR_MASK(x) ((x) << S_INVALID_REQTYPE_ERR_MASK)
61324#define F_INVALID_REQTYPE_ERR_MASK V_INVALID_REQTYPE_ERR_MASK(1U)
61325
61326#define S_EARLY_REQ_ERR_MASK 13
61327#define V_EARLY_REQ_ERR_MASK(x) ((x) << S_EARLY_REQ_ERR_MASK)
61328#define F_EARLY_REQ_ERR_MASK V_EARLY_REQ_ERR_MASK(1U)
61329
61330#define A_MC_DDRPHY_SEQ_ODT_WR_CONFIG0 0x47228
61331
61332#define S_ODT_WR_VALUES_BITS0_7 8
61333#define M_ODT_WR_VALUES_BITS0_7 0xffU
61334#define V_ODT_WR_VALUES_BITS0_7(x) ((x) << S_ODT_WR_VALUES_BITS0_7)
61335#define G_ODT_WR_VALUES_BITS0_7(x) (((x) >> S_ODT_WR_VALUES_BITS0_7) & M_ODT_WR_VALUES_BITS0_7)
61336
61337#define S_ODT_WR_VALUES_BITS8_15 0
61338#define M_ODT_WR_VALUES_BITS8_15 0xffU
61339#define V_ODT_WR_VALUES_BITS8_15(x) ((x) << S_ODT_WR_VALUES_BITS8_15)
61340#define G_ODT_WR_VALUES_BITS8_15(x) (((x) >> S_ODT_WR_VALUES_BITS8_15) & M_ODT_WR_VALUES_BITS8_15)
61341
61342#define A_MC_DDRPHY_SEQ_ODT_WR_CONFIG1 0x4722c
61343#define A_MC_DDRPHY_SEQ_ODT_WR_CONFIG2 0x47230
61344#define A_MC_DDRPHY_SEQ_ODT_WR_CONFIG3 0x47234
61345#define A_MC_DDRPHY_SEQ_ODT_RD_CONFIG0 0x47238
61346
61347#define S_ODT_RD_VALUES_X2 8
61348#define M_ODT_RD_VALUES_X2 0xffU
61349#define V_ODT_RD_VALUES_X2(x) ((x) << S_ODT_RD_VALUES_X2)
61350#define G_ODT_RD_VALUES_X2(x) (((x) >> S_ODT_RD_VALUES_X2) & M_ODT_RD_VALUES_X2)
61351
61352#define S_ODT_RD_VALUES_X2PLUS1 0
61353#define M_ODT_RD_VALUES_X2PLUS1 0xffU
61354#define V_ODT_RD_VALUES_X2PLUS1(x) ((x) << S_ODT_RD_VALUES_X2PLUS1)
61355#define G_ODT_RD_VALUES_X2PLUS1(x) (((x) >> S_ODT_RD_VALUES_X2PLUS1) & M_ODT_RD_VALUES_X2PLUS1)
61356
61357#define A_MC_DDRPHY_SEQ_ODT_RD_CONFIG1 0x4723c
61358#define A_MC_DDRPHY_SEQ_ODT_RD_CONFIG2 0x47240
61359#define A_MC_DDRPHY_SEQ_ODT_RD_CONFIG3 0x47244
61360#define A_MC_DDRPHY_SEQ_MEM_TIMING_PARAM0 0x47248
61361
61362#define S_TMOD_CYCLES 12
61363#define M_TMOD_CYCLES 0xfU
61364#define V_TMOD_CYCLES(x) ((x) << S_TMOD_CYCLES)
61365#define G_TMOD_CYCLES(x) (((x) >> S_TMOD_CYCLES) & M_TMOD_CYCLES)
61366
61367#define S_TRCD_CYCLES 8
61368#define M_TRCD_CYCLES 0xfU
61369#define V_TRCD_CYCLES(x) ((x) << S_TRCD_CYCLES)
61370#define G_TRCD_CYCLES(x) (((x) >> S_TRCD_CYCLES) & M_TRCD_CYCLES)
61371
61372#define S_TRP_CYCLES 4
61373#define M_TRP_CYCLES 0xfU
61374#define V_TRP_CYCLES(x) ((x) << S_TRP_CYCLES)
61375#define G_TRP_CYCLES(x) (((x) >> S_TRP_CYCLES) & M_TRP_CYCLES)
61376
61377#define S_TRFC_CYCLES 0
61378#define M_TRFC_CYCLES 0xfU
61379#define V_TRFC_CYCLES(x) ((x) << S_TRFC_CYCLES)
61380#define G_TRFC_CYCLES(x) (((x) >> S_TRFC_CYCLES) & M_TRFC_CYCLES)
61381
61382#define A_MC_DDRPHY_SEQ_MEM_TIMING_PARAM1 0x4724c
61383
61384#define S_TZQINIT_CYCLES 12
61385#define M_TZQINIT_CYCLES 0xfU
61386#define V_TZQINIT_CYCLES(x) ((x) << S_TZQINIT_CYCLES)
61387#define G_TZQINIT_CYCLES(x) (((x) >> S_TZQINIT_CYCLES) & M_TZQINIT_CYCLES)
61388
61389#define S_TZQCS_CYCLES 8
61390#define M_TZQCS_CYCLES 0xfU
61391#define V_TZQCS_CYCLES(x) ((x) << S_TZQCS_CYCLES)
61392#define G_TZQCS_CYCLES(x) (((x) >> S_TZQCS_CYCLES) & M_TZQCS_CYCLES)
61393
61394#define S_TWLDQSEN_CYCLES 4
61395#define M_TWLDQSEN_CYCLES 0xfU
61396#define V_TWLDQSEN_CYCLES(x) ((x) << S_TWLDQSEN_CYCLES)
61397#define G_TWLDQSEN_CYCLES(x) (((x) >> S_TWLDQSEN_CYCLES) & M_TWLDQSEN_CYCLES)
61398
61399#define S_TWRMRD_CYCLES 0
61400#define M_TWRMRD_CYCLES 0xfU
61401#define V_TWRMRD_CYCLES(x) ((x) << S_TWRMRD_CYCLES)
61402#define G_TWRMRD_CYCLES(x) (((x) >> S_TWRMRD_CYCLES) & M_TWRMRD_CYCLES)
61403
61404#define A_MC_DDRPHY_SEQ_MEM_TIMING_PARAM2 0x47250
61405
61406#define S_TODTLON_OFF_CYCLES 12
61407#define M_TODTLON_OFF_CYCLES 0xfU
61408#define V_TODTLON_OFF_CYCLES(x) ((x) << S_TODTLON_OFF_CYCLES)
61409#define G_TODTLON_OFF_CYCLES(x) (((x) >> S_TODTLON_OFF_CYCLES) & M_TODTLON_OFF_CYCLES)
61410
61411#define S_TRC_CYCLES 8
61412#define M_TRC_CYCLES 0xfU
61413#define V_TRC_CYCLES(x) ((x) << S_TRC_CYCLES)
61414#define G_TRC_CYCLES(x) (((x) >> S_TRC_CYCLES) & M_TRC_CYCLES)
61415
61416#define S_TMRSC_CYCLES 4
61417#define M_TMRSC_CYCLES 0xfU
61418#define V_TMRSC_CYCLES(x) ((x) << S_TMRSC_CYCLES)
61419#define G_TMRSC_CYCLES(x) (((x) >> S_TMRSC_CYCLES) & M_TMRSC_CYCLES)
61420
61421#define S_MRS_CMD_SPACE 0
61422#define M_MRS_CMD_SPACE 0xfU
61423#define V_MRS_CMD_SPACE(x) ((x) << S_MRS_CMD_SPACE)
61424#define G_MRS_CMD_SPACE(x) (((x) >> S_MRS_CMD_SPACE) & M_MRS_CMD_SPACE)
61425
61426#define A_MC_DDRPHY_RC_CONFIG0 0x47400
61427
61428#define S_GLOBAL_PHY_OFFSET 12
61429#define M_GLOBAL_PHY_OFFSET 0xfU
61430#define V_GLOBAL_PHY_OFFSET(x) ((x) << S_GLOBAL_PHY_OFFSET)
61431#define G_GLOBAL_PHY_OFFSET(x) (((x) >> S_GLOBAL_PHY_OFFSET) & M_GLOBAL_PHY_OFFSET)
61432
61433#define S_ADVANCE_RD_VALID 11
61434#define V_ADVANCE_RD_VALID(x) ((x) << S_ADVANCE_RD_VALID)
61435#define F_ADVANCE_RD_VALID V_ADVANCE_RD_VALID(1U)
61436
61437#define S_SINGLE_BIT_MPR_RP0 6
61438#define V_SINGLE_BIT_MPR_RP0(x) ((x) << S_SINGLE_BIT_MPR_RP0)
61439#define F_SINGLE_BIT_MPR_RP0 V_SINGLE_BIT_MPR_RP0(1U)
61440
61441#define S_SINGLE_BIT_MPR_RP1 5
61442#define V_SINGLE_BIT_MPR_RP1(x) ((x) << S_SINGLE_BIT_MPR_RP1)
61443#define F_SINGLE_BIT_MPR_RP1 V_SINGLE_BIT_MPR_RP1(1U)
61444
61445#define S_SINGLE_BIT_MPR_RP2 4
61446#define V_SINGLE_BIT_MPR_RP2(x) ((x) << S_SINGLE_BIT_MPR_RP2)
61447#define F_SINGLE_BIT_MPR_RP2 V_SINGLE_BIT_MPR_RP2(1U)
61448
61449#define S_SINGLE_BIT_MPR_RP3 3
61450#define V_SINGLE_BIT_MPR_RP3(x) ((x) << S_SINGLE_BIT_MPR_RP3)
61451#define F_SINGLE_BIT_MPR_RP3 V_SINGLE_BIT_MPR_RP3(1U)
61452
61453#define S_ALIGN_ON_EVEN_CYCLES 2
61454#define V_ALIGN_ON_EVEN_CYCLES(x) ((x) << S_ALIGN_ON_EVEN_CYCLES)
61455#define F_ALIGN_ON_EVEN_CYCLES V_ALIGN_ON_EVEN_CYCLES(1U)
61456
61457#define S_PERFORM_RDCLK_ALIGN 1
61458#define V_PERFORM_RDCLK_ALIGN(x) ((x) << S_PERFORM_RDCLK_ALIGN)
61459#define F_PERFORM_RDCLK_ALIGN V_PERFORM_RDCLK_ALIGN(1U)
61460
61461#define S_STAGGERED_PATTERN 0
61462#define V_STAGGERED_PATTERN(x) ((x) << S_STAGGERED_PATTERN)
61463#define F_STAGGERED_PATTERN V_STAGGERED_PATTERN(1U)
61464
61465#define S_ERS_MODE 10
61466#define V_ERS_MODE(x) ((x) << S_ERS_MODE)
61467#define F_ERS_MODE V_ERS_MODE(1U)
61468
61469#define A_MC_DDRPHY_RC_CONFIG1 0x47404
61470
61471#define S_OUTER_LOOP_CNT 2
61472#define M_OUTER_LOOP_CNT 0x3fffU
61473#define V_OUTER_LOOP_CNT(x) ((x) << S_OUTER_LOOP_CNT)
61474#define G_OUTER_LOOP_CNT(x) (((x) >> S_OUTER_LOOP_CNT) & M_OUTER_LOOP_CNT)
61475
61476#define A_MC_DDRPHY_RC_CONFIG2 0x47408
61477
61478#define S_CONSEQ_PASS 11
61479#define M_CONSEQ_PASS 0x1fU
61480#define V_CONSEQ_PASS(x) ((x) << S_CONSEQ_PASS)
61481#define G_CONSEQ_PASS(x) (((x) >> S_CONSEQ_PASS) & M_CONSEQ_PASS)
61482
61483#define S_BURST_WINDOW 5
61484#define M_BURST_WINDOW 0x3U
61485#define V_BURST_WINDOW(x) ((x) << S_BURST_WINDOW)
61486#define G_BURST_WINDOW(x) (((x) >> S_BURST_WINDOW) & M_BURST_WINDOW)
61487
61488#define S_ALLOW_RD_FIFO_AUTO_R_ESET 4
61489#define V_ALLOW_RD_FIFO_AUTO_R_ESET(x) ((x) << S_ALLOW_RD_FIFO_AUTO_R_ESET)
61490#define F_ALLOW_RD_FIFO_AUTO_R_ESET V_ALLOW_RD_FIFO_AUTO_R_ESET(1U)
61491
61492#define S_DIS_LOW_PWR_PER_CAL 3
61493#define V_DIS_LOW_PWR_PER_CAL(x) ((x) << S_DIS_LOW_PWR_PER_CAL)
61494#define F_DIS_LOW_PWR_PER_CAL V_DIS_LOW_PWR_PER_CAL(1U)
61495
61496#define A_MC_DDRPHY_RC_ERROR_STATUS0 0x47414
61497
61498#define S_RD_CNTL_ERROR 15
61499#define V_RD_CNTL_ERROR(x) ((x) << S_RD_CNTL_ERROR)
61500#define F_RD_CNTL_ERROR V_RD_CNTL_ERROR(1U)
61501
61502#define A_MC_DDRPHY_RC_ERROR_MASK0 0x47418
61503
61504#define S_RD_CNTL_ERROR_MASK 15
61505#define V_RD_CNTL_ERROR_MASK(x) ((x) << S_RD_CNTL_ERROR_MASK)
61506#define F_RD_CNTL_ERROR_MASK V_RD_CNTL_ERROR_MASK(1U)
61507
61508#define A_MC_DDRPHY_RC_CONFIG3 0x4741c
61509
61510#define S_FINE_CAL_STEP_SIZE 13
61511#define M_FINE_CAL_STEP_SIZE 0x7U
61512#define V_FINE_CAL_STEP_SIZE(x) ((x) << S_FINE_CAL_STEP_SIZE)
61513#define G_FINE_CAL_STEP_SIZE(x) (((x) >> S_FINE_CAL_STEP_SIZE) & M_FINE_CAL_STEP_SIZE)
61514
61515#define S_COARSE_CAL_STEP_SIZE 9
61516#define M_COARSE_CAL_STEP_SIZE 0xfU
61517#define V_COARSE_CAL_STEP_SIZE(x) ((x) << S_COARSE_CAL_STEP_SIZE)
61518#define G_COARSE_CAL_STEP_SIZE(x) (((x) >> S_COARSE_CAL_STEP_SIZE) & M_COARSE_CAL_STEP_SIZE)
61519
61520#define S_DQ_SEL_QUAD 7
61521#define M_DQ_SEL_QUAD 0x3U
61522#define V_DQ_SEL_QUAD(x) ((x) << S_DQ_SEL_QUAD)
61523#define G_DQ_SEL_QUAD(x) (((x) >> S_DQ_SEL_QUAD) & M_DQ_SEL_QUAD)
61524
61525#define S_DQ_SEL_LANE 4
61526#define M_DQ_SEL_LANE 0x7U
61527#define V_DQ_SEL_LANE(x) ((x) << S_DQ_SEL_LANE)
61528#define G_DQ_SEL_LANE(x) (((x) >> S_DQ_SEL_LANE) & M_DQ_SEL_LANE)
61529
61530#define A_MC_DDRPHY_RC_PERIODIC 0x47420
61531#define A_MC_DDRPHY_WC_CONFIG0 0x47600
61532
61533#define S_TWLO_TWLOE 8
61534#define M_TWLO_TWLOE 0xffU
61535#define V_TWLO_TWLOE(x) ((x) << S_TWLO_TWLOE)
61536#define G_TWLO_TWLOE(x) (((x) >> S_TWLO_TWLOE) & M_TWLO_TWLOE)
61537
61538#define S_WL_ONE_DQS_PULSE 7
61539#define V_WL_ONE_DQS_PULSE(x) ((x) << S_WL_ONE_DQS_PULSE)
61540#define F_WL_ONE_DQS_PULSE V_WL_ONE_DQS_PULSE(1U)
61541
61542#define S_FW_WR_RD 1
61543#define M_FW_WR_RD 0x3fU
61544#define V_FW_WR_RD(x) ((x) << S_FW_WR_RD)
61545#define G_FW_WR_RD(x) (((x) >> S_FW_WR_RD) & M_FW_WR_RD)
61546
61547#define S_CUSTOM_INIT_WRITE 0
61548#define V_CUSTOM_INIT_WRITE(x) ((x) << S_CUSTOM_INIT_WRITE)
61549#define F_CUSTOM_INIT_WRITE V_CUSTOM_INIT_WRITE(1U)
61550
61551#define A_MC_DDRPHY_WC_CONFIG1 0x47604
61552
61553#define S_BIG_STEP 12
61554#define M_BIG_STEP 0xfU
61555#define V_BIG_STEP(x) ((x) << S_BIG_STEP)
61556#define G_BIG_STEP(x) (((x) >> S_BIG_STEP) & M_BIG_STEP)
61557
61558#define S_SMALL_STEP 9
61559#define M_SMALL_STEP 0x7U
61560#define V_SMALL_STEP(x) ((x) << S_SMALL_STEP)
61561#define G_SMALL_STEP(x) (((x) >> S_SMALL_STEP) & M_SMALL_STEP)
61562
61563#define S_WR_PRE_DLY 3
61564#define M_WR_PRE_DLY 0x3fU
61565#define V_WR_PRE_DLY(x) ((x) << S_WR_PRE_DLY)
61566#define G_WR_PRE_DLY(x) (((x) >> S_WR_PRE_DLY) & M_WR_PRE_DLY)
61567
61568#define A_MC_DDRPHY_WC_CONFIG2 0x47608
61569
61570#define S_NUM_VALID_SAMPLES 12
61571#define M_NUM_VALID_SAMPLES 0xfU
61572#define V_NUM_VALID_SAMPLES(x) ((x) << S_NUM_VALID_SAMPLES)
61573#define G_NUM_VALID_SAMPLES(x) (((x) >> S_NUM_VALID_SAMPLES) & M_NUM_VALID_SAMPLES)
61574
61575#define S_FW_RD_WR 6
61576#define M_FW_RD_WR 0x3fU
61577#define V_FW_RD_WR(x) ((x) << S_FW_RD_WR)
61578#define G_FW_RD_WR(x) (((x) >> S_FW_RD_WR) & M_FW_RD_WR)
61579
61580#define S_EN_RESET_WR_DELAY_WL 0
61581#define V_EN_RESET_WR_DELAY_WL(x) ((x) << S_EN_RESET_WR_DELAY_WL)
61582#define F_EN_RESET_WR_DELAY_WL V_EN_RESET_WR_DELAY_WL(1U)
61583
61584#define S_TWR_MPR 2
61585#define M_TWR_MPR 0xfU
61586#define V_TWR_MPR(x) ((x) << S_TWR_MPR)
61587#define G_TWR_MPR(x) (((x) >> S_TWR_MPR) & M_TWR_MPR)
61588
61589#define A_MC_DDRPHY_WC_ERROR_STATUS0 0x4760c
61590
61591#define S_WR_CNTL_ERROR 15
61592#define V_WR_CNTL_ERROR(x) ((x) << S_WR_CNTL_ERROR)
61593#define F_WR_CNTL_ERROR V_WR_CNTL_ERROR(1U)
61594
61595#define A_MC_DDRPHY_WC_ERROR_MASK0 0x47610
61596
61597#define S_WR_CNTL_ERROR_MASK 15
61598#define V_WR_CNTL_ERROR_MASK(x) ((x) << S_WR_CNTL_ERROR_MASK)
61599#define F_WR_CNTL_ERROR_MASK V_WR_CNTL_ERROR_MASK(1U)
61600
61601#define A_MC_DDRPHY_WC_CONFIG3 0x47614
61602
61603#define S_DDR4_MRS_CMD_DQ_EN 15
61604#define V_DDR4_MRS_CMD_DQ_EN(x) ((x) << S_DDR4_MRS_CMD_DQ_EN)
61605#define F_DDR4_MRS_CMD_DQ_EN V_DDR4_MRS_CMD_DQ_EN(1U)
61606
61607#define S_MRS_CMD_DQ_ON 9
61608#define M_MRS_CMD_DQ_ON 0x3fU
61609#define V_MRS_CMD_DQ_ON(x) ((x) << S_MRS_CMD_DQ_ON)
61610#define G_MRS_CMD_DQ_ON(x) (((x) >> S_MRS_CMD_DQ_ON) & M_MRS_CMD_DQ_ON)
61611
61612#define S_MRS_CMD_DQ_OFF 3
61613#define M_MRS_CMD_DQ_OFF 0x3fU
61614#define V_MRS_CMD_DQ_OFF(x) ((x) << S_MRS_CMD_DQ_OFF)
61615#define G_MRS_CMD_DQ_OFF(x) (((x) >> S_MRS_CMD_DQ_OFF) & M_MRS_CMD_DQ_OFF)
61616
61617#define A_MC_DDRPHY_WC_WRCLK_CNTL 0x47618
61618
61619#define S_WRCLK_CAL_START 15
61620#define V_WRCLK_CAL_START(x) ((x) << S_WRCLK_CAL_START)
61621#define F_WRCLK_CAL_START V_WRCLK_CAL_START(1U)
61622
61623#define S_WRCLK_CAL_DONE 14
61624#define V_WRCLK_CAL_DONE(x) ((x) << S_WRCLK_CAL_DONE)
61625#define F_WRCLK_CAL_DONE V_WRCLK_CAL_DONE(1U)
61626
61627#define A_MC_DDRPHY_APB_CONFIG0 0x47800
61628
61629#define S_DISABLE_PARITY_CHECKER 15
61630#define V_DISABLE_PARITY_CHECKER(x) ((x) << S_DISABLE_PARITY_CHECKER)
61631#define F_DISABLE_PARITY_CHECKER V_DISABLE_PARITY_CHECKER(1U)
61632
61633#define S_GENERATE_EVEN_PARITY 14
61634#define V_GENERATE_EVEN_PARITY(x) ((x) << S_GENERATE_EVEN_PARITY)
61635#define F_GENERATE_EVEN_PARITY V_GENERATE_EVEN_PARITY(1U)
61636
61637#define S_FORCE_ON_CLK_GATE 13
61638#define V_FORCE_ON_CLK_GATE(x) ((x) << S_FORCE_ON_CLK_GATE)
61639#define F_FORCE_ON_CLK_GATE V_FORCE_ON_CLK_GATE(1U)
61640
61641#define S_DEBUG_BUS_SEL_LO 12
61642#define V_DEBUG_BUS_SEL_LO(x) ((x) << S_DEBUG_BUS_SEL_LO)
61643#define F_DEBUG_BUS_SEL_LO V_DEBUG_BUS_SEL_LO(1U)
61644
61645#define S_DEBUG_BUS_SEL_HI 8
61646#define M_DEBUG_BUS_SEL_HI 0xfU
61647#define V_DEBUG_BUS_SEL_HI(x) ((x) << S_DEBUG_BUS_SEL_HI)
61648#define G_DEBUG_BUS_SEL_HI(x) (((x) >> S_DEBUG_BUS_SEL_HI) & M_DEBUG_BUS_SEL_HI)
61649
61650#define A_MC_DDRPHY_APB_ERROR_STATUS0 0x47804
61651
61652#define S_INVALID_ADDRESS 15
61653#define V_INVALID_ADDRESS(x) ((x) << S_INVALID_ADDRESS)
61654#define F_INVALID_ADDRESS V_INVALID_ADDRESS(1U)
61655
61656#define S_WR_PAR_ERR 14
61657#define V_WR_PAR_ERR(x) ((x) << S_WR_PAR_ERR)
61658#define F_WR_PAR_ERR V_WR_PAR_ERR(1U)
61659
61660#define A_MC_DDRPHY_APB_ERROR_MASK0 0x47808
61661
61662#define S_INVALID_ADDRESS_MASK 15
61663#define V_INVALID_ADDRESS_MASK(x) ((x) << S_INVALID_ADDRESS_MASK)
61664#define F_INVALID_ADDRESS_MASK V_INVALID_ADDRESS_MASK(1U)
61665
61666#define S_WR_PAR_ERR_MASK 14
61667#define V_WR_PAR_ERR_MASK(x) ((x) << S_WR_PAR_ERR_MASK)
61668#define F_WR_PAR_ERR_MASK V_WR_PAR_ERR_MASK(1U)
61669
61670#define A_MC_DDRPHY_APB_DP18_POPULATION 0x4780c
61671
61672#define S_DP18_0_POPULATED 15
61673#define V_DP18_0_POPULATED(x) ((x) << S_DP18_0_POPULATED)
61674#define F_DP18_0_POPULATED V_DP18_0_POPULATED(1U)
61675
61676#define S_DP18_1_POPULATED 14
61677#define V_DP18_1_POPULATED(x) ((x) << S_DP18_1_POPULATED)
61678#define F_DP18_1_POPULATED V_DP18_1_POPULATED(1U)
61679
61680#define S_DP18_2_POPULATED 13
61681#define V_DP18_2_POPULATED(x) ((x) << S_DP18_2_POPULATED)
61682#define F_DP18_2_POPULATED V_DP18_2_POPULATED(1U)
61683
61684#define S_DP18_3_POPULATED 12
61685#define V_DP18_3_POPULATED(x) ((x) << S_DP18_3_POPULATED)
61686#define F_DP18_3_POPULATED V_DP18_3_POPULATED(1U)
61687
61688#define S_DP18_4_POPULATED 11
61689#define V_DP18_4_POPULATED(x) ((x) << S_DP18_4_POPULATED)
61690#define F_DP18_4_POPULATED V_DP18_4_POPULATED(1U)
61691
61692#define S_DP18_5_POPULATED 10
61693#define V_DP18_5_POPULATED(x) ((x) << S_DP18_5_POPULATED)
61694#define F_DP18_5_POPULATED V_DP18_5_POPULATED(1U)
61695
61696#define S_DP18_6_POPULATED 9
61697#define V_DP18_6_POPULATED(x) ((x) << S_DP18_6_POPULATED)
61698#define F_DP18_6_POPULATED V_DP18_6_POPULATED(1U)
61699
61700#define S_DP18_7_POPULATED 8
61701#define V_DP18_7_POPULATED(x) ((x) << S_DP18_7_POPULATED)
61702#define F_DP18_7_POPULATED V_DP18_7_POPULATED(1U)
61703
61704#define S_DP18_8_POPULATED 7
61705#define V_DP18_8_POPULATED(x) ((x) << S_DP18_8_POPULATED)
61706#define F_DP18_8_POPULATED V_DP18_8_POPULATED(1U)
61707
61708#define S_DP18_9_POPULATED 6
61709#define V_DP18_9_POPULATED(x) ((x) << S_DP18_9_POPULATED)
61710#define F_DP18_9_POPULATED V_DP18_9_POPULATED(1U)
61711
61712#define S_DP18_10_POPULATED 5
61713#define V_DP18_10_POPULATED(x) ((x) << S_DP18_10_POPULATED)
61714#define F_DP18_10_POPULATED V_DP18_10_POPULATED(1U)
61715
61716#define S_DP18_11_POPULATED 4
61717#define V_DP18_11_POPULATED(x) ((x) << S_DP18_11_POPULATED)
61718#define F_DP18_11_POPULATED V_DP18_11_POPULATED(1U)
61719
61720#define S_DP18_12_POPULATED 3
61721#define V_DP18_12_POPULATED(x) ((x) << S_DP18_12_POPULATED)
61722#define F_DP18_12_POPULATED V_DP18_12_POPULATED(1U)
61723
61724#define S_DP18_13_POPULATED 2
61725#define V_DP18_13_POPULATED(x) ((x) << S_DP18_13_POPULATED)
61726#define F_DP18_13_POPULATED V_DP18_13_POPULATED(1U)
61727
61728#define S_DP18_14_POPULATED 1
61729#define V_DP18_14_POPULATED(x) ((x) << S_DP18_14_POPULATED)
61730#define F_DP18_14_POPULATED V_DP18_14_POPULATED(1U)
61731
61732#define A_MC_DDRPHY_APB_ADR_POPULATION 0x47810
61733
61734#define S_ADR16_0_POPULATED 15
61735#define V_ADR16_0_POPULATED(x) ((x) << S_ADR16_0_POPULATED)
61736#define F_ADR16_0_POPULATED V_ADR16_0_POPULATED(1U)
61737
61738#define S_ADR16_1_POPULATED 14
61739#define V_ADR16_1_POPULATED(x) ((x) << S_ADR16_1_POPULATED)
61740#define F_ADR16_1_POPULATED V_ADR16_1_POPULATED(1U)
61741
61742#define S_ADR16_2_POPULATED 13
61743#define V_ADR16_2_POPULATED(x) ((x) << S_ADR16_2_POPULATED)
61744#define F_ADR16_2_POPULATED V_ADR16_2_POPULATED(1U)
61745
61746#define S_ADR16_3_POPULATED 12
61747#define V_ADR16_3_POPULATED(x) ((x) << S_ADR16_3_POPULATED)
61748#define F_ADR16_3_POPULATED V_ADR16_3_POPULATED(1U)
61749
61750#define S_ADR12_0_POPULATED 7
61751#define V_ADR12_0_POPULATED(x) ((x) << S_ADR12_0_POPULATED)
61752#define F_ADR12_0_POPULATED V_ADR12_0_POPULATED(1U)
61753
61754#define S_ADR12_1_POPULATED 6
61755#define V_ADR12_1_POPULATED(x) ((x) << S_ADR12_1_POPULATED)
61756#define F_ADR12_1_POPULATED V_ADR12_1_POPULATED(1U)
61757
61758#define S_ADR12_2_POPULATED 5
61759#define V_ADR12_2_POPULATED(x) ((x) << S_ADR12_2_POPULATED)
61760#define F_ADR12_2_POPULATED V_ADR12_2_POPULATED(1U)
61761
61762#define S_ADR12_3_POPULATED 4
61763#define V_ADR12_3_POPULATED(x) ((x) << S_ADR12_3_POPULATED)
61764#define F_ADR12_3_POPULATED V_ADR12_3_POPULATED(1U)
61765
61766#define A_MC_DDRPHY_APB_ATEST_MUX_SEL 0x47814
61767
61768#define S_ATEST_CNTL 10
61769#define M_ATEST_CNTL 0x3fU
61770#define V_ATEST_CNTL(x) ((x) << S_ATEST_CNTL)
61771#define G_ATEST_CNTL(x) (((x) >> S_ATEST_CNTL) & M_ATEST_CNTL)
61772
61773#define A_MC_DDRPHY_APB_MTCTL_REG0 0x47820
61774
61775#define S_MT_DATA_MUX4_1MODE 15
61776#define V_MT_DATA_MUX4_1MODE(x) ((x) << S_MT_DATA_MUX4_1MODE)
61777#define F_MT_DATA_MUX4_1MODE V_MT_DATA_MUX4_1MODE(1U)
61778
61779#define S_MT_PLL_RESET 14
61780#define V_MT_PLL_RESET(x) ((x) << S_MT_PLL_RESET)
61781#define F_MT_PLL_RESET V_MT_PLL_RESET(1U)
61782
61783#define S_MT_SYSCLK_RESET 13
61784#define V_MT_SYSCLK_RESET(x) ((x) << S_MT_SYSCLK_RESET)
61785#define F_MT_SYSCLK_RESET V_MT_SYSCLK_RESET(1U)
61786
61787#define S_MT_GLOBAL_PHY_OFFSET 9
61788#define M_MT_GLOBAL_PHY_OFFSET 0xfU
61789#define V_MT_GLOBAL_PHY_OFFSET(x) ((x) << S_MT_GLOBAL_PHY_OFFSET)
61790#define G_MT_GLOBAL_PHY_OFFSET(x) (((x) >> S_MT_GLOBAL_PHY_OFFSET) & M_MT_GLOBAL_PHY_OFFSET)
61791
61792#define S_MT_DQ_SEL_QUAD 7
61793#define M_MT_DQ_SEL_QUAD 0x3U
61794#define V_MT_DQ_SEL_QUAD(x) ((x) << S_MT_DQ_SEL_QUAD)
61795#define G_MT_DQ_SEL_QUAD(x) (((x) >> S_MT_DQ_SEL_QUAD) & M_MT_DQ_SEL_QUAD)
61796
61797#define S_MT_PERFORM_RDCLK_ALIGN 6
61798#define V_MT_PERFORM_RDCLK_ALIGN(x) ((x) << S_MT_PERFORM_RDCLK_ALIGN)
61799#define F_MT_PERFORM_RDCLK_ALIGN V_MT_PERFORM_RDCLK_ALIGN(1U)
61800
61801#define S_MT_ALIGN_ON_EVEN_CYCLES 5
61802#define V_MT_ALIGN_ON_EVEN_CYCLES(x) ((x) << S_MT_ALIGN_ON_EVEN_CYCLES)
61803#define F_MT_ALIGN_ON_EVEN_CYCLES V_MT_ALIGN_ON_EVEN_CYCLES(1U)
61804
61805#define S_MT_WRCLK_CAL_START 4
61806#define V_MT_WRCLK_CAL_START(x) ((x) << S_MT_WRCLK_CAL_START)
61807#define F_MT_WRCLK_CAL_START V_MT_WRCLK_CAL_START(1U)
61808
61809#define A_MC_DDRPHY_APB_MTCTL_REG1 0x47824
61810
61811#define S_MT_WPRD_ENABLE 15
61812#define V_MT_WPRD_ENABLE(x) ((x) << S_MT_WPRD_ENABLE)
61813#define F_MT_WPRD_ENABLE V_MT_WPRD_ENABLE(1U)
61814
61815#define S_MT_PVTP 10
61816#define M_MT_PVTP 0x1fU
61817#define V_MT_PVTP(x) ((x) << S_MT_PVTP)
61818#define G_MT_PVTP(x) (((x) >> S_MT_PVTP) & M_MT_PVTP)
61819
61820#define S_MT_PVTN 5
61821#define M_MT_PVTN 0x1fU
61822#define V_MT_PVTN(x) ((x) << S_MT_PVTN)
61823#define G_MT_PVTN(x) (((x) >> S_MT_PVTN) & M_MT_PVTN)
61824
61825#define A_MC_DDRPHY_APB_MTSTAT_REG0 0x47828
61826#define A_MC_DDRPHY_APB_MTSTAT_REG1 0x4782c
61827
61828#define S_MT_ADR32_PLL_LOCK_SUM 1
61829#define V_MT_ADR32_PLL_LOCK_SUM(x) ((x) << S_MT_ADR32_PLL_LOCK_SUM)
61830#define F_MT_ADR32_PLL_LOCK_SUM V_MT_ADR32_PLL_LOCK_SUM(1U)
61831
61832#define S_MT_DP18_PLL_LOCK_SUM 0
61833#define V_MT_DP18_PLL_LOCK_SUM(x) ((x) << S_MT_DP18_PLL_LOCK_SUM)
61834#define F_MT_DP18_PLL_LOCK_SUM V_MT_DP18_PLL_LOCK_SUM(1U)
61835
61836/* registers for module MC_1 */
61837#define MC_1_BASE_ADDR 0x48000
61838
61839/* registers for module EDC_T50 */
61840#define EDC_T50_BASE_ADDR 0x50000
61841
61842#define A_EDC_H_REF 0x50000
61843
61844#define S_EDC_SLEEPSTATUS 31
61845#define V_EDC_SLEEPSTATUS(x) ((x) << S_EDC_SLEEPSTATUS)
61846#define F_EDC_SLEEPSTATUS V_EDC_SLEEPSTATUS(1U)
61847
61848#define S_EDC_SLEEPREQ 30
61849#define V_EDC_SLEEPREQ(x) ((x) << S_EDC_SLEEPREQ)
61850#define F_EDC_SLEEPREQ V_EDC_SLEEPREQ(1U)
61851
61852#define S_PING_PONG 29
61853#define V_PING_PONG(x) ((x) << S_PING_PONG)
61854#define F_PING_PONG V_PING_PONG(1U)
61855
61856#define A_EDC_H_BIST_CMD 0x50004
61857#define A_EDC_H_BIST_CMD_ADDR 0x50008
61858#define A_EDC_H_BIST_CMD_LEN 0x5000c
61859#define A_EDC_H_BIST_DATA_PATTERN 0x50010
61860#define A_EDC_H_BIST_USER_WDATA0 0x50014
61861#define A_EDC_H_BIST_USER_WDATA1 0x50018
61862#define A_EDC_H_BIST_USER_WDATA2 0x5001c
61863#define A_EDC_H_BIST_NUM_ERR 0x50020
61864#define A_EDC_H_BIST_ERR_FIRST_ADDR 0x50024
61865#define A_EDC_H_BIST_STATUS_RDATA 0x50028
61866#define A_EDC_H_PAR_ENABLE 0x50070
61867
61868#define S_PERR_PAR_ENABLE 0
61869#define V_PERR_PAR_ENABLE(x) ((x) << S_PERR_PAR_ENABLE)
61870#define F_PERR_PAR_ENABLE V_PERR_PAR_ENABLE(1U)
61871
61872#define A_EDC_H_INT_ENABLE 0x50074
61873#define A_EDC_H_INT_CAUSE 0x50078
61874
61875#define S_ECC_UE_INT0_CAUSE 5
61876#define V_ECC_UE_INT0_CAUSE(x) ((x) << S_ECC_UE_INT0_CAUSE)
61877#define F_ECC_UE_INT0_CAUSE V_ECC_UE_INT0_CAUSE(1U)
61878
61879#define S_ECC_CE_INT0_CAUSE 4
61880#define V_ECC_CE_INT0_CAUSE(x) ((x) << S_ECC_CE_INT0_CAUSE)
61881#define F_ECC_CE_INT0_CAUSE V_ECC_CE_INT0_CAUSE(1U)
61882
61883#define S_PERR_INT0_CAUSE 3
61884#define V_PERR_INT0_CAUSE(x) ((x) << S_PERR_INT0_CAUSE)
61885#define F_PERR_INT0_CAUSE V_PERR_INT0_CAUSE(1U)
61886
61887#define A_EDC_H_ECC_STATUS 0x5007c
61888#define A_EDC_H_ECC_ERR_SEL 0x50080
61889
61890#define S_CFG 0
61891#define M_CFG 0x3U
61892#define V_CFG(x) ((x) << S_CFG)
61893#define G_CFG(x) (((x) >> S_CFG) & M_CFG)
61894
61895#define A_EDC_H_ECC_ERR_ADDR 0x50084
61896
61897#define S_ECC_ADDR 0
61898#define M_ECC_ADDR 0x7fffffU
61899#define V_ECC_ADDR(x) ((x) << S_ECC_ADDR)
61900#define G_ECC_ADDR(x) (((x) >> S_ECC_ADDR) & M_ECC_ADDR)
61901
61902#define A_EDC_H_ECC_ERR_DATA_RDATA 0x50090
61903#define A_EDC_H_BIST_CRC_SEED 0x50400
61904
61905/* registers for module EDC_T51 */
61906#define EDC_T51_BASE_ADDR 0x50800
61907
61908/* registers for module HMA_T5 */
61909#define HMA_T5_BASE_ADDR 0x51000
61910
61911#define A_HMA_TABLE_ACCESS 0x51000
61912
61913#define S_TRIG 31
61914#define V_TRIG(x) ((x) << S_TRIG)
61915#define F_TRIG V_TRIG(1U)
61916
61917#define S_RW 30
61918#define V_RW(x) ((x) << S_RW)
61919#define F_RW V_RW(1U)
61920
61921#define S_L_SEL 0
61922#define M_L_SEL 0xfU
61923#define V_L_SEL(x) ((x) << S_L_SEL)
61924#define G_L_SEL(x) (((x) >> S_L_SEL) & M_L_SEL)
61925
61926#define A_HMA_TABLE_LINE0 0x51004
61927
61928#define S_CLIENT_EN 0
61929#define M_CLIENT_EN 0x1fffU
61930#define V_CLIENT_EN(x) ((x) << S_CLIENT_EN)
61931#define G_CLIENT_EN(x) (((x) >> S_CLIENT_EN) & M_CLIENT_EN)
61932
61933#define A_HMA_TABLE_LINE1 0x51008
61934#define A_HMA_TABLE_LINE2 0x5100c
61935#define A_HMA_TABLE_LINE3 0x51010
61936#define A_HMA_TABLE_LINE4 0x51014
61937#define A_HMA_TABLE_LINE5 0x51018
61938
61939#define S_FID 16
61940#define M_FID 0x7ffU
61941#define V_FID(x) ((x) << S_FID)
61942#define G_FID(x) (((x) >> S_FID) & M_FID)
61943
61944#define S_NOS 15
61945#define V_NOS(x) ((x) << S_NOS)
61946#define F_NOS V_NOS(1U)
61947
61948#define S_RO 14
61949#define V_RO(x) ((x) << S_RO)
61950#define F_RO V_RO(1U)
61951
61952#define A_HMA_COOKIE 0x5101c
61953
61954#define S_C_REQ 31
61955#define V_C_REQ(x) ((x) << S_C_REQ)
61956#define F_C_REQ V_C_REQ(1U)
61957
61958#define S_C_FID 18
61959#define M_C_FID 0x7ffU
61960#define V_C_FID(x) ((x) << S_C_FID)
61961#define G_C_FID(x) (((x) >> S_C_FID) & M_C_FID)
61962
61963#define S_C_VAL 8
61964#define M_C_VAL 0x3ffU
61965#define V_C_VAL(x) ((x) << S_C_VAL)
61966#define G_C_VAL(x) (((x) >> S_C_VAL) & M_C_VAL)
61967
61968#define S_C_SEL 0
61969#define M_C_SEL 0xfU
61970#define V_C_SEL(x) ((x) << S_C_SEL)
61971#define G_C_SEL(x) (((x) >> S_C_SEL) & M_C_SEL)
61972
61973#define A_HMA_PAR_ENABLE 0x51300
61974#define A_HMA_INT_ENABLE 0x51304
61975#define A_HMA_INT_CAUSE 0x51308
61976
61977/* registers for module EDC_T60 */
61978#define EDC_T60_BASE_ADDR 0x50000
61979
61980#define S_QDR_CLKPHASE 24
61981#define M_QDR_CLKPHASE 0x7U
61982#define V_QDR_CLKPHASE(x) ((x) << S_QDR_CLKPHASE)
61983#define G_QDR_CLKPHASE(x) (((x) >> S_QDR_CLKPHASE) & M_QDR_CLKPHASE)
61984
61985#define S_MAXOPSPERTRC 21
61986#define M_MAXOPSPERTRC 0x7U
61987#define V_MAXOPSPERTRC(x) ((x) << S_MAXOPSPERTRC)
61988#define G_MAXOPSPERTRC(x) (((x) >> S_MAXOPSPERTRC) & M_MAXOPSPERTRC)
61989
61990#define S_NUMPIPESTAGES 19
61991#define M_NUMPIPESTAGES 0x3U
61992#define V_NUMPIPESTAGES(x) ((x) << S_NUMPIPESTAGES)
61993#define G_NUMPIPESTAGES(x) (((x) >> S_NUMPIPESTAGES) & M_NUMPIPESTAGES)
61994
61995#define A_EDC_H_DBG_MA_CMD_INTF 0x50300
61996
61997#define S_MCMDADDR 12
61998#define M_MCMDADDR 0xfffffU
61999#define V_MCMDADDR(x) ((x) << S_MCMDADDR)
62000#define G_MCMDADDR(x) (((x) >> S_MCMDADDR) & M_MCMDADDR)
62001
62002#define S_MCMDLEN 5
62003#define M_MCMDLEN 0x7fU
62004#define V_MCMDLEN(x) ((x) << S_MCMDLEN)
62005#define G_MCMDLEN(x) (((x) >> S_MCMDLEN) & M_MCMDLEN)
62006
62007#define S_MCMDNRE 4
62008#define V_MCMDNRE(x) ((x) << S_MCMDNRE)
62009#define F_MCMDNRE V_MCMDNRE(1U)
62010
62011#define S_MCMDNRB 3
62012#define V_MCMDNRB(x) ((x) << S_MCMDNRB)
62013#define F_MCMDNRB V_MCMDNRB(1U)
62014
62015#define S_MCMDWR 2
62016#define V_MCMDWR(x) ((x) << S_MCMDWR)
62017#define F_MCMDWR V_MCMDWR(1U)
62018
62019#define S_MCMDRDY 1
62020#define V_MCMDRDY(x) ((x) << S_MCMDRDY)
62021#define F_MCMDRDY V_MCMDRDY(1U)
62022
62023#define S_MCMDVLD 0
62024#define V_MCMDVLD(x) ((x) << S_MCMDVLD)
62025#define F_MCMDVLD V_MCMDVLD(1U)
62026
62027#define A_EDC_H_DBG_MA_WDATA_INTF 0x50304
62028
62029#define S_MWDATAVLD 31
62030#define V_MWDATAVLD(x) ((x) << S_MWDATAVLD)
62031#define F_MWDATAVLD V_MWDATAVLD(1U)
62032
62033#define S_MWDATARDY 30
62034#define V_MWDATARDY(x) ((x) << S_MWDATARDY)
62035#define F_MWDATARDY V_MWDATARDY(1U)
62036
62037#define S_MWDATA 0
62038#define M_MWDATA 0x3fffffffU
62039#define V_MWDATA(x) ((x) << S_MWDATA)
62040#define G_MWDATA(x) (((x) >> S_MWDATA) & M_MWDATA)
62041
62042#define A_EDC_H_DBG_MA_RDATA_INTF 0x50308
62043
62044#define S_MRSPVLD 31
62045#define V_MRSPVLD(x) ((x) << S_MRSPVLD)
62046#define F_MRSPVLD V_MRSPVLD(1U)
62047
62048#define S_MRSPRDY 30
62049#define V_MRSPRDY(x) ((x) << S_MRSPRDY)
62050#define F_MRSPRDY V_MRSPRDY(1U)
62051
62052#define S_MRSPDATA 0
62053#define M_MRSPDATA 0x3fffffffU
62054#define V_MRSPDATA(x) ((x) << S_MRSPDATA)
62055#define G_MRSPDATA(x) (((x) >> S_MRSPDATA) & M_MRSPDATA)
62056
62057#define A_EDC_H_DBG_BIST_CMD_INTF 0x5030c
62058
62059#define S_BCMDADDR 9
62060#define M_BCMDADDR 0x7fffffU
62061#define V_BCMDADDR(x) ((x) << S_BCMDADDR)
62062#define G_BCMDADDR(x) (((x) >> S_BCMDADDR) & M_BCMDADDR)
62063
62064#define S_BCMDLEN 3
62065#define M_BCMDLEN 0x3fU
62066#define V_BCMDLEN(x) ((x) << S_BCMDLEN)
62067#define G_BCMDLEN(x) (((x) >> S_BCMDLEN) & M_BCMDLEN)
62068
62069#define S_BCMDWR 2
62070#define V_BCMDWR(x) ((x) << S_BCMDWR)
62071#define F_BCMDWR V_BCMDWR(1U)
62072
62073#define S_BCMDRDY 1
62074#define V_BCMDRDY(x) ((x) << S_BCMDRDY)
62075#define F_BCMDRDY V_BCMDRDY(1U)
62076
62077#define S_BCMDVLD 0
62078#define V_BCMDVLD(x) ((x) << S_BCMDVLD)
62079#define F_BCMDVLD V_BCMDVLD(1U)
62080
62081#define A_EDC_H_DBG_BIST_WDATA_INTF 0x50310
62082
62083#define S_BWDATAVLD 31
62084#define V_BWDATAVLD(x) ((x) << S_BWDATAVLD)
62085#define F_BWDATAVLD V_BWDATAVLD(1U)
62086
62087#define S_BWDATARDY 30
62088#define V_BWDATARDY(x) ((x) << S_BWDATARDY)
62089#define F_BWDATARDY V_BWDATARDY(1U)
62090
62091#define S_BWDATA 0
62092#define M_BWDATA 0x3fffffffU
62093#define V_BWDATA(x) ((x) << S_BWDATA)
62094#define G_BWDATA(x) (((x) >> S_BWDATA) & M_BWDATA)
62095
62096#define A_EDC_H_DBG_BIST_RDATA_INTF 0x50314
62097
62098#define S_BRSPVLD 31
62099#define V_BRSPVLD(x) ((x) << S_BRSPVLD)
62100#define F_BRSPVLD V_BRSPVLD(1U)
62101
62102#define S_BRSPRDY 30
62103#define V_BRSPRDY(x) ((x) << S_BRSPRDY)
62104#define F_BRSPRDY V_BRSPRDY(1U)
62105
62106#define S_BRSPDATA 0
62107#define M_BRSPDATA 0x3fffffffU
62108#define V_BRSPDATA(x) ((x) << S_BRSPDATA)
62109#define G_BRSPDATA(x) (((x) >> S_BRSPDATA) & M_BRSPDATA)
62110
62111#define A_EDC_H_DBG_EDRAM_CMD_INTF 0x50318
62112
62113#define S_EDRAMADDR 16
62114#define M_EDRAMADDR 0xffffU
62115#define V_EDRAMADDR(x) ((x) << S_EDRAMADDR)
62116#define G_EDRAMADDR(x) (((x) >> S_EDRAMADDR) & M_EDRAMADDR)
62117
62118#define S_EDRAMDWSN 8
62119#define M_EDRAMDWSN 0xffU
62120#define V_EDRAMDWSN(x) ((x) << S_EDRAMDWSN)
62121#define G_EDRAMDWSN(x) (((x) >> S_EDRAMDWSN) & M_EDRAMDWSN)
62122
62123#define S_EDRAMCRA 5
62124#define M_EDRAMCRA 0x7U
62125#define V_EDRAMCRA(x) ((x) << S_EDRAMCRA)
62126#define G_EDRAMCRA(x) (((x) >> S_EDRAMCRA) & M_EDRAMCRA)
62127
62128#define S_EDRAMREFENLO 4
62129#define V_EDRAMREFENLO(x) ((x) << S_EDRAMREFENLO)
62130#define F_EDRAMREFENLO V_EDRAMREFENLO(1U)
62131
62132#define S_EDRAM1WRENLO 3
62133#define V_EDRAM1WRENLO(x) ((x) << S_EDRAM1WRENLO)
62134#define F_EDRAM1WRENLO V_EDRAM1WRENLO(1U)
62135
62136#define S_EDRAM1RDENLO 2
62137#define V_EDRAM1RDENLO(x) ((x) << S_EDRAM1RDENLO)
62138#define F_EDRAM1RDENLO V_EDRAM1RDENLO(1U)
62139
62140#define S_EDRAM0WRENLO 1
62141#define V_EDRAM0WRENLO(x) ((x) << S_EDRAM0WRENLO)
62142#define F_EDRAM0WRENLO V_EDRAM0WRENLO(1U)
62143
62144#define S_EDRAM0RDENLO 0
62145#define V_EDRAM0RDENLO(x) ((x) << S_EDRAM0RDENLO)
62146#define F_EDRAM0RDENLO V_EDRAM0RDENLO(1U)
62147
62148#define A_EDC_H_DBG_EDRAM_WDATA_INTF 0x5031c
62149
62150#define S_EDRAMWDATA 9
62151#define M_EDRAMWDATA 0x7fffffU
62152#define V_EDRAMWDATA(x) ((x) << S_EDRAMWDATA)
62153#define G_EDRAMWDATA(x) (((x) >> S_EDRAMWDATA) & M_EDRAMWDATA)
62154
62155#define S_EDRAMWBYTEEN 0
62156#define M_EDRAMWBYTEEN 0x1ffU
62157#define V_EDRAMWBYTEEN(x) ((x) << S_EDRAMWBYTEEN)
62158#define G_EDRAMWBYTEEN(x) (((x) >> S_EDRAMWBYTEEN) & M_EDRAMWBYTEEN)
62159
62160#define A_EDC_H_DBG_EDRAM0_RDATA_INTF 0x50320
62161#define A_EDC_H_DBG_EDRAM1_RDATA_INTF 0x50324
62162#define A_EDC_H_DBG_MA_WR_REQ_CNT 0x50328
62163#define A_EDC_H_DBG_MA_WR_EXP_DAT_CYC_CNT 0x5032c
62164#define A_EDC_H_DBG_MA_WR_DAT_CYC_CNT 0x50330
62165#define A_EDC_H_DBG_MA_RD_REQ_CNT 0x50334
62166#define A_EDC_H_DBG_MA_RD_EXP_DAT_CYC_CNT 0x50338
62167#define A_EDC_H_DBG_MA_RD_DAT_CYC_CNT 0x5033c
62168#define A_EDC_H_DBG_BIST_WR_REQ_CNT 0x50340
62169#define A_EDC_H_DBG_BIST_WR_EXP_DAT_CYC_CNT 0x50344
62170#define A_EDC_H_DBG_BIST_WR_DAT_CYC_CNT 0x50348
62171#define A_EDC_H_DBG_BIST_RD_REQ_CNT 0x5034c
62172#define A_EDC_H_DBG_BIST_RD_EXP_DAT_CYC_CNT 0x50350
62173#define A_EDC_H_DBG_BIST_RD_DAT_CYC_CNT 0x50354
62174#define A_EDC_H_DBG_EDRAM0_WR_REQ_CNT 0x50358
62175#define A_EDC_H_DBG_EDRAM0_RD_REQ_CNT 0x5035c
62176#define A_EDC_H_DBG_EDRAM0_RMW_CNT 0x50360
62177#define A_EDC_H_DBG_EDRAM1_WR_REQ_CNT 0x50364
62178#define A_EDC_H_DBG_EDRAM1_RD_REQ_CNT 0x50368
62179#define A_EDC_H_DBG_EDRAM1_RMW_CNT 0x5036c
62180#define A_EDC_H_DBG_EDRAM_REF_BURST_CNT 0x50370
62181#define A_EDC_H_DBG_FIFO_STATUS 0x50374
62182
62183#define S_RDTAG_NOTFULL 17
62184#define V_RDTAG_NOTFULL(x) ((x) << S_RDTAG_NOTFULL)
62185#define F_RDTAG_NOTFULL V_RDTAG_NOTFULL(1U)
62186
62187#define S_RDTAG_NOTEMPTY 16
62188#define V_RDTAG_NOTEMPTY(x) ((x) << S_RDTAG_NOTEMPTY)
62189#define F_RDTAG_NOTEMPTY V_RDTAG_NOTEMPTY(1U)
62190
62191#define S_INP_CMDQ_NOTFULL_ARB 15
62192#define V_INP_CMDQ_NOTFULL_ARB(x) ((x) << S_INP_CMDQ_NOTFULL_ARB)
62193#define F_INP_CMDQ_NOTFULL_ARB V_INP_CMDQ_NOTFULL_ARB(1U)
62194
62195#define S_INP_CMDQ_NOTEMPTY 14
62196#define V_INP_CMDQ_NOTEMPTY(x) ((x) << S_INP_CMDQ_NOTEMPTY)
62197#define F_INP_CMDQ_NOTEMPTY V_INP_CMDQ_NOTEMPTY(1U)
62198
62199#define S_INP_WRDQ_WRRDY 13
62200#define V_INP_WRDQ_WRRDY(x) ((x) << S_INP_WRDQ_WRRDY)
62201#define F_INP_WRDQ_WRRDY V_INP_WRDQ_WRRDY(1U)
62202
62203#define S_INP_WRDQ_NOTEMPTY 12
62204#define V_INP_WRDQ_NOTEMPTY(x) ((x) << S_INP_WRDQ_NOTEMPTY)
62205#define F_INP_WRDQ_NOTEMPTY V_INP_WRDQ_NOTEMPTY(1U)
62206
62207#define S_INP_BEQ_WRRDY_OPEN 11
62208#define V_INP_BEQ_WRRDY_OPEN(x) ((x) << S_INP_BEQ_WRRDY_OPEN)
62209#define F_INP_BEQ_WRRDY_OPEN V_INP_BEQ_WRRDY_OPEN(1U)
62210
62211#define S_INP_BEQ_NOTEMPTY 10
62212#define V_INP_BEQ_NOTEMPTY(x) ((x) << S_INP_BEQ_NOTEMPTY)
62213#define F_INP_BEQ_NOTEMPTY V_INP_BEQ_NOTEMPTY(1U)
62214
62215#define S_RDDQ_NOTFULL_OPEN 9
62216#define V_RDDQ_NOTFULL_OPEN(x) ((x) << S_RDDQ_NOTFULL_OPEN)
62217#define F_RDDQ_NOTFULL_OPEN V_RDDQ_NOTFULL_OPEN(1U)
62218
62219#define S_RDDQ_RDCNT 4
62220#define M_RDDQ_RDCNT 0x1fU
62221#define V_RDDQ_RDCNT(x) ((x) << S_RDDQ_RDCNT)
62222#define G_RDDQ_RDCNT(x) (((x) >> S_RDDQ_RDCNT) & M_RDDQ_RDCNT)
62223
62224#define S_RDSIDEQ_NOTFULL 3
62225#define V_RDSIDEQ_NOTFULL(x) ((x) << S_RDSIDEQ_NOTFULL)
62226#define F_RDSIDEQ_NOTFULL V_RDSIDEQ_NOTFULL(1U)
62227
62228#define S_RDSIDEQ_NOTEMPTY 2
62229#define V_RDSIDEQ_NOTEMPTY(x) ((x) << S_RDSIDEQ_NOTEMPTY)
62230#define F_RDSIDEQ_NOTEMPTY V_RDSIDEQ_NOTEMPTY(1U)
62231
62232#define S_STG_CMDQ_NOTEMPTY 1
62233#define V_STG_CMDQ_NOTEMPTY(x) ((x) << S_STG_CMDQ_NOTEMPTY)
62234#define F_STG_CMDQ_NOTEMPTY V_STG_CMDQ_NOTEMPTY(1U)
62235
62236#define S_STG_WRDQ_NOTEMPTY 0
62237#define V_STG_WRDQ_NOTEMPTY(x) ((x) << S_STG_WRDQ_NOTEMPTY)
62238#define F_STG_WRDQ_NOTEMPTY V_STG_WRDQ_NOTEMPTY(1U)
62239
62240#define A_EDC_H_DBG_FSM_STATE 0x50378
62241
62242#define S_CMDSPLITFSM 3
62243#define V_CMDSPLITFSM(x) ((x) << S_CMDSPLITFSM)
62244#define F_CMDSPLITFSM V_CMDSPLITFSM(1U)
62245
62246#define S_CMDFSM 0
62247#define M_CMDFSM 0x7U
62248#define V_CMDFSM(x) ((x) << S_CMDFSM)
62249#define G_CMDFSM(x) (((x) >> S_CMDFSM) & M_CMDFSM)
62250
62251#define A_EDC_H_DBG_STALL_CYCLES 0x5037c
62252
62253#define S_STALL_RMW 19
62254#define V_STALL_RMW(x) ((x) << S_STALL_RMW)
62255#define F_STALL_RMW V_STALL_RMW(1U)
62256
62257#define S_STALL_EDC_CMD 18
62258#define V_STALL_EDC_CMD(x) ((x) << S_STALL_EDC_CMD)
62259#define F_STALL_EDC_CMD V_STALL_EDC_CMD(1U)
62260
62261#define S_DEAD_CYCLE0 17
62262#define V_DEAD_CYCLE0(x) ((x) << S_DEAD_CYCLE0)
62263#define F_DEAD_CYCLE0 V_DEAD_CYCLE0(1U)
62264
62265#define S_DEAD_CYCLE1 16
62266#define V_DEAD_CYCLE1(x) ((x) << S_DEAD_CYCLE1)
62267#define F_DEAD_CYCLE1 V_DEAD_CYCLE1(1U)
62268
62269#define S_DEAD_CYCLE0_BBI 15
62270#define V_DEAD_CYCLE0_BBI(x) ((x) << S_DEAD_CYCLE0_BBI)
62271#define F_DEAD_CYCLE0_BBI V_DEAD_CYCLE0_BBI(1U)
62272
62273#define S_DEAD_CYCLE1_BBI 14
62274#define V_DEAD_CYCLE1_BBI(x) ((x) << S_DEAD_CYCLE1_BBI)
62275#define F_DEAD_CYCLE1_BBI V_DEAD_CYCLE1_BBI(1U)
62276
62277#define S_DEAD_CYCLE0_MAX_OP 13
62278#define V_DEAD_CYCLE0_MAX_OP(x) ((x) << S_DEAD_CYCLE0_MAX_OP)
62279#define F_DEAD_CYCLE0_MAX_OP V_DEAD_CYCLE0_MAX_OP(1U)
62280
62281#define S_DEAD_CYCLE1_MAX_OP 12
62282#define V_DEAD_CYCLE1_MAX_OP(x) ((x) << S_DEAD_CYCLE1_MAX_OP)
62283#define F_DEAD_CYCLE1_MAX_OP V_DEAD_CYCLE1_MAX_OP(1U)
62284
62285#define S_DEAD_CYCLE0_PRE_REF 11
62286#define V_DEAD_CYCLE0_PRE_REF(x) ((x) << S_DEAD_CYCLE0_PRE_REF)
62287#define F_DEAD_CYCLE0_PRE_REF V_DEAD_CYCLE0_PRE_REF(1U)
62288
62289#define S_DEAD_CYCLE1_PRE_REF 10
62290#define V_DEAD_CYCLE1_PRE_REF(x) ((x) << S_DEAD_CYCLE1_PRE_REF)
62291#define F_DEAD_CYCLE1_PRE_REF V_DEAD_CYCLE1_PRE_REF(1U)
62292
62293#define S_DEAD_CYCLE0_POST_REF 9
62294#define V_DEAD_CYCLE0_POST_REF(x) ((x) << S_DEAD_CYCLE0_POST_REF)
62295#define F_DEAD_CYCLE0_POST_REF V_DEAD_CYCLE0_POST_REF(1U)
62296
62297#define S_DEAD_CYCLE1_POST_REF 8
62298#define V_DEAD_CYCLE1_POST_REF(x) ((x) << S_DEAD_CYCLE1_POST_REF)
62299#define F_DEAD_CYCLE1_POST_REF V_DEAD_CYCLE1_POST_REF(1U)
62300
62301#define S_DEAD_CYCLE0_RMW 7
62302#define V_DEAD_CYCLE0_RMW(x) ((x) << S_DEAD_CYCLE0_RMW)
62303#define F_DEAD_CYCLE0_RMW V_DEAD_CYCLE0_RMW(1U)
62304
62305#define S_DEAD_CYCLE1_RMW 6
62306#define V_DEAD_CYCLE1_RMW(x) ((x) << S_DEAD_CYCLE1_RMW)
62307#define F_DEAD_CYCLE1_RMW V_DEAD_CYCLE1_RMW(1U)
62308
62309#define S_DEAD_CYCLE0_BBI_RMW 5
62310#define V_DEAD_CYCLE0_BBI_RMW(x) ((x) << S_DEAD_CYCLE0_BBI_RMW)
62311#define F_DEAD_CYCLE0_BBI_RMW V_DEAD_CYCLE0_BBI_RMW(1U)
62312
62313#define S_DEAD_CYCLE1_BBI_RMW 4
62314#define V_DEAD_CYCLE1_BBI_RMW(x) ((x) << S_DEAD_CYCLE1_BBI_RMW)
62315#define F_DEAD_CYCLE1_BBI_RMW V_DEAD_CYCLE1_BBI_RMW(1U)
62316
62317#define S_DEAD_CYCLE0_PRE_REF_RMW 3
62318#define V_DEAD_CYCLE0_PRE_REF_RMW(x) ((x) << S_DEAD_CYCLE0_PRE_REF_RMW)
62319#define F_DEAD_CYCLE0_PRE_REF_RMW V_DEAD_CYCLE0_PRE_REF_RMW(1U)
62320
62321#define S_DEAD_CYCLE1_PRE_REF_RMW 2
62322#define V_DEAD_CYCLE1_PRE_REF_RMW(x) ((x) << S_DEAD_CYCLE1_PRE_REF_RMW)
62323#define F_DEAD_CYCLE1_PRE_REF_RMW V_DEAD_CYCLE1_PRE_REF_RMW(1U)
62324
62325#define S_DEAD_CYCLE0_POST_REF_RMW 1
62326#define V_DEAD_CYCLE0_POST_REF_RMW(x) ((x) << S_DEAD_CYCLE0_POST_REF_RMW)
62327#define F_DEAD_CYCLE0_POST_REF_RMW V_DEAD_CYCLE0_POST_REF_RMW(1U)
62328
62329#define S_DEAD_CYCLE1_POST_REF_RMW 0
62330#define V_DEAD_CYCLE1_POST_REF_RMW(x) ((x) << S_DEAD_CYCLE1_POST_REF_RMW)
62331#define F_DEAD_CYCLE1_POST_REF_RMW V_DEAD_CYCLE1_POST_REF_RMW(1U)
62332
62333#define A_EDC_H_DBG_CMD_QUEUE 0x50380
62334
62335#define S_ECMDNRE 31
62336#define V_ECMDNRE(x) ((x) << S_ECMDNRE)
62337#define F_ECMDNRE V_ECMDNRE(1U)
62338
62339#define S_ECMDNRB 30
62340#define V_ECMDNRB(x) ((x) << S_ECMDNRB)
62341#define F_ECMDNRB V_ECMDNRB(1U)
62342
62343#define S_ECMDWR 29
62344#define V_ECMDWR(x) ((x) << S_ECMDWR)
62345#define F_ECMDWR V_ECMDWR(1U)
62346
62347#define S_ECMDLEN 22
62348#define M_ECMDLEN 0x7fU
62349#define V_ECMDLEN(x) ((x) << S_ECMDLEN)
62350#define G_ECMDLEN(x) (((x) >> S_ECMDLEN) & M_ECMDLEN)
62351
62352#define S_ECMDADDR 0
62353#define M_ECMDADDR 0x3fffffU
62354#define V_ECMDADDR(x) ((x) << S_ECMDADDR)
62355#define G_ECMDADDR(x) (((x) >> S_ECMDADDR) & M_ECMDADDR)
62356
62357#define A_EDC_H_DBG_REFRESH 0x50384
62358
62359#define S_REFDONE 12
62360#define V_REFDONE(x) ((x) << S_REFDONE)
62361#define F_REFDONE V_REFDONE(1U)
62362
62363#define S_REFCNTEXPR 11
62364#define V_REFCNTEXPR(x) ((x) << S_REFCNTEXPR)
62365#define F_REFCNTEXPR V_REFCNTEXPR(1U)
62366
62367#define S_REFPTR 8
62368#define M_REFPTR 0x7U
62369#define V_REFPTR(x) ((x) << S_REFPTR)
62370#define G_REFPTR(x) (((x) >> S_REFPTR) & M_REFPTR)
62371
62372#define S_REFCNT 0
62373#define M_REFCNT 0xffU
62374#define V_REFCNT(x) ((x) << S_REFCNT)
62375#define G_REFCNT(x) (((x) >> S_REFCNT) & M_REFCNT)
62376
62377/* registers for module EDC_T61 */
62378#define EDC_T61_BASE_ADDR 0x50800
62379
62380/* registers for module HMA_T6 */
62381#define HMA_T6_BASE_ADDR 0x51000
62382
62383#define S_TPH 12
62384#define M_TPH 0x3U
62385#define V_TPH(x) ((x) << S_TPH)
62386#define G_TPH(x) (((x) >> S_TPH) & M_TPH)
62387
62388#define S_TPH_V 11
62389#define V_TPH_V(x) ((x) << S_TPH_V)
62390#define F_TPH_V V_TPH_V(1U)
62391
62392#define S_DCA 0
62393#define M_DCA 0x7ffU
62394#define V_DCA(x) ((x) << S_DCA)
62395#define G_DCA(x) (((x) >> S_DCA) & M_DCA)
62396
62397#define A_HMA_CFG 0x51020
62398
62399#define S_OP_MODE 31
62400#define V_OP_MODE(x) ((x) << S_OP_MODE)
62401#define F_OP_MODE V_OP_MODE(1U)
62402
62403#define A_HMA_TLB_ACCESS 0x51028
62404
62405#define S_INV_ALL 29
62406#define V_INV_ALL(x) ((x) << S_INV_ALL)
62407#define F_INV_ALL V_INV_ALL(1U)
62408
62409#define S_LOCK_ENTRY 28
62410#define V_LOCK_ENTRY(x) ((x) << S_LOCK_ENTRY)
62411#define F_LOCK_ENTRY V_LOCK_ENTRY(1U)
62412
62413#define S_E_SEL 0
62414#define M_E_SEL 0x1fU
62415#define V_E_SEL(x) ((x) << S_E_SEL)
62416#define G_E_SEL(x) (((x) >> S_E_SEL) & M_E_SEL)
62417
62418#define A_HMA_TLB_BITS 0x5102c
62419
62420#define S_VA 12
62421#define M_VA 0xfffffU
62422#define V_VA(x) ((x) << S_VA)
62423#define G_VA(x) (((x) >> S_VA) & M_VA)
62424
62425#define S_VALID_E 4
62426#define V_VALID_E(x) ((x) << S_VALID_E)
62427#define F_VALID_E V_VALID_E(1U)
62428
62429#define S_LOCK_HMA 3
62430#define V_LOCK_HMA(x) ((x) << S_LOCK_HMA)
62431#define F_LOCK_HMA V_LOCK_HMA(1U)
62432
62433#define S_T6_USED 2
62434#define V_T6_USED(x) ((x) << S_T6_USED)
62435#define F_T6_USED V_T6_USED(1U)
62436
62437#define S_REGION 0
62438#define M_REGION 0x3U
62439#define V_REGION(x) ((x) << S_REGION)
62440#define G_REGION(x) (((x) >> S_REGION) & M_REGION)
62441
62442#define A_HMA_TLB_DESC_0_H 0x51030
62443#define A_HMA_TLB_DESC_0_L 0x51034
62444#define A_HMA_TLB_DESC_1_H 0x51038
62445#define A_HMA_TLB_DESC_1_L 0x5103c
62446#define A_HMA_TLB_DESC_2_H 0x51040
62447#define A_HMA_TLB_DESC_2_L 0x51044
62448#define A_HMA_TLB_DESC_3_H 0x51048
62449#define A_HMA_TLB_DESC_3_L 0x5104c
62450#define A_HMA_TLB_DESC_4_H 0x51050
62451#define A_HMA_TLB_DESC_4_L 0x51054
62452#define A_HMA_TLB_DESC_5_H 0x51058
62453#define A_HMA_TLB_DESC_5_L 0x5105c
62454#define A_HMA_TLB_DESC_6_H 0x51060
62455#define A_HMA_TLB_DESC_6_L 0x51064
62456#define A_HMA_TLB_DESC_7_H 0x51068
62457#define A_HMA_TLB_DESC_7_L 0x5106c
62458#define A_HMA_REG0_MIN 0x51070
62459
62460#define S_ADDR0_MIN 12
62461#define M_ADDR0_MIN 0xfffffU
62462#define V_ADDR0_MIN(x) ((x) << S_ADDR0_MIN)
62463#define G_ADDR0_MIN(x) (((x) >> S_ADDR0_MIN) & M_ADDR0_MIN)
62464
62465#define A_HMA_REG0_MAX 0x51074
62466
62467#define S_ADDR0_MAX 12
62468#define M_ADDR0_MAX 0xfffffU
62469#define V_ADDR0_MAX(x) ((x) << S_ADDR0_MAX)
62470#define G_ADDR0_MAX(x) (((x) >> S_ADDR0_MAX) & M_ADDR0_MAX)
62471
62472#define A_HMA_REG0_MASK 0x51078
62473
62474#define S_PAGE_SIZE0 12
62475#define M_PAGE_SIZE0 0xfffffU
62476#define V_PAGE_SIZE0(x) ((x) << S_PAGE_SIZE0)
62477#define G_PAGE_SIZE0(x) (((x) >> S_PAGE_SIZE0) & M_PAGE_SIZE0)
62478
62479#define A_HMA_REG0_BASE 0x5107c
62480#define A_HMA_REG1_MIN 0x51080
62481
62482#define S_ADDR1_MIN 12
62483#define M_ADDR1_MIN 0xfffffU
62484#define V_ADDR1_MIN(x) ((x) << S_ADDR1_MIN)
62485#define G_ADDR1_MIN(x) (((x) >> S_ADDR1_MIN) & M_ADDR1_MIN)
62486
62487#define A_HMA_REG1_MAX 0x51084
62488
62489#define S_ADDR1_MAX 12
62490#define M_ADDR1_MAX 0xfffffU
62491#define V_ADDR1_MAX(x) ((x) << S_ADDR1_MAX)
62492#define G_ADDR1_MAX(x) (((x) >> S_ADDR1_MAX) & M_ADDR1_MAX)
62493
62494#define A_HMA_REG1_MASK 0x51088
62495
62496#define S_PAGE_SIZE1 12
62497#define M_PAGE_SIZE1 0xfffffU
62498#define V_PAGE_SIZE1(x) ((x) << S_PAGE_SIZE1)
62499#define G_PAGE_SIZE1(x) (((x) >> S_PAGE_SIZE1) & M_PAGE_SIZE1)
62500
62501#define A_HMA_REG1_BASE 0x5108c
62502#define A_HMA_REG2_MIN 0x51090
62503
62504#define S_ADDR2_MIN 12
62505#define M_ADDR2_MIN 0xfffffU
62506#define V_ADDR2_MIN(x) ((x) << S_ADDR2_MIN)
62507#define G_ADDR2_MIN(x) (((x) >> S_ADDR2_MIN) & M_ADDR2_MIN)
62508
62509#define A_HMA_REG2_MAX 0x51094
62510
62511#define S_ADDR2_MAX 12
62512#define M_ADDR2_MAX 0xfffffU
62513#define V_ADDR2_MAX(x) ((x) << S_ADDR2_MAX)
62514#define G_ADDR2_MAX(x) (((x) >> S_ADDR2_MAX) & M_ADDR2_MAX)
62515
62516#define A_HMA_REG2_MASK 0x51098
62517
62518#define S_PAGE_SIZE2 12
62519#define M_PAGE_SIZE2 0xfffffU
62520#define V_PAGE_SIZE2(x) ((x) << S_PAGE_SIZE2)
62521#define G_PAGE_SIZE2(x) (((x) >> S_PAGE_SIZE2) & M_PAGE_SIZE2)
62522
62523#define A_HMA_REG2_BASE 0x5109c
62524#define A_HMA_REG3_MIN 0x510a0
62525
62526#define S_ADDR3_MIN 12
62527#define M_ADDR3_MIN 0xfffffU
62528#define V_ADDR3_MIN(x) ((x) << S_ADDR3_MIN)
62529#define G_ADDR3_MIN(x) (((x) >> S_ADDR3_MIN) & M_ADDR3_MIN)
62530
62531#define A_HMA_REG3_MAX 0x510a4
62532
62533#define S_ADDR3_MAX 12
62534#define M_ADDR3_MAX 0xfffffU
62535#define V_ADDR3_MAX(x) ((x) << S_ADDR3_MAX)
62536#define G_ADDR3_MAX(x) (((x) >> S_ADDR3_MAX) & M_ADDR3_MAX)
62537
62538#define A_HMA_REG3_MASK 0x510a8
62539
62540#define S_PAGE_SIZE3 12
62541#define M_PAGE_SIZE3 0xfffffU
62542#define V_PAGE_SIZE3(x) ((x) << S_PAGE_SIZE3)
62543#define G_PAGE_SIZE3(x) (((x) >> S_PAGE_SIZE3) & M_PAGE_SIZE3)
62544
62545#define A_HMA_REG3_BASE 0x510ac
62546#define A_HMA_SW_SYNC 0x510b0
62547
62548#define S_ENTER_SYNC 31
62549#define V_ENTER_SYNC(x) ((x) << S_ENTER_SYNC)
62550#define F_ENTER_SYNC V_ENTER_SYNC(1U)
62551
62552#define S_EXIT_SYNC 30
62553#define V_EXIT_SYNC(x) ((x) << S_EXIT_SYNC)
62554#define F_EXIT_SYNC V_EXIT_SYNC(1U)
62555
62556#define S_IDTF_INT_ENABLE 5
62557#define V_IDTF_INT_ENABLE(x) ((x) << S_IDTF_INT_ENABLE)
62558#define F_IDTF_INT_ENABLE V_IDTF_INT_ENABLE(1U)
62559
62560#define S_OTF_INT_ENABLE 4
62561#define V_OTF_INT_ENABLE(x) ((x) << S_OTF_INT_ENABLE)
62562#define F_OTF_INT_ENABLE V_OTF_INT_ENABLE(1U)
62563
62564#define S_RTF_INT_ENABLE 3
62565#define V_RTF_INT_ENABLE(x) ((x) << S_RTF_INT_ENABLE)
62566#define F_RTF_INT_ENABLE V_RTF_INT_ENABLE(1U)
62567
62568#define S_PCIEMST_INT_ENABLE 2
62569#define V_PCIEMST_INT_ENABLE(x) ((x) << S_PCIEMST_INT_ENABLE)
62570#define F_PCIEMST_INT_ENABLE V_PCIEMST_INT_ENABLE(1U)
62571
62572#define S_MAMST_INT_ENABLE 1
62573#define V_MAMST_INT_ENABLE(x) ((x) << S_MAMST_INT_ENABLE)
62574#define F_MAMST_INT_ENABLE V_MAMST_INT_ENABLE(1U)
62575
62576#define S_IDTF_INT_CAUSE 5
62577#define V_IDTF_INT_CAUSE(x) ((x) << S_IDTF_INT_CAUSE)
62578#define F_IDTF_INT_CAUSE V_IDTF_INT_CAUSE(1U)
62579
62580#define S_OTF_INT_CAUSE 4
62581#define V_OTF_INT_CAUSE(x) ((x) << S_OTF_INT_CAUSE)
62582#define F_OTF_INT_CAUSE V_OTF_INT_CAUSE(1U)
62583
62584#define S_RTF_INT_CAUSE 3
62585#define V_RTF_INT_CAUSE(x) ((x) << S_RTF_INT_CAUSE)
62586#define F_RTF_INT_CAUSE V_RTF_INT_CAUSE(1U)
62587
62588#define S_PCIEMST_INT_CAUSE 2
62589#define V_PCIEMST_INT_CAUSE(x) ((x) << S_PCIEMST_INT_CAUSE)
62590#define F_PCIEMST_INT_CAUSE V_PCIEMST_INT_CAUSE(1U)
62591
62592#define S_MAMST_INT_CAUSE 1
62593#define V_MAMST_INT_CAUSE(x) ((x) << S_MAMST_INT_CAUSE)
62594#define F_MAMST_INT_CAUSE V_MAMST_INT_CAUSE(1U)
62595
62596#define A_HMA_MA_MST_ERR 0x5130c
62597#define A_HMA_RTF_ERR 0x51310
62598#define A_HMA_OTF_ERR 0x51314
62599#define A_HMA_IDTF_ERR 0x51318
62600#define A_HMA_EXIT_TF 0x5131c
62601
62602#define S_RTF 30
62603#define V_RTF(x) ((x) << S_RTF)
62604#define F_RTF V_RTF(1U)
62605
62606#define S_OTF 29
62607#define V_OTF(x) ((x) << S_OTF)
62608#define F_OTF V_OTF(1U)
62609
62610#define S_IDTF 28
62611#define V_IDTF(x) ((x) << S_IDTF)
62612#define F_IDTF V_IDTF(1U)
62613
62614#define A_HMA_LOCAL_DEBUG_CFG 0x51320
62615#define A_HMA_LOCAL_DEBUG_RPT 0x51324
62616#define A_HMA_DEBUG_FSM_0 0xa000
62617
62618#define S_EDC_FSM 18
62619#define M_EDC_FSM 0x1fU
62620#define V_EDC_FSM(x) ((x) << S_EDC_FSM)
62621#define G_EDC_FSM(x) (((x) >> S_EDC_FSM) & M_EDC_FSM)
62622
62623#define S_RAS_FSM_SLV 15
62624#define M_RAS_FSM_SLV 0x7U
62625#define V_RAS_FSM_SLV(x) ((x) << S_RAS_FSM_SLV)
62626#define G_RAS_FSM_SLV(x) (((x) >> S_RAS_FSM_SLV) & M_RAS_FSM_SLV)
62627
62628#define S_FC_FSM 10
62629#define M_FC_FSM 0x1fU
62630#define V_FC_FSM(x) ((x) << S_FC_FSM)
62631#define G_FC_FSM(x) (((x) >> S_FC_FSM) & M_FC_FSM)
62632
62633#define S_COOKIE_ARB_FSM 8
62634#define M_COOKIE_ARB_FSM 0x3U
62635#define V_COOKIE_ARB_FSM(x) ((x) << S_COOKIE_ARB_FSM)
62636#define G_COOKIE_ARB_FSM(x) (((x) >> S_COOKIE_ARB_FSM) & M_COOKIE_ARB_FSM)
62637
62638#define S_PCIE_CHUNK_FSM 6
62639#define M_PCIE_CHUNK_FSM 0x3U
62640#define V_PCIE_CHUNK_FSM(x) ((x) << S_PCIE_CHUNK_FSM)
62641#define G_PCIE_CHUNK_FSM(x) (((x) >> S_PCIE_CHUNK_FSM) & M_PCIE_CHUNK_FSM)
62642
62643#define S_WTRANSFER_FSM 4
62644#define M_WTRANSFER_FSM 0x3U
62645#define V_WTRANSFER_FSM(x) ((x) << S_WTRANSFER_FSM)
62646#define G_WTRANSFER_FSM(x) (((x) >> S_WTRANSFER_FSM) & M_WTRANSFER_FSM)
62647
62648#define S_WD_FSM 2
62649#define M_WD_FSM 0x3U
62650#define V_WD_FSM(x) ((x) << S_WD_FSM)
62651#define G_WD_FSM(x) (((x) >> S_WD_FSM) & M_WD_FSM)
62652
62653#define S_RD_FSM 0
62654#define M_RD_FSM 0x3U
62655#define V_RD_FSM(x) ((x) << S_RD_FSM)
62656#define G_RD_FSM(x) (((x) >> S_RD_FSM) & M_RD_FSM)
62657
62658#define A_HMA_DEBUG_FSM_1 0xa001
62659
62660#define S_SYNC_FSM 11
62661#define M_SYNC_FSM 0x3ffU
62662#define V_SYNC_FSM(x) ((x) << S_SYNC_FSM)
62663#define G_SYNC_FSM(x) (((x) >> S_SYNC_FSM) & M_SYNC_FSM)
62664
62665#define S_OCHK_FSM 9
62666#define M_OCHK_FSM 0x3U
62667#define V_OCHK_FSM(x) ((x) << S_OCHK_FSM)
62668#define G_OCHK_FSM(x) (((x) >> S_OCHK_FSM) & M_OCHK_FSM)
62669
62670#define S_TLB_FSM 5
62671#define M_TLB_FSM 0xfU
62672#define V_TLB_FSM(x) ((x) << S_TLB_FSM)
62673#define G_TLB_FSM(x) (((x) >> S_TLB_FSM) & M_TLB_FSM)
62674
62675#define S_PIO_FSM 0
62676#define M_PIO_FSM 0x1fU
62677#define V_PIO_FSM(x) ((x) << S_PIO_FSM)
62678#define G_PIO_FSM(x) (((x) >> S_PIO_FSM) & M_PIO_FSM)
62679
62680#define A_HMA_DEBUG_PCIE_INTF 0xa002
62681
62682#define S_T6_H_REQVLD 28
62683#define V_T6_H_REQVLD(x) ((x) << S_T6_H_REQVLD)
62684#define F_T6_H_REQVLD V_T6_H_REQVLD(1U)
62685
62686#define S_H_REQFULL 27
62687#define V_H_REQFULL(x) ((x) << S_H_REQFULL)
62688#define F_H_REQFULL V_H_REQFULL(1U)
62689
62690#define S_H_REQSOP 26
62691#define V_H_REQSOP(x) ((x) << S_H_REQSOP)
62692#define F_H_REQSOP V_H_REQSOP(1U)
62693
62694#define S_H_REQEOP 25
62695#define V_H_REQEOP(x) ((x) << S_H_REQEOP)
62696#define F_H_REQEOP V_H_REQEOP(1U)
62697
62698#define S_T6_H_RSPVLD 24
62699#define V_T6_H_RSPVLD(x) ((x) << S_T6_H_RSPVLD)
62700#define F_T6_H_RSPVLD V_T6_H_RSPVLD(1U)
62701
62702#define S_H_RSPFULL 23
62703#define V_H_RSPFULL(x) ((x) << S_H_RSPFULL)
62704#define F_H_RSPFULL V_H_RSPFULL(1U)
62705
62706#define S_H_RSPSOP 22
62707#define V_H_RSPSOP(x) ((x) << S_H_RSPSOP)
62708#define F_H_RSPSOP V_H_RSPSOP(1U)
62709
62710#define S_H_RSPEOP 21
62711#define V_H_RSPEOP(x) ((x) << S_H_RSPEOP)
62712#define F_H_RSPEOP V_H_RSPEOP(1U)
62713
62714#define S_H_RSPERR 20
62715#define V_H_RSPERR(x) ((x) << S_H_RSPERR)
62716#define F_H_RSPERR V_H_RSPERR(1U)
62717
62718#define S_PCIE_CMD_AVAIL 19
62719#define V_PCIE_CMD_AVAIL(x) ((x) << S_PCIE_CMD_AVAIL)
62720#define F_PCIE_CMD_AVAIL V_PCIE_CMD_AVAIL(1U)
62721
62722#define S_PCIE_CMD_RDY 18
62723#define V_PCIE_CMD_RDY(x) ((x) << S_PCIE_CMD_RDY)
62724#define F_PCIE_CMD_RDY V_PCIE_CMD_RDY(1U)
62725
62726#define S_PCIE_WNR 17
62727#define V_PCIE_WNR(x) ((x) << S_PCIE_WNR)
62728#define F_PCIE_WNR V_PCIE_WNR(1U)
62729
62730#define S_PCIE_LEN 9
62731#define M_PCIE_LEN 0xffU
62732#define V_PCIE_LEN(x) ((x) << S_PCIE_LEN)
62733#define G_PCIE_LEN(x) (((x) >> S_PCIE_LEN) & M_PCIE_LEN)
62734
62735#define S_PCIE_TRWDAT_RDY 8
62736#define V_PCIE_TRWDAT_RDY(x) ((x) << S_PCIE_TRWDAT_RDY)
62737#define F_PCIE_TRWDAT_RDY V_PCIE_TRWDAT_RDY(1U)
62738
62739#define S_PCIE_TRWDAT_AVAIL 7
62740#define V_PCIE_TRWDAT_AVAIL(x) ((x) << S_PCIE_TRWDAT_AVAIL)
62741#define F_PCIE_TRWDAT_AVAIL V_PCIE_TRWDAT_AVAIL(1U)
62742
62743#define S_PCIE_TRWSOP 6
62744#define V_PCIE_TRWSOP(x) ((x) << S_PCIE_TRWSOP)
62745#define F_PCIE_TRWSOP V_PCIE_TRWSOP(1U)
62746
62747#define S_PCIE_TRWEOP 5
62748#define V_PCIE_TRWEOP(x) ((x) << S_PCIE_TRWEOP)
62749#define F_PCIE_TRWEOP V_PCIE_TRWEOP(1U)
62750
62751#define S_PCIE_TRRDAT_RDY 4
62752#define V_PCIE_TRRDAT_RDY(x) ((x) << S_PCIE_TRRDAT_RDY)
62753#define F_PCIE_TRRDAT_RDY V_PCIE_TRRDAT_RDY(1U)
62754
62755#define S_PCIE_TRRDAT_AVAIL 3
62756#define V_PCIE_TRRDAT_AVAIL(x) ((x) << S_PCIE_TRRDAT_AVAIL)
62757#define F_PCIE_TRRDAT_AVAIL V_PCIE_TRRDAT_AVAIL(1U)
62758
62759#define S_PCIE_TRRSOP 2
62760#define V_PCIE_TRRSOP(x) ((x) << S_PCIE_TRRSOP)
62761#define F_PCIE_TRRSOP V_PCIE_TRRSOP(1U)
62762
62763#define S_PCIE_TRREOP 1
62764#define V_PCIE_TRREOP(x) ((x) << S_PCIE_TRREOP)
62765#define F_PCIE_TRREOP V_PCIE_TRREOP(1U)
62766
62767#define S_PCIE_TRRERR 0
62768#define V_PCIE_TRRERR(x) ((x) << S_PCIE_TRRERR)
62769#define F_PCIE_TRRERR V_PCIE_TRRERR(1U)
62770
62771#define A_HMA_DEBUG_PCIE_ADDR_INTERNAL_LO 0xa003
62772#define A_HMA_DEBUG_PCIE_ADDR_INTERNAL_HI 0xa004
62773#define A_HMA_DEBUG_PCIE_REQ_DATA_EXTERNAL 0xa005
62774
62775#define S_REQDATA2 24
62776#define M_REQDATA2 0xffU
62777#define V_REQDATA2(x) ((x) << S_REQDATA2)
62778#define G_REQDATA2(x) (((x) >> S_REQDATA2) & M_REQDATA2)
62779
62780#define S_REQDATA1 21
62781#define M_REQDATA1 0x7U
62782#define V_REQDATA1(x) ((x) << S_REQDATA1)
62783#define G_REQDATA1(x) (((x) >> S_REQDATA1) & M_REQDATA1)
62784
62785#define S_REQDATA0 0
62786#define M_REQDATA0 0x1fffffU
62787#define V_REQDATA0(x) ((x) << S_REQDATA0)
62788#define G_REQDATA0(x) (((x) >> S_REQDATA0) & M_REQDATA0)
62789
62790#define A_HMA_DEBUG_PCIE_RSP_DATA_EXTERNAL 0xa006
62791
62792#define S_RSPDATA3 24
62793#define M_RSPDATA3 0xffU
62794#define V_RSPDATA3(x) ((x) << S_RSPDATA3)
62795#define G_RSPDATA3(x) (((x) >> S_RSPDATA3) & M_RSPDATA3)
62796
62797#define S_RSPDATA2 16
62798#define M_RSPDATA2 0xffU
62799#define V_RSPDATA2(x) ((x) << S_RSPDATA2)
62800#define G_RSPDATA2(x) (((x) >> S_RSPDATA2) & M_RSPDATA2)
62801
62802#define S_RSPDATA1 8
62803#define M_RSPDATA1 0xffU
62804#define V_RSPDATA1(x) ((x) << S_RSPDATA1)
62805#define G_RSPDATA1(x) (((x) >> S_RSPDATA1) & M_RSPDATA1)
62806
62807#define S_RSPDATA0 0
62808#define M_RSPDATA0 0xffU
62809#define V_RSPDATA0(x) ((x) << S_RSPDATA0)
62810#define G_RSPDATA0(x) (((x) >> S_RSPDATA0) & M_RSPDATA0)
62811
62812#define A_HMA_DEBUG_MA_SLV_CTL 0xa007
62813
62814#define S_MA_CMD_AVAIL 19
62815#define V_MA_CMD_AVAIL(x) ((x) << S_MA_CMD_AVAIL)
62816#define F_MA_CMD_AVAIL V_MA_CMD_AVAIL(1U)
62817
62818#define S_MA_CLNT 15
62819#define M_MA_CLNT 0xfU
62820#define V_MA_CLNT(x) ((x) << S_MA_CLNT)
62821#define G_MA_CLNT(x) (((x) >> S_MA_CLNT) & M_MA_CLNT)
62822
62823#define S_MA_WNR 14
62824#define V_MA_WNR(x) ((x) << S_MA_WNR)
62825#define F_MA_WNR V_MA_WNR(1U)
62826
62827#define S_MA_LEN 6
62828#define M_MA_LEN 0xffU
62829#define V_MA_LEN(x) ((x) << S_MA_LEN)
62830#define G_MA_LEN(x) (((x) >> S_MA_LEN) & M_MA_LEN)
62831
62832#define S_MA_MST_RD 5
62833#define V_MA_MST_RD(x) ((x) << S_MA_MST_RD)
62834#define F_MA_MST_RD V_MA_MST_RD(1U)
62835
62836#define S_MA_MST_VLD 4
62837#define V_MA_MST_VLD(x) ((x) << S_MA_MST_VLD)
62838#define F_MA_MST_VLD V_MA_MST_VLD(1U)
62839
62840#define S_MA_MST_ERR 3
62841#define V_MA_MST_ERR(x) ((x) << S_MA_MST_ERR)
62842#define F_MA_MST_ERR V_MA_MST_ERR(1U)
62843
62844#define S_MAS_TLB_REQ 2
62845#define V_MAS_TLB_REQ(x) ((x) << S_MAS_TLB_REQ)
62846#define F_MAS_TLB_REQ V_MAS_TLB_REQ(1U)
62847
62848#define S_MAS_TLB_ACK 1
62849#define V_MAS_TLB_ACK(x) ((x) << S_MAS_TLB_ACK)
62850#define F_MAS_TLB_ACK V_MAS_TLB_ACK(1U)
62851
62852#define S_MAS_TLB_ERR 0
62853#define V_MAS_TLB_ERR(x) ((x) << S_MAS_TLB_ERR)
62854#define F_MAS_TLB_ERR V_MAS_TLB_ERR(1U)
62855
62856#define A_HMA_DEBUG_MA_SLV_ADDR_INTERNAL 0xa008
62857#define A_HMA_DEBUG_TLB_HIT_ENTRY 0xa009
62858#define A_HMA_DEBUG_TLB_HIT_CNT 0xa00a
62859#define A_HMA_DEBUG_TLB_MISS_CNT 0xa00b
62860#define A_HMA_DEBUG_PAGE_TBL_LKP_CTL 0xa00c
62861
62862#define S_LKP_REQ_VLD 4
62863#define V_LKP_REQ_VLD(x) ((x) << S_LKP_REQ_VLD)
62864#define F_LKP_REQ_VLD V_LKP_REQ_VLD(1U)
62865
62866#define S_LKP_DESC_SEL 1
62867#define M_LKP_DESC_SEL 0x7U
62868#define V_LKP_DESC_SEL(x) ((x) << S_LKP_DESC_SEL)
62869#define G_LKP_DESC_SEL(x) (((x) >> S_LKP_DESC_SEL) & M_LKP_DESC_SEL)
62870
62871#define S_LKP_RSP_VLD 0
62872#define V_LKP_RSP_VLD(x) ((x) << S_LKP_RSP_VLD)
62873#define F_LKP_RSP_VLD V_LKP_RSP_VLD(1U)
62874
62875#define A_HMA_DEBUG_PAGE_TBL_LKP_REQ_ADDR 0xa00d
62876#define A_HMA_DEBUG_PAGE_TBL_LKP_RSP_0 0xa00e
62877#define A_HMA_DEBUG_PAGE_TBL_LKP_RSP_1 0xa00f
62878#define A_HMA_DEBUG_PAGE_TBL_LKP_RSP_2 0xa010
62879#define A_HMA_DEBUG_PAGE_TBL_LKP_RSP_3 0xa011
62880#define A_HMA_DEBUG_PAGE_TBL_LKP_RSP_4 0xa012
62881#define A_HMA_DEBUG_PAGE_TBL_LKP_RSP_5 0xa013
62882#define A_HMA_DEBUG_PAGE_TBL_LKP_RSP_6 0xa014
62883#define A_HMA_DEBUG_PAGE_TBL_LKP_RSP_7 0xa015
62884#define A_HMA_DEBUG_PHYS_DESC_INTERNAL_LO 0xa016
62885#define A_HMA_DEBUG_PCIE_RD_REQ_CNT_LO 0xa017
62886#define A_HMA_DEBUG_PCIE_RD_REQ_CNT_HI 0xa018
62887#define A_HMA_DEBUG_PCIE_WR_REQ_CNT_LO 0xa019
62888#define A_HMA_DEBUG_PCIE_WR_REQ_CNT_HI 0xa01a
62889#define A_HMA_DEBUG_PCIE_RD_DATA_CYC_CNT_LO 0xa01b
62890#define A_HMA_DEBUG_PCIE_RD_DATA_CYC_CNT_HI 0xa01c
62891#define A_HMA_DEBUG_PCIE_WR_DATA_CYC_CNT_LO 0xa01d
62892#define A_HMA_DEBUG_PCIE_WR_DATA_CYC_CNT_HI 0xa01e
62893#define A_HMA_DEBUG_PCIE_SOP_EOP_CNT 0xa01f
62894
62895#define S_WR_EOP_CNT 16
62896#define M_WR_EOP_CNT 0xffU
62897#define V_WR_EOP_CNT(x) ((x) << S_WR_EOP_CNT)
62898#define G_WR_EOP_CNT(x) (((x) >> S_WR_EOP_CNT) & M_WR_EOP_CNT)
62899
62900#define S_RD_SOP_CNT 8
62901#define M_RD_SOP_CNT 0xffU
62902#define V_RD_SOP_CNT(x) ((x) << S_RD_SOP_CNT)
62903#define G_RD_SOP_CNT(x) (((x) >> S_RD_SOP_CNT) & M_RD_SOP_CNT)
62904
62905#define S_RD_EOP_CNT 0
62906#define M_RD_EOP_CNT 0xffU
62907#define V_RD_EOP_CNT(x) ((x) << S_RD_EOP_CNT)
62908#define G_RD_EOP_CNT(x) (((x) >> S_RD_EOP_CNT) & M_RD_EOP_CNT)